CN107123658A - 半导体图像传感器件及其制造方法 - Google Patents

半导体图像传感器件及其制造方法 Download PDF

Info

Publication number
CN107123658A
CN107123658A CN201710072602.1A CN201710072602A CN107123658A CN 107123658 A CN107123658 A CN 107123658A CN 201710072602 A CN201710072602 A CN 201710072602A CN 107123658 A CN107123658 A CN 107123658A
Authority
CN
China
Prior art keywords
substrate
pixel
certain embodiments
image sensor
sensor part
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201710072602.1A
Other languages
English (en)
Inventor
张朝钦
李昇展
黄志辉
蔡建欣
吴正
吴正一
周佳兴
林艺民
林明辉
李锦思
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority to CN202211439745.9A priority Critical patent/CN115663006A/zh
Publication of CN107123658A publication Critical patent/CN107123658A/zh
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/1463Pixel isolation structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/1464Back illuminated imager structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14643Photodiode arrays; MOS imagers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14683Processes or apparatus peculiar to the manufacture or treatment of these devices or parts thereof

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Electromagnetism (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Solid State Image Pick-Up Elements (AREA)

Abstract

本发明的实施例公开了半导体图像传感器件及其制造方法。半导体图像传感器件包括衬底、第一像素和第二像素、以及隔离结构。第一像素和第二像素设置在衬底中,其中,第一和第二像素为相邻像素。隔离结构设置在衬底中并且介于第一和第二像素之间,其中,隔离结构包括介电层,并且介电层包括碳氧氮化硅(SiOCN)。

Description

半导体图像传感器件及其制造方法
技术领域
本发明的实施例涉及半导体领域,更具体地涉及半导体图像传感器件及其制造方法。
背景技术
半导体图像传感器被用于检测辐射,例如光。互补金属氧化物半导体(CMOS)图像传感器(CIS)和电耦合器件(CCD)传感器广泛应用于各种应用,例如数码相机或手机摄像头应用。这些器件利用衬底中的像素阵列(包括光电二极管和晶体管),像素阵列可以吸收投射到衬底上的辐射并将感测的辐射转化为电信号。
近年来,半导体集成电路(IC)行业经历了快速发展。IC材料和设计的技术进步产生了多代IC,其中,每一代都具有比先前一代更小且更复杂的电路。作为用于半导体图像传感器的IC演变的一部分,辐射敏感像素的尺寸一直在持续减小。由于像素和相邻像素之间的间隔继续收缩,诸如过量的电流泄漏的问题变得更加难以控制。已知来自感光(如,光电二极管)区的过量的电流泄漏导致CMOS图像传感器中的白点问题(即,白像素)。
发明内容
本发明的实施例提供了一种半导体图像传感器件,包括:衬底;第一像素和第二像素,设置在所述衬底中,其中,所述第一像素和所述第二像素为相邻像素;以及隔离结构,设置在所述衬底中并且介于所述第一像素和所述第二像素之间,其中,所述隔离结构包括介电层,并且所述介电层包括碳氧氮化硅(SiOCN)。
本发明的实施例还提供了一种半导体图像传感器件,包括:衬底;多个辐射感测区,形成在所述衬底中;以及多个深沟槽隔离(DTI)结构,形成在所述衬底中,其中,每一对相邻的辐射感测区都通过相应的一个深沟槽隔离结构彼此分离,所述深沟槽隔离结构具有在从2至20的范围的深度与宽度的比率,所述深沟槽隔离结构包括介电层,以及所述介电层包括碳氧氮化硅(SiOCN)。
本发明的实施例还提供了一种半导体图像传感器件的制造方法,包括:在衬底中形成多个沟槽;通过原子层沉积(ALD)方法分别在所述沟槽中形成介电层,以在所述衬底中形成深隔离结构;以及在相邻的深隔离结构之间的衬底中形成辐射感测区。
附图说明
当结合附图进行阅读时,根据下面详细的描述可以最好地理解本发明的各个实施例。应该注意,根据工业中的标准实践,各种部件没有被按比例绘制。实际上,为了清楚的讨论,各种部件的尺寸可以被任意增加或减少。
图1是根据一些实施例的示出了半导体图像传感器件的制造方法的流程图。
图2A至图2F是根据一些实施例的示出了制造半导体图像传感器件的方法的截面图。
图3是根据一些实施例的示出了半导体图像传感器件的截面图。
具体实施方式
以下公开内容提供了许多不同实施例或实例,用于实现所提供主题的不同特征。以下将描述组件和布置的具体实例以简化本发明。当然,这些仅是实例并且不意欲限制本发明。例如,在以下描述中,在第二部件上方或上形成第一部件可以包括第一部件和第二部件直接接触的实施例,也可以包括形成在第一部件和第二部件之间的附加部件使得第一部件和第二部件不直接接触的实施例。而且,本发明在各个实例中可以重复参考数字和/或字母。这种重复仅是为了简明和清楚,其自身并不表示所论述的各个实施例和/或配置之间的关系。
此外,为了便于描述,本文中可以使用诸如“在…下方”、“在…下面”、“下部”、“在…上面”、“上部”等的空间关系术语,以描述如图中所示的一个元件或部件与另一元件或部件的关系。除了图中所示的方位外,空间关系术语旨在包括器件在使用或操作过程中的不同方位。装置可以以其他方式定位(旋转90度或在其他方位),并且在本文中使用的空间关系描述符可以同样地作相应地解释。
图1是根据一些实施例的示出了半导体图像传感器件的制造方法的流程图。图2A至图2F是根据一些实施例的示出了制造半导体图像传感器件的方法的截面图。
参考图1和图2A,在步骤10中,在衬底102中形成多个像素150。在一些实施例中,提供半导体图像传感器件100,其中,半导体图像传感器件100包括衬底102。在一些实施例中,例如,衬底102为掺杂诸如硼的p型掺杂剂的硅衬底,并且因此未p型衬底。可选地,衬底102可以是其他合适的半导体材料。例如,衬底102可以是掺杂有诸如磷或砷的n型掺杂剂的硅衬底,并因此为n型衬底。在可选实施例中,该衬底102可以由一些其他合适的元素半导体,诸如金刚石或锗;合适的化合物半导体,诸如砷化镓、碳化硅、砷化铟、或磷化铟;或合适的合金半导体材料,诸如碳化硅锗,磷砷化镓或磷铟化镓制成。此外,衬底102可以包括外延层(epi层),可以被应变以用于性能增强。在一些实施例中,衬底102上具有一个或多个鳍(未示出)。
在一些实施例中,衬底102具有第一表面102a、与第一表面102a相对的第二表面102b。在一些实施例中,例如,第一表面102a为背面,并且例如,第二表面102b为正面。在一些实施例中,半导体图像传感器件100为背照式(BSI)图像传感器件,在减薄之后,辐射从背面(例如,第一表面102a)投射并且通过背面进入剩余的外延层。通过载体晶圆支撑翻转的器件。在一些实施例中,衬底102的初始厚度104介于约100微米(um)至约3000um的范围内,例如,初始厚度65介于约500um至约1000um的范围内。在一些实施例中,在衬底102中形成多个浅沟槽隔离(STI)结构(未示出)。在一些实施例中,通过下列工艺步骤形成STI结构70:从第二表面102b在衬底102内蚀刻开口;使用诸如氧化硅、氮化硅、氮氧化硅、低k材料或其他合适的介电材料的介电材料填充开口;以及之后实施抛光工艺(例如,化学机械抛光(CMP)工艺)以平坦化填充开口的介电材料的表面。
在一些实施例中,在衬底102中设置诸如鳍式场效应晶体管(FinFET)、金属氧化物半导体场效应晶体管(MOSFET)、互补金属氧化物半导体(CMOS)晶体管、高压晶体管和/或高频晶体管的有源器件、其他合适的组件和/或它们的组合。
在一些实施例中,像素150包括辐射感测掺杂区152。在一些实施例中,辐射感测掺杂区152形成在诸如STI的隔离结构之间。在一些实施例中,辐射感测掺杂区152通过一种或多种离子掺杂工艺或扩散工艺形成,并且可以掺杂有与衬底102的掺杂剂极性相反的掺杂剂。例如,如果衬底102是p型衬底,则辐射感测掺杂区152是n型掺杂区。在一些实施例中,每一个像素150都包括光电二极管。在可选实施例中,可以在每一个光电二极管下方形成深注入区。在可选实施例中,像素150可以包括钉扎(pinned)层光电二极管、光电门、复位晶体管、源极跟随器晶体管或转移晶体管。在一些实施例中,像素150也可以称为辐射检测器件或光传感器。
在一些实施例中,像素150可以相互改变以具有不同的结深度、厚度、宽度等。在一些实施例中,像素150具有在从约1um至约4um的范围内的深度(垂直尺寸)154和在从约0.5um至约2um的范围内的宽度(水平尺寸)156。
在一些实施例中,在衬底102的第二表面102b上方形成互连结构160。互连结构160包括多个图案化的介电层和导电层,用于在半导体图像传感器件100的各个掺杂的部件、电路和输入/输出端之间提供互连件(例如,引线)。在一些实施例中,互连结构160包括层间电介质和多层互连(MLI)结构。在一些实施例中,MLI结构包括连接件、通孔和金属线。为了示出的目的,图2A示出了多个导电线170和通孔/接触件172,应当理解,示出的导电线170和通孔/接触件172仅为示例性的,且导电线170和通孔/接触件172的实际布置和结构可以根据设计需求以及制造方面的考量而改变。
在一些实施例中,MLI结构可以包括诸如铝、铝/硅/铜合金、钛、氮化钛、钨、多晶硅、金属硅化物或它们的组合的导电材料,MLI结构被称为铝互连件。在一些实施例中,可以通过包括物理汽相沉积(PVD)、化学汽相沉积(CVD)、原子层沉积(ALD)、溅射或其他合适的工艺的沉积工艺形成铝互连件。在一些实施例中,MLI结构的形成方法可以包括光刻工艺和蚀刻以图案化用于垂直连接件(例如,通孔/接触件172)和水平连接件(例如,导电线170)的导电材料。在可选实施例中,MLI结构可以包括铜多层互连件。铜互连结构可包括铜、铜合金、钛、氮化钛、钽、氮化钽、钨、多晶硅、金属硅化物或它们的组合。铜互连结构可以由包括CVD、溅射、镀的技术或其他合适的工艺形成。
仍参考图2A,缓冲层180在互连结构160上方形成。在一些实施例中,缓冲层180包括介电材料例如氧化硅。在可选实施例中,缓冲层180可选择地包括氮化硅。在一些实施例中,缓冲层180可以由CVD、PVD或其他合适的技术形成。在一些实施例中,通过CMP工艺平坦化缓冲层180以形成光滑表面。
参考图1和图2B,在步骤20中,衬底102与载体衬底190接合,从而可以执行衬底102的第一表面102a的处理。在一些实施例中,通过缓冲层180将衬底102与载体衬底190接合。在一些实施例中,例如,载体衬底190与衬底102类似并且包括硅材料。在可选实施例中,载体衬底190可以包括玻璃衬底或其他合适的材料。在一些实施例中,载体衬底190可以通过分子力(即,已知为直接接合或光学融合接合的技术)或通过本领域已知的其他接合技术(诸如金属扩散或阳极接合)接合至衬底102。
在一些实施例中,在接合载体衬底190之后,实施减薄工艺以从第一表面102a减薄衬底102。在一些实施例中,减薄工艺可以包括机械研磨工艺和化学减薄工艺。在一些实施例中,在机械研磨工艺期间,可以首先从衬底102上移除足够量的衬底材料。然后,化学减薄工艺可以将蚀刻化学制剂(etching chemical)应用于衬底102的第一表面102a以进一步减薄衬底102至厚度104',该厚度为几微米的数量级。在一些实施例中,厚度104'大于约1um但小于约5um。还应当理解,一些实施例中所公开的特定厚度仅为实例,并且也可以根据应用类型以及半导体图像传感器件100的设计需求实现其他厚度。
参考图1和图2C,在步骤30中,在衬底102中形成多个开口110。在一些实施例中,开口110是用于DTI结构的开口。在一些实施例中,开口110形成在衬底102中并且从衬底102的第一表面102a朝向衬底102的内部延伸。在一些实施例中,通过诸如湿蚀刻工艺或干蚀刻工艺的蚀刻工艺形成开口110。例如,可以利用氢氧化钾(KOH)、四甲基氢氧化铵(TMAH)或其他合适的蚀刻化学制剂来实现湿蚀刻工艺,并且可以利用六氟化硫(SF6)或其他合适的气体来实现干蚀刻工艺。在一些实施例中,蚀刻掩模(例如硬掩模,此处未示出)可以在执行蚀刻工艺前形成,以限定开口110的尺寸和位置。在一些实施例中,为了提供说明的目的,图2C仅示出了三个开口110。在一些实施例中,开口110是用于DTI结构的沟槽,之后通过填充开口110来形成该DTI结构。在一些实施例中,开口110可以形成为具有锥形形状、近似为矩形形状或其他合适的形状。在一些实施例中,每一个开口110都具有深度120,该深度测量从第一表面102a延伸进衬底102中的量。在一些实施例中,由于开口110为用于深(与浅相反)沟槽隔离结构的沟槽,所以深度120大于STI结构的深度。在一些实施例中,开口110的深度120在从约0.2um至约3um的范围内,例如,0.5um至1.5um。在一些实施例中,每一个开口110都具有宽度122,并且宽度122在从约0.05um至约0.2um的范围内。在一些实施例中,开口110的深度与宽度的比率在从约2至约20的范围内。
参考图1和图2D以及图2E,在步骤40中,介电层144分别填充在开口110中,以在衬底102中形成隔离结构140。具体地,如图2D所示,从第一表面102a在衬底102上方形成介电材料134。介电材料134填充开口110。在一些实施例中,介电材料134包括碳氧氮化硅(SiOCN)。在一些实施例中,除了包括SiOCN之外,介电材料134还包括氧化硅(SiO2)、碳化硅(SiC)和碳氮化硅(SiCN)中的至少一个。在一些实施例中,通过硅和碳两者的源与包括氧和氮的气体混合物反应来形成介电材料134。在一些实施例中,例如,双叔丁基氨基硅烷(Bis(tertiary-butylamino)silane,BTBAS)用作硅和碳两者的源,并且气体混合物包括N2O、N2和O2。在一些实施例中,例如,氩用作稀薄气体或载体气体。在一些实施例中,通过诸如等离子体增强的原子层沉积(PEALD)方法的原子层沉积(ALD)方法来在开口110中形成介电材料134。应该注意,由于通过ALD方法形成介电材料134,所以开口110填充有介电材料134。在一些实施例中,在形成介电材料134之前,在开口110的壁以及开口110之间的衬底102的第一表面102a上形成衬里材料132。在一些实施例中,衬里材料132为高介电常数(高k)材料。在一些实施例中,高k材料包括诸如氧化钛(TiO2)、氧化锆(ZrO2)、氧化铪(HfO2)、氧化钽(Ta2O5)、以及钡和锶钛氧化物((Ba,Sr)TiO3)或它们的组合的金属氧化物。在一些实施例中,衬里材料132包括单层或多层。
然后,如图2E所示,去除开口110外部的部分介电材料134。在一些实施例中,例如,通过用于传统的间隙填充平坦化的CMP工艺来去除介电材料134的一部分。在可选实施例中,可以执行合适的回蚀刻工艺。之后,通过保留填充开口110的部分介电材料来在开口110中分别形成介电层144。在一些实施例中,同时去除开口110外部的部分衬里材料132和开口110外部的部分介电材料134,并且通过保留填充开口110的部分衬里材料来在开口110中分别形成衬层142。在一些实施例中,每一个隔离结构140都包括介电层144和介电层旁边的衬层142。
参考图2F,在步骤50中,可以在衬底102的第一表面102a上方形成滤色器层200。在一些实施例中,滤色器层200可以包括多个滤色器,其被设置为使入射辐射照射在其上并穿过滤色器。在一些实施例中,滤色器可以包括基于染料(或基于颜料)的聚合物或树脂以过滤与色谱(例如,红色、绿色和蓝色)相对应的入射辐射的特定波长带。在一些实施例中,此后,在滤色器层200上方形成具有多个微透镜的微透镜层210。在一些实施例中,微透镜将入射辐射导向并聚焦至衬底102中的诸如像素150的特定辐射感测区。在一些实施例中,微透镜可以以不同的安置方式被放置,并根据用于微透镜的材料的折射率和距离传感器表面的距离具有不同形状。在一些实施例中,在形成滤色器层200或微透镜层210之前,衬底102也可以可选地进行激光退火工艺。对于诸如半导体图像传感器件100的BSI图像传感器件而言,操作像素150以检测辐射,诸如从第一表面102a朝向衬底102投射的入射光Li
可以理解,上述加工工艺的顺序并不旨在限定。在其他实施中,一些层或器件可以根据不同的处理顺序形成,而不是根据此处所示。此外,一些其他层可以被形成,但是为了简化的缘故此处没有说明。例如,抗反射涂层(ARC)可以在滤色器层200和/或微透镜层210形成之前形成在衬底102的第一表面102a上方。
也可以理解,上述讨论主要关于半导体图像传感器件100的像素区。除了像素区,半导体图像传感器件100还包括周边区、接合焊盘区和划线。周边区可以包括需要保持光学黑暗的器件。这些器件可以包括数字器件,例如专用集成电路(ASIC)器件或芯片上***(SOC)器件、或用于为半导体图像传感器件100建立光强度基线的参考像素。接合焊盘区域为了形成接合焊盘被保留,这样半导体图像传感器件100和外部器件之间的电气连接可以被建立。划线区包括将一个半导体管芯与相邻半导体管芯分离的区。划线区在随后的加工过程中被由此切开,以便在管芯被封装和作为集成电路芯片被出售之前分开相邻的管芯。为了简化的缘故,半导体图像传感器件100的其他区域的细节此处没有示出或描述。
上述讨论还关于BSI图像传感器件。然而,可以预见的是,本发明的不同实施例也可以被应用到正面照明(FSI)图像传感器件。图3是根据一些实施例的示出了半导体图像传感器件的截面图。参考图3,半导体图像传感器件100为FSI图像传感器件,并且尽管光Li从前侧(例如,第二表面102b)而不是背侧(例如,第一表面102a)投射,但是它也使用与以上讨论的像素150类似的像素150来检测光Li。在一些实施例中,包括滤色器和微透镜层210的滤色器层200形成在前侧(例如,第二表面102b)上方。互连结构160以不妨碍或阻碍入射光从前侧(例如,第二表面102b)投射的路径的方式被实现。可以看出,隔离结构140也可以包括本文所讨论的碳氧氮化硅(SiOCN)。为了简化的缘故,FSI图像传感器件的处理细节此处不进行讨论。
在一些实施例中,诸如DTI结构的半导体图像传感器件的隔离结构包括碳氧氮化硅(SiOCN)。与由诸如钨的金属制成的传统的隔离结构相比,包括碳氧氮化硅的隔离结构不会导致不期望的电流,并且因此导致图像传感器件中的白像素的显著减少,诸如减少36%。在一些实施例中,通过原子层沉积(ALD)方法,碳氧氮化硅的材料完全填充在用于DTI结构的开口中,并且因此形成的隔离结构可以具有较高的深宽比。因此,相邻的像素之间的DTI结构提供了对于相邻像素的良好的分离,以防止入射至一个像素的辐射感测掺杂区中的光入射至相邻像素的辐射感测掺杂区中。因此,半导体图像传感器件具有良好的性能并且防止相邻像素之间的串扰。
一种半导体图像传感器件包括衬底、第一像素和第二像素、以及隔离结构。第一像素和第二像素设置在衬底中,其中,第一和第二像素为相邻像素。隔离结构设置在衬底中并且介于第一和第二像素之间,其中,隔离结构包括介电层,并且介电层包括碳氧氮化硅(SiOCN)。
半导体图像传感器件包括衬底、多个辐射感测区和多个深沟槽隔离(DTI)结构。辐射感测区形成在衬底中。DTI结构形成在衬底中,其中,每一对相邻的辐射感测区都通过相应的一个DTI结构彼此分离,DTI结构具有在从2至20的范围的深宽比,DTI结构包括介电层,以及介电层包括碳氧氮化硅(SiOCN)。
半导体图像传感器件的制造方法至少包括以下步骤。在衬底中形成多个沟槽。通过原子层沉积(ALD)方法在沟槽中分别形成介电层,以在衬底中形成深隔离结构。在相邻的深隔离结构之间的衬底中形成辐射感测区。
本发明的实施例提供了一种半导体图像传感器件,包括:衬底;第一像素和第二像素,设置在所述衬底中,其中,所述第一像素和所述第二像素为相邻像素;以及隔离结构,设置在所述衬底中并且介于所述第一像素和所述第二像素之间,其中,所述隔离结构包括介电层,并且所述介电层包括碳氧氮化硅(SiOCN)。
根据本发明的一个实施例,其中,所述隔离结构还包括SiO2、SiC和SiCN中的至少一个。
根据本发明的一个实施例,其中,所述隔离结构包括深沟槽隔离(DTI)结构。
根据本发明的一个实施例,其中,所述隔离结构的深度在从0.5um至1.5um的范围内。
根据本发明的一个实施例,其中,所述隔离结构的深度与宽度的比率在从2至20的范围内。
根据本发明的一个实施例,其中,所述第一像素和所述第二像素分别包括掺杂的辐射感测区。
根据本发明的一个实施例,半导体图像传感器件还包括:位于所述介电层旁边的衬层。
根据本发明的一个实施例,其中,所述半导体图像传感器件为背照式(BSI)图像传感器件。
根据本发明的一个实施例,其中,所述半导体图像传感器件为前照式(FSI)图像传感器件。
本发明的实施例还提供了一种半导体图像传感器件,包括:衬底;多个辐射感测区,形成在所述衬底中;以及多个深沟槽隔离(DTI)结构,形成在所述衬底中,其中,每一对相邻的辐射感测区都通过相应的一个深沟槽隔离结构彼此分离,所述深沟槽隔离结构具有在从2至20的范围的深度与宽度的比率,所述深沟槽隔离结构包括介电层,以及所述介电层包括碳氧氮化硅(SiOCN)。
根据本发明的一个实施例,其中,所述深沟槽隔离结构还包括SiO2、SiC和SiCN中的至少一个。
根据本发明的一个实施例,其中,所述深沟槽隔离结构的深度在从0.5um至1.5um的范围内。
根据本发明的一个实施例,其中,所述深沟槽隔离结构的深度大于所述辐射感测区的深度。
根据本发明的一个实施例,半导体图像传感器件还包括:位于所述介电层旁边的衬层。
根据本发明的一个实施例,其中,所述辐射感测区为掺杂区。
本发明的实施例还提供了一种半导体图像传感器件的制造方法,包括:在衬底中形成多个沟槽;通过原子层沉积(ALD)方法分别在所述沟槽中形成介电层,以在所述衬底中形成深隔离结构;以及在相邻的深隔离结构之间的衬底中形成辐射感测区。
根据本发明的一个实施例,其中,通过等离子体增强的原子层沉积(PEALD)方法形成所述介电层。
根据本发明的一个实施例,其中,通过使双叔丁基氨基硅烷(BTBAS)和包括N2O、N2和O2的气体混合物反应来形成所述介电层。
根据本发明的一个实施例,其中,在所述沟槽中填充介电层包括:在所述沟槽中并且在所述沟槽之间的衬底上方形成介电材料;以及去除所述沟槽外部的介电材料。
根据本发明的一个实施例,制造方法还包括:在所述沟槽中并且在所述介电层和所述衬底之间形成衬层。
以上论述了若干实施例的部件,使得本领域的技术人员可以更好地理解本发明的各个实施例。本领域技术人员应该理解,可以很容易地使用本发明作为基础来设计或更改其他的处理和结构以用于达到与本发明所介绍实施例相同的目的和/或实现相同优点。本领域技术人员也应该意识到,这些等效结构并不背离本发明的精神和范围,并且在不背离本发明的精神和范围的情况下,可以进行多种变化、替换以及改变。

Claims (1)

1.一种半导体图像传感器件,包括:
衬底;
第一像素和第二像素,设置在所述衬底中,其中,所述第一像素和所述第二像素为相邻像素;以及
隔离结构,设置在所述衬底中并且介于所述第一像素和所述第二像素之间,其中,所述隔离结构包括介电层,并且所述介电层包括碳氧氮化硅(SiOCN)。
CN201710072602.1A 2016-02-25 2017-02-10 半导体图像传感器件及其制造方法 Pending CN107123658A (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202211439745.9A CN115663006A (zh) 2016-02-25 2017-02-10 半导体图像传感器件及其制造方法

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/054,094 2016-02-25
US15/054,094 US20170250211A1 (en) 2016-02-25 2016-02-25 Semiconductor image sensor device and manufacturing method of the same

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN202211439745.9A Division CN115663006A (zh) 2016-02-25 2017-02-10 半导体图像传感器件及其制造方法

Publications (1)

Publication Number Publication Date
CN107123658A true CN107123658A (zh) 2017-09-01

Family

ID=59679757

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201710072602.1A Pending CN107123658A (zh) 2016-02-25 2017-02-10 半导体图像传感器件及其制造方法
CN202211439745.9A Pending CN115663006A (zh) 2016-02-25 2017-02-10 半导体图像传感器件及其制造方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN202211439745.9A Pending CN115663006A (zh) 2016-02-25 2017-02-10 半导体图像传感器件及其制造方法

Country Status (3)

Country Link
US (2) US20170250211A1 (zh)
CN (2) CN107123658A (zh)
TW (1) TWI724073B (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109285852A (zh) * 2018-09-18 2019-01-29 武汉新芯集成电路制造有限公司 沟槽形成方法、背照式图像传感器及其制作方法
CN109638026A (zh) * 2017-10-06 2019-04-16 力晶科技股份有限公司 Cmos影像感测器的深沟槽隔离结构及其制造方法

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170250211A1 (en) * 2016-02-25 2017-08-31 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor image sensor device and manufacturing method of the same
JP6806719B2 (ja) * 2018-01-17 2021-01-06 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US10741544B2 (en) * 2018-11-09 2020-08-11 International Business Machines Corporation Integration of electrostatic discharge protection into vertical fin technology
US11398512B2 (en) * 2019-12-19 2022-07-26 Taiwan Semiconductor Manufacturing Company, Ltd. Photo-sensing device and manufacturing method thereof
US12015042B2 (en) * 2020-02-21 2024-06-18 Applied Materials, Inc. Structure and material engineering methods for optoelectronic devices signal to noise ratio enhancement
US11769780B2 (en) * 2020-02-26 2023-09-26 Taiwan Semiconductor Manufacturing Co., Ltd. Image sensors with stress adjusting layers
US11901387B2 (en) * 2021-02-26 2024-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Image sensor

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101764142A (zh) * 2008-12-24 2010-06-30 东部高科股份有限公司 图像传感器及其制造方法
CN101783318A (zh) * 2009-01-21 2010-07-21 台湾积体电路制造股份有限公司 用于减少图像传感器器件中交叉串扰的方法和结构
CN102651376A (zh) * 2011-02-24 2012-08-29 索尼公司 固体摄像装置及其制造方法以及电子设备
CN103094290A (zh) * 2011-11-07 2013-05-08 台湾积体电路制造股份有限公司 采用共形掺杂的图像传感器沟槽隔离
US20140106574A1 (en) * 2010-04-15 2014-04-17 Lam Research Corporation Gapfill of variable aspect ratio features with a composite peald and pecvd method
US20140110809A1 (en) * 2012-10-23 2014-04-24 Kabushiki Kaisha Toshiba Method of manufacturing solid-state imaging device and solid-state imaging device
CN104051481A (zh) * 2013-03-11 2014-09-17 台湾积体电路制造股份有限公司 具有改进的暗电流性能的图像传感器
CN104425526A (zh) * 2013-09-03 2015-03-18 台湾积体电路制造股份有限公司 形成具有深沟槽隔离结构的图像传感器件的机制
CN105023928A (zh) * 2014-04-25 2015-11-04 台湾积体电路制造股份有限公司 形成具有嵌入的滤色器的背照式图像传感器的方法和装置
CN105280652A (zh) * 2014-06-18 2016-01-27 台湾积体电路制造股份有限公司 在具有类ono结构的背照式图像传感器中形成隐埋式滤色器

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7659595B2 (en) * 2007-07-16 2010-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Embedded bonding pad for backside illuminated image sensor
US7800192B2 (en) * 2008-02-08 2010-09-21 Omnivision Technologies, Inc. Backside illuminated image sensor having deep light reflective trenches
KR101033353B1 (ko) * 2008-10-14 2011-05-09 주식회사 동부하이텍 이미지센서 및 그 제조방법
KR101788124B1 (ko) * 2010-07-07 2017-10-20 삼성전자 주식회사 후면 조사형 이미지 센서 및 그 제조 방법
US8492241B2 (en) * 2010-10-14 2013-07-23 International Business Machines Corporation Method for simultaneously forming a through silicon via and a deep trench structure
FR2969384A1 (fr) * 2010-12-21 2012-06-22 St Microelectronics Sa Capteur d'image a intermodulation reduite
US20120193785A1 (en) * 2011-02-01 2012-08-02 Megica Corporation Multichip Packages
US8647993B2 (en) * 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
US8815723B2 (en) * 2011-09-30 2014-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. Process for enhancing image quality of backside illuminated image sensor
US8723236B2 (en) * 2011-10-13 2014-05-13 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of manufacturing same
US9893163B2 (en) * 2011-11-04 2018-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. 3D capacitor and method of manufacturing same
US8994085B2 (en) * 2012-01-06 2015-03-31 International Business Machines Corporation Integrated circuit including DRAM and SRAM/logic
US9659981B2 (en) * 2012-04-25 2017-05-23 Taiwan Semiconductor Manufacturing Co., Ltd. Backside illuminated image sensor with negatively charged layer
US8951876B2 (en) * 2012-06-20 2015-02-10 United Microelectronics Corp. Semiconductor device and manufacturing method thereof
KR20140130969A (ko) * 2013-05-02 2014-11-12 삼성전자주식회사 이미지 센서 및 이를 제조하는 방법
KR20140147508A (ko) * 2013-06-20 2014-12-30 삼성전자주식회사 이미지 센서
US9917003B2 (en) * 2013-06-28 2018-03-13 Taiwan Semiconductor Manufacturing Co., Ltd. Trench liner passivation for dark current improvement
KR102154184B1 (ko) * 2014-03-10 2020-09-09 삼성전자 주식회사 이미지 센서 및 이를 제조하는 방법
JP6362449B2 (ja) * 2014-07-01 2018-07-25 ルネサスエレクトロニクス株式会社 半導体集積回路装置の製造方法
JP6346826B2 (ja) * 2014-08-06 2018-06-20 ルネサスエレクトロニクス株式会社 半導体集積回路装置の製造方法
KR102410088B1 (ko) * 2014-12-11 2022-06-20 삼성전자주식회사 이미지 센서
KR102410019B1 (ko) * 2015-01-08 2022-06-16 삼성전자주식회사 이미지 센서
US9728573B2 (en) * 2015-01-20 2017-08-08 Taiwan Semiconductor Manufacturing Company Ltd. Backside illuminated image sensor and method of manufacturing the same
US9595555B2 (en) * 2015-05-04 2017-03-14 Semiconductor Components Industries, Llc Pixel isolation regions formed with conductive layers
US10177187B2 (en) * 2015-05-28 2019-01-08 Taiwan Semiconductor Manufacturing Company Ltd. Implant damage free image sensor and method of the same
US20170250211A1 (en) * 2016-02-25 2017-08-31 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor image sensor device and manufacturing method of the same
US10484590B2 (en) * 2016-03-04 2019-11-19 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit device
KR102391042B1 (ko) * 2016-04-25 2022-04-27 소니그룹주식회사 고체 촬상 소자 및 그 제조 방법, 및 전자 기기
US10269844B2 (en) * 2017-06-27 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of light sensing device
US10522580B2 (en) * 2017-08-23 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of light-sensing device
US10304886B2 (en) * 2017-09-28 2019-05-28 Taiwan Semiconductor Manufacturing Co., Ltd. Back-side deep trench isolation (BDTI) structure for pinned photodiode image sensor

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101764142A (zh) * 2008-12-24 2010-06-30 东部高科股份有限公司 图像传感器及其制造方法
CN101783318A (zh) * 2009-01-21 2010-07-21 台湾积体电路制造股份有限公司 用于减少图像传感器器件中交叉串扰的方法和结构
US20140106574A1 (en) * 2010-04-15 2014-04-17 Lam Research Corporation Gapfill of variable aspect ratio features with a composite peald and pecvd method
CN102651376A (zh) * 2011-02-24 2012-08-29 索尼公司 固体摄像装置及其制造方法以及电子设备
CN103094290A (zh) * 2011-11-07 2013-05-08 台湾积体电路制造股份有限公司 采用共形掺杂的图像传感器沟槽隔离
US20140110809A1 (en) * 2012-10-23 2014-04-24 Kabushiki Kaisha Toshiba Method of manufacturing solid-state imaging device and solid-state imaging device
CN104051481A (zh) * 2013-03-11 2014-09-17 台湾积体电路制造股份有限公司 具有改进的暗电流性能的图像传感器
CN104425526A (zh) * 2013-09-03 2015-03-18 台湾积体电路制造股份有限公司 形成具有深沟槽隔离结构的图像传感器件的机制
CN105023928A (zh) * 2014-04-25 2015-11-04 台湾积体电路制造股份有限公司 形成具有嵌入的滤色器的背照式图像传感器的方法和装置
CN105280652A (zh) * 2014-06-18 2016-01-27 台湾积体电路制造股份有限公司 在具有类ono结构的背照式图像传感器中形成隐埋式滤色器

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109638026A (zh) * 2017-10-06 2019-04-16 力晶科技股份有限公司 Cmos影像感测器的深沟槽隔离结构及其制造方法
CN109638026B (zh) * 2017-10-06 2021-03-12 力晶积成电子制造股份有限公司 Cmos影像感测器的深沟槽隔离结构及其制造方法
CN109285852A (zh) * 2018-09-18 2019-01-29 武汉新芯集成电路制造有限公司 沟槽形成方法、背照式图像传感器及其制作方法

Also Published As

Publication number Publication date
US20200312894A1 (en) 2020-10-01
TWI724073B (zh) 2021-04-11
TW201731085A (zh) 2017-09-01
CN115663006A (zh) 2023-01-31
US20170250211A1 (en) 2017-08-31
US11189654B2 (en) 2021-11-30

Similar Documents

Publication Publication Date Title
US11189654B2 (en) Manufacturing methods of semiconductor image sensor devices
US11495632B2 (en) Back side illuminated image sensor with deep trench isolation structures and self-aligned color filters
US11063080B2 (en) Implant damage free image sensor and method of the same
US9190441B2 (en) Image sensor trench isolation with conformal doping
US9786716B2 (en) Method of fabricating semiconductor image sensor device having back side illuminated image sensors with embedded color filters
US9123616B2 (en) Dark current reduction for back side illuminated image sensor
US9368531B2 (en) Formation of buried color filters in a back side illuminated image sensor with an ono-like structure
CN109768061B (zh) 半导体图像感测装置及其制作方法
US11342373B2 (en) Manufacturing method of image sensing device
CN103489883B (zh) 图像器件及其形成方法
US20240204024A1 (en) Method of making a semiconductor image sensor having reflection component
CN106129073A (zh) 高介电常数介电层形成方法、图像传感器器件及其制造方法
TWI839112B (zh) 光學結構及其製造方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
RJ01 Rejection of invention patent application after publication

Application publication date: 20170901

RJ01 Rejection of invention patent application after publication