CN107026115A - 至部分填充的沟槽的通孔互连件 - Google Patents

至部分填充的沟槽的通孔互连件 Download PDF

Info

Publication number
CN107026115A
CN107026115A CN201610920021.4A CN201610920021A CN107026115A CN 107026115 A CN107026115 A CN 107026115A CN 201610920021 A CN201610920021 A CN 201610920021A CN 107026115 A CN107026115 A CN 107026115A
Authority
CN
China
Prior art keywords
hole
metal
groove
ild
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201610920021.4A
Other languages
English (en)
Inventor
张世明
赖志明
刘如淦
高蔡胜
李忠儒
包天
包天一
眭晓林
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN107026115A publication Critical patent/CN107026115A/zh
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • H01L21/31055Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/7688Filling of holes, grooves or trenches, e.g. vias, with conductive material by deposition over sacrificial masking layer, e.g. lift-off
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/1052Formation of thin functional dielectric layers
    • H01L2221/1057Formation of thin functional dielectric layers in via holes or trenches
    • H01L2221/1063Sacrificial or temporary thin dielectric films in openings in a dielectric

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Geometry (AREA)

Abstract

一种方法包括:形成部分地填充有第一金属材料的沟槽,在第一层间介电(ILD)层内形成沟槽;用牺牲材料填充沟槽的剩余部分;在第一ILD层上沉积缓冲层;图案化缓冲层以在缓冲层内形成孔,从而暴露牺牲材料;以及去除牺牲材料。本发明实施例涉及至部分填充的沟槽的通孔互连件。

Description

至部分填充的沟槽的通孔互连件
技术领域
本发明实施例涉及至部分填充的沟槽的通孔互连件。
背景技术
在半导体集成电路(IC)产业中,IC材料和设计中的技术进步已经产生了数代的IC,其中每代IC都具有比上一代IC更小和更复杂的电路。在IC发展过程中,功能密度(即,每一芯片面积上互连器件的数量)通常已经增加而几何尺寸(即,使用制造工艺可以制造的最小的元件(或线))却已减小。通常这种按比例缩小工艺通过提高生产效率和降低相关成本而带来益处。这种按比例缩小也增加了IC处理和制造中的复杂度。
形成集成电路的一个方面是形成小的垂直的金属线,以将一层水平金属线连接至不同层的水平金属线。这种垂直金属线通常被称为通孔。由于集成电路较小的性质,将通孔的图案与先前施加的层对准可能是困难的。例如,当制造通孔时,将用于形成通孔的图案适当的对准从而将通孔连接至合适的金属线是重要的。即使通孔与下面的适当的金属线接触,但是稍微的未对准可能导致通孔与邻近的金属线太近。为了避免这个问题,期望使用处理方法以形成更好的对准但是不与那些不旨在与其接触的线太接近的通孔。
发明内容
根据本发明的一些实施例,提供了一种用于制造集成电路结构的方法,包括:形成部分地填充有第一金属材料的沟槽,在第一层间介电(ILD)层内形成所述沟槽;用牺牲材料填充所述沟槽的剩余部分;在所述第一层间介电层上沉积缓冲层;图案化所述缓冲层以在所述缓冲层内形成孔,从而暴露所述牺牲材料;以及去除所述牺牲材料。
根据本发明的另一些实施例,还提供了一种用于制造集成电路结构的方法,包括:形成部分地填充有第一金属材料的沟槽,在第一层间介电(ILD)层内形成所述沟槽;用牺牲材料填充所述沟槽的剩余部分;在所述第一层间介电层上沉积第二层间介电层;图案化所述第二层间介电层以在所述第二层间介电层内形成孔,从而暴露所述牺牲材料;以及去除所述牺牲材料,从而生成沟槽凹槽。
根据本发明的又一些实施例,还提供了一种集成电路结构,包括:第一金属部件,形成在第一介电层内;第二金属部件,形成在第二介电层内,所述第二介电层设置在所述第一介电层上;以及通孔,将所属第一金属部件连接至所述第二金属部件,其中,所述通孔的顶部与所述通孔的底部偏移。
附图说明
当结合附图进行阅读时,从下面的详细描述可以更好地理解本发明的各个方面。应该强调的是,根据工业中的标准实践,各个部件没有按比例绘制。事实上,为了更清楚的论述,各个部件的尺寸可以任意地增加或减小。
图1A至图1L是根据本文中描述的原理的一个实例的示出形成连接至部分填充的沟槽中的金属线的通孔的示例性工艺的图。
图2A至图2E是根据本文中描述的原理的一个实例的示出使用双镶嵌工艺形成连接至部分填充的沟槽中的金属线的通孔的示例性工艺的图。
图3是根据本文中描述的原理的一个实例的示出通过使用缓冲层形成连接至部分填充的沟槽中的金属线的通孔的示例性工艺的图。
图4是根据本文中描述的原理的一个实例的示出使用双镶嵌工艺形成连接至部分填充的沟槽中的金属线的通孔的示例性方法的流程图。
具体实施方式
以下公开内容提供了许多用于实现所提供主题的不同特征的不同实施例或实例。下面描述了组件和布置的具体实例以简化本发明。当然,这些仅仅是实例,而不旨在限制本发明。例如,在以下描述中,在第二部件上方或者上形成第一部件可以包括第一部件和第二部件形成为直接接触的实施例,并且也可以包括在第一部件和第二部件之间可以形成额外的部件,从而使得第一部件和第二部件可以不直接接触的实施例。此外,本发明可在各个实例中重复参考标号和/或字母。该重复是为了简单和清楚的目的,并且其本身不指示所讨论的各个实施例和/或配置之间的关系。
而且,为便于描述,在此可以使用诸如“在…之下”、“在…下方”、“下部”、“在…之上”、“上部”等的空间相对术语,以便于描述如图所示的一个元件或部件与另一个(或另一些)元件或部件的关系。除了图中所示的方位外,空间相对术语旨在包括器件在使用或操作中的不同方位。装置可以以其他方式定向(旋转90度或在其他方位上),而在此使用的空间相对描述符可以同样地作相应的解释。
如上所述,期望形成一种通孔,使得其与适当的金属线良好地接触但是不与那些不旨在与其接触的邻近的金属线太接近。根据本文中描述的原理,形成下面的金属层的金属线作为部分填充的沟槽。换句话说,这些沟槽仅部分地填充有导电材料,诸如金属材料。因此,如果在通孔和金属线之间存在未对准,也将存在附加的垂直距离以将通孔与邻近的金属线分离。
图1A至图1L是示出用于形成集成电路(IC)结构100的示例性工艺的图,集成电路(IC)结构100包括形成在半导体衬底(未示出)上并且设计为连接各种器件以形成集成电路的互连结构。在一些实施例中,半导体衬底是硅衬底。在一些实施例中,半导体衬底可以选择性地或额外地包括其他半导体材料,诸如锗、硅锗、砷化镓或其他合适的半导体材料。在一些实施例中,半导体衬底还可以额外的包括嵌入的介电材料层,以提供适当的隔离功能。该互连结构包括位于多个金属层中的金属线,这些金属线通过通孔部件垂直连接。在用于示出目的的本实施例中,IC结构100包括连接至诸如位于部分填充的沟槽中的金属线的导电线的通孔。
图1A是诸如金属线106,107的两条导电线的截面图。两条金属线106,107可以是位于一个金属层(诸如金属一或金属两)中的金属图案的一部分,并且金属层形成于诸如层间介电(ILD)层的介电层内。根据目前的实例,第一沟槽104和第二沟槽105形成在第一层间电介质(ILD)102内。第一沟槽104部分地填充有诸如金属材料的金属材料以形成第一金属线106和第二沟槽105部分地填充有金属材料以形成第二金属线107。金属线106,107的顶面沿着平面109共面。第一和第二金属线可包括多个膜。在一些实施例中,金属线(106和107)包括形成在沟槽的侧壁上的阻挡层。在进一步的实施例中,金属线(106和107)包括形成在阻挡层上并且填充在沟槽中的块状金属。在一些实例中,阻挡层包括氮化钛、氮化钽或其他合适的材料。在一些实例中,块状材料包括铜或其他合适的金属或金属合金。
ILD 102可形成在半导体衬底(未示出)的顶部上,半导体衬底诸如半导体晶圆。半导体衬底可以包括各种各样的电路器件,诸如形成在其上的晶体管。这样的电路元件形成在前段制程期间。在一些情况下,在后段制程期间,在电路器件上形成互连结构。该互连结构包括位于多个金属层中的水平金属线。位于不同金属层中的金属线通过使用称为通孔的垂直导电线连接至彼此。图1A示出了位于ILD102内的金属线的一个这样的金属层的部分。
可由任何合适的介电材料形成ILD 102。在一些实施例中,ILD 102包括氧化硅、氟化硅酸盐玻璃(FSG)、有机硅酸盐玻璃(OSG)、碳掺杂的氧化硅、干凝胶、气凝胶、非晶氟化碳、聚酰亚胺、多孔材料和/或其他材料。ILD 102可以由由于其蚀刻选择性而选择的材料制成。例如,期望一些蚀刻工艺将对ILD 102具有很小的影响,这将在下文中进一步详细描述。在一些其他的实例,ILD 102还包括不同介电材料的蚀刻停止层以提供蚀刻选择性。
可以以各种不同的方式形成部分填充的沟槽104,105。在一些实施例中,由镶嵌工艺形成金属层的金属线(诸如106和107)。包括光刻的图案化工艺用来形成沟槽。具体而言,施加、曝光和显影光刻胶。然后应用蚀刻工艺以将光刻胶内的图案转印至ILD 102以形成沟槽。在一些实例中,可以使用硬掩模,从而使得沟槽图案被首先从图案化的光刻胶转印至硬掩模并且被进一步从硬掩模转印至ILD102。之后,在沟槽104、105内沉积诸如金属材料的金属材料。这样的沉积可以过填充沟槽。从而,可以应用化学机械抛光(CMP)工艺以去除多余的金属材料和暴露ILD 102。这留下完全填充的沟槽。然后,施加蚀刻工艺以部分地凹进金属材料以形成部分填充的沟槽104,105。可以选择这样的蚀刻工艺,从而使得它有效地去除金属材料,同时保留ILD 102基本完整。
其他方法也可以用于形成部分填充的沟槽。在一个实例中,代替凹进金属材料,可以将额外的ILD材料或其他合适的介电材料沉积在不对应金属部件的区域中,诸如通过自对准的生长。也考虑其他方法。
图1B是示出牺牲材料108的沉积的图。可以将牺牲材料108选择为通过诸如湿蚀刻工艺的特定蚀刻工艺地容易地去除的材料。也可以将牺牲材料选择为低成本的材料。在一些实施例中,聚合物材料作为牺牲材料,以通过诸如旋涂的合适的技术填充在沟槽中。
图1C是示出示例性CMP工艺110的图,CMP工艺110用于去除位于ILD 102之上的牺牲材料108,从而暴露第一ILD 102。这使得牺牲材料108留在沟槽104、105的上部内。诸如回蚀刻的其他工艺可以可选地用于去除位于ILD 102的表面之上的牺牲材料108。
图1D示出了在第一ILD 102上沉积缓冲层112。在一些实施例中,该缓冲层112包括与ILD 102不同的介电材料,从而使得后续的蚀刻工艺能够选择性地蚀刻缓冲层而不会蚀刻ILD 102。在一些实例中,缓冲层包括通过诸如化学汽相沉积(CVD)的适当的技术形成在ILD 102上的诸如氮化硅或碳化硅的介电材料。然后在缓冲层112上形成光刻胶114。图1D将光刻胶114示出为图案化的。标准光刻工艺可以用于图案化光刻胶。例如,通过使用图案化的光掩模,将光刻胶暴露于光源。然后,显影光刻胶以去除光刻胶的特定部分。
图1E示出了将光刻胶中的图案转印至缓冲层112之后的IC结构。具体地,蚀刻工艺可用于在缓冲层112的开口内蚀刻缓冲层112的暴露部分。因此,光掩模中的图案被转印至缓冲层以在缓冲层112中形成开口(孔)116并且暴露位于金属线106上方的牺牲材料108。开口116对应于通孔将形成的位置,并且该通孔将金属线106连接到上面的金属层的金属线。在一个实例中,诸如干蚀刻工艺的各向异性蚀刻工艺用于在缓冲层112中形成开口116。干蚀刻工艺经常使用离子轰击和化学反应来去除特定类型的材料。随后,通过诸如湿剥离或等离子体灰化的合适的工艺去除光刻胶。
图1F示出了用于去除通过孔116暴露的牺牲材料108的蚀刻工艺115。这将生成向下延伸到金属线106的孔118。蚀刻工艺可以是诸如湿蚀刻工艺的各向同性蚀刻工艺。湿蚀刻工艺使用化学蚀刻剂以去除特定类型的材料。蚀刻工艺115设计为选择性地去除牺牲材料108同时保留第一ILD 102和缓冲层112基本完整。
图1G示出了诸如形成在孔118内的金属通孔的导电部件120。可以通过在晶圆的表面上沉积金属材料,和然后实施CMP工艺以暴露出缓冲层112的顶部来形成金属通孔120。这使得金属材料保留在孔118内以形成通孔120和电路中的其他通孔。在一些实施例中,铜或钨可用于填充孔118,以形成金属通孔120。在进一步的实施例中,可通过包括在孔120中溅射以形成铜晶种层和镀以形成块状铜的步骤来填充铜。在一些实施例中,在填充金属之前,可以在孔120的侧壁上沉积诸如钽、氮化钽、钛、氮化钛或它们的组合的阻挡层。
在各个实施例中,以这种方式形成金属通孔提供了许多优点。例如,当在缓冲层112内图案化通孔时,存在轻微的未对准(金属线106和上面的通孔之间)是可能的。在没有使用本文所述的原理的情况下,轻微的未对准可能会导致通孔太靠近相邻的金属线107。具体来说,距离138可能太接近金属线107。因此,电路将不得不被设计为在金属线之间具有更多的空间,以适应预期的未对准问题。
但是,使用这里描述的原理,部分填充的沟槽会导致在通孔和相邻的金属线107之间存在额外的距离。具体地说,距离140包括水平距离和垂直距离。因此,使用本文所述的原理,可以将电路设计为具有更紧凑的金属线。
此外,由于接触面积通过未对准减小,因此金属线106和上面的通孔之间的接触电阻通过未对准增加。通过实施本发明的方法,在未对准的情况下,金属通孔120包括两部分,上部和下部,并且在ILD 102的顶面处具有界面。由于未对准,上部和下部彼此偏移。金属通孔120的上部位于ILD 102之上和下部嵌入在ILD 102中。下部自对准在金属线106上,因此,接触面积最大化和接触电阻最小化。特别是,金属通孔120位于金属线106和107之上。
图1H示出了去除工艺以去除缓冲层112。在一些实例中,也去除位于邻近的金属线107上方的牺牲材料108。去除工艺可以包括设计为去除缓冲层112而保留第一ILD 102和金属通孔120基本完整的蚀刻工艺。
图1I示出了在第一ILD102上方形成第二ILD 122。在本实例中,第二ILD 122可以由与第一ILD102相同的材料制成。然而,在一些实例中,第二ILD 122可不同于第一ILD102。第二ILD122用于支撑第二金属层和隔离第二金属层的金属线。因此,可以在第二ILD内形成一组金属线。通常通过在第二ILD122中形成沟槽来形成这些金属线。
图1J示出了沟槽123在第二ILD 122内的形成。在本实例中,形成沟槽123,从而使得它垂直于第一ILD102内的金属线106、107。通过包括光刻图案化和蚀刻的合适的工序形成沟槽123。沟槽123暴露通孔120,从而使得当在沟槽123内形成金属材料时,这些金属与通孔120直接接触并且因此电连接到通孔120。
图1K示出了在沟槽123内形成金属材料以在第二ILD 122内形成金属线124。因此,第二ILD 122内的金属线124连接至第一ILD102内的金属线106。使用此处所描述的原理,通孔120是这样的,由于固有的未对准使得通孔120的上部与通孔120的下部偏移。偏移在第一ILD102和第二ILD 122之间的界面126处是共面的。在这个实例中,偏移距离小于通孔120的宽度。通孔120的下部与金属线自对准。在一些实例中,如果将形成额外的金属层,则金属线124可以仅部分填充沟槽123。因此,上面描述的相同的技术可以被用来形成额外的金属层。
图1L示出了其中在第一ILD102和第二ILD122之间设置蚀刻停止层128的实例。在一个实例中,在形成第一ILD102之前且在沉积缓冲层112之前,沉积蚀刻停止层128。然而,在制造工艺期间,可以在其他合适的时间应用蚀刻停止层128。蚀刻停止层128是用来防止第一ILD层的不期望的蚀刻。例如,当蚀刻第二ILD 122以图案化第二ILD 122时,不期望蚀刻第一ILD 102。蚀刻停止层128被设计为基本上不受用于蚀刻第二ILD 122的蚀刻剂的影响。
在一个实例中,缓冲层112可以为ILD层。这样的ILD层可以具有与第一ILD 102不同的蚀刻选择性。在这样的实例中,缓冲层112可代替第二ILD层122而保持。根据图1J至图1L论述的步骤可以然后对代替第二ILD层122的缓冲层112实施。
图2A至图2E是示出形成IC结构200的示例性工艺的图,形成IC结构200使用部分填充的沟槽和双镶嵌工艺以形成将来自下面的金属层的金属层连接至来自上面的金属层的金属线的导电通孔。这样的工艺类似于上述工艺,除了使用第二ILD 202代替缓冲层(例如,图1,112)之外。图2A示出了在形成导电通孔之前,在第一ILD 102上方形成第二ILD层202。类似上述的工艺,光刻胶层114应用在第二ILD 202的顶部上。然后,使用不同的光刻工艺图案化该光刻胶114。
图2B示出了将光刻胶中的图案转印至第二ILD层202以形成孔204之后的IC结构200。孔204一直延伸穿过第二ILD202以暴露第一ILD 102和牺牲材料108。在本实例中,第二ILD 202由与ILD 102不同的材料制成。更具体地说,选择不同的ILD材料以便相对于彼此具有蚀刻选择性。这样,用于形成孔204的蚀刻工艺基本上不影响第一ILD 102。随后,可以通过诸如湿剥离或等离子体灰化的适当的技术去除光刻胶114。
图2C示出了使用诸如湿蚀刻工艺的蚀刻工艺去除牺牲层108。这生成了从第二ILD202的顶部延伸至金属线106的顶部的孔206。用于去除牺牲材料108的蚀刻工艺可以选择为使得它能有效去除牺牲材料而基本上不影响第二ILD 202、第一ILD102或金属线106。
图2D示出了在第二ILD 202内形成沟槽205。在本实例中,沟槽垂直于金属线106,107。沟槽205也与孔206相交。这样,当沉积金属材料时,它将填充沟槽205以及孔206。
图2E示出了沉积金属以填充沟槽205。沉积的金属由此形成金属线208和导电通孔210。金属线208可以是在第二ILD 202内形成金属图案的若干金属线中的一条。导电通孔210提供了下面的金属层的金属线106和上面的金属层的金属线208之间的电连接。因为单次金属沉积形成了通孔和金属线,所以它可以称为双镶嵌工艺。在一些实例中,如果将形成额外的金属层,那么金属线208可以仅部分填充沟槽205。因此,上面描述的相同的技术可以被用来形成额外的金属层。
在以上述所述的方式形成通孔210后,通孔210的上部将与通孔210的下部偏移。偏移距离小于通孔210的宽度。此外,偏移与第一ILD102和第二ILD202之间的界面共面。在一些实例中,可以以与上文中结合图1L描述的蚀刻停止层128类似的方式使用蚀刻停止层。
以这种方式形成通孔210提供了许多优点。例如,当在第二ILD202内图案化通孔210时,存在轻微的未对准是可能的。在没有使用本文所述的原理的情况下,轻微的未对准可能会导致通孔太靠近相邻的金属线107。因此,电路将不得不被设计为在金属线之间具有更多的空间,以适应预期的未对准问题。但是,通过使用这里描述的原理,部分填充的沟槽会导致在通孔和相邻的金属线107之间存在额外的距离。具体地说,这样的距离包括水平距离和垂直距离。因此,使用本文所述的原理,可以将电路设计为具有更紧凑的金属线。
图3是示出用于形成连接至位于部分填充的沟槽中的金属线的通孔的说明性方法300的流程图,该方法利用缓冲层。根据本实例,方法300包括用于形成部分地填充有第一金属材料的沟槽的步骤302。在第一层间介电(ILD)层内形成沟槽。在一个实例中,为了形成部分填充的沟槽,应用包括光刻的图案化工艺。具体而言,施加、曝光和显影光刻胶。然后,施加蚀刻工艺以将光刻胶内的图案转印至ILD以形成沟槽。然后,在沟槽中沉积诸如金属材料的金属材料。这种沉积可以过填充沟槽。因此,化学机械抛光(CMP)工艺可用于去除多余的金属材料和暴露ILD。这导致完全填充的沟槽。然后,蚀刻工艺用于部分地去除金属材料以形成部分填充的沟槽。
该方法300还包括用牺牲材料填充沟槽的剩余部分的步骤304。牺牲材料可以是成本低并且通过诸如湿蚀刻工艺的去除工艺可被容易地去除的材料。
方法300还包括用于在第一ILD层上沉积缓冲层的步骤306。缓冲层是临时层,并随后将被去除。缓冲层是由相对于第一ILD层可被选择性地蚀刻的材料制成。
该方法300还包括用于图案化缓冲层以在缓冲层内形成孔,以暴露牺牲材料的步骤308。这可以通过包括光刻工艺的各种制造工艺来完成。然后,在步骤310中,可以去除牺牲材料。在一个实例中,湿蚀刻工艺用于去除牺牲材料。去除牺牲材料暴露将形成在部分填充的沟槽内的金属线。
方法300进一步包括步骤312,用第二金属材料填充沟槽的剩余部分和填充孔以形成通孔。在一个实例中,然后,应用CMP工艺以去除不在孔内的多余的金属材料并且暴露缓冲层的顶面。然而,通孔,仍然保留在合适的位置。
方法300还包括步骤314,用第二ILD层代替缓冲层。这通过首先用蚀刻工艺去除缓冲层来完成。这样的蚀刻工艺设计为去除缓冲层同时保留第一ILD和通孔基本完整。然后,沉积第二ILD材料。然后,CMP工艺可以用来平坦化第二ILD的表面。
方法300还包括在第二ILD内形成金属线的步骤。更具体地,第二ILD内形成金属图案。该金属图案包括与通孔接触的金属线。在一个实例中,为了形成金属图案,在第二ILD内形成一组沟槽。这些沟槽可以延伸到足以暴露通孔的顶面的深度。因此,当以金属材料填充沟槽以形成金属线时,金属线与通孔电连接。由此,通孔将下面的金属线连接到上面的的金属线。
图4是示出通过使用双镶嵌工艺用于形成连接至位于部分地填充的沟槽中的金属线的通孔的示例性方法的流程图。根据本实例,方法400包括步骤402,形成部分地填充有第一金属材料的沟槽。在第一层间介电(ILD)层内形成沟槽。可以以以上描述的方式形成这样的部分地填充的沟槽。
该方法400还包括步骤404,用牺牲材料填充沟槽的剩余部分。牺牲材料可以是成本低并且通过诸如湿蚀刻工艺的去除工艺可被容易地去除的材料。
该方法400还包括步骤406,在第一ILD层上沉积第二ILD层。第二ILD层可以由与第一ILD层不同的材料制成,从而使得可以相对于第一ILD层,选择性地蚀刻第二ILD层。
方法400还包括步骤408,图案化第二ILD层,以在第二ILD层内形成孔。这暴露了牺牲材料。这可以通过包括光刻工艺的各种制造工艺完成。然后,在步骤410中,可以去除牺牲材料。在一个实例中,湿蚀刻工艺用于去除牺牲材料。去除牺牲材料暴露形成在部分填充的沟槽内的金属线。
方法400还包括步骤412,图案化第二ILD层以形成用于金属图案的沟槽。至少一个沟槽与在第二ILD层内形成的孔相交。因此,孔将从沟槽的底部向下延伸至形成于第一ILD层中的部分填充的沟槽内的金属线的顶面。
该方法400进一步包括步骤414,用金属材料填充沟槽和孔。这在单个沉积工艺中形成了孔内的通孔和通孔之上的金属线。这样的工艺被称为双镶嵌工艺。在一些实例中,CMP工艺应用于沉积的金属的顶部以去除过量的金属并且暴露第二ILD的顶面。
上述技术描述了位于第一ILD中的第一金属线和位于第二ILD中的第二金属线之间的通孔。然而,上述的方法和器件可以应用于层的堆叠件内的任意两层。例如,它可以适用于对于电路的特定的后段部分的8个金属层的情况。这些层的每一层可以使用本文中描述的原理形成,从而使得下面的层形成到部分填充的沟槽内。这样,当形成随后的层和通孔时,孔与邻近的金属线(不旨在与其接触)之间将具有更大的距离。此外,虽然以上说明使用金属图案、金属线和金属通孔,但是应当理解,也可以使用其他导电材料来代替金属。
以图3和图4描述的方式形成通孔提供了多种优势。例如,当在缓冲层或第二ILD层内图案化通孔时,将存在轻微的未对准。当没有使用本文中描述的原理时,轻微的未对准可能会导致通孔太靠近邻近的金属线。因此,电路将不得不被设计为在金属线之间具有更多的空间,以适应预期的未对准问题。但是,通过使用这里描述的原理,部分填充的沟槽会导致在通孔和相邻的金属线之间存在额外的距离。具体地说,这样的距离包括水平距离和垂直距离。因此,使用本文所述的原理,可以将电路设计为具有更紧凑的金属线。
根据一个实例,一种方法包括:形成部分地填充有第一金属材料的沟槽,在第一层间介电(ILD)层内形成沟槽;用牺牲材料填充沟槽的剩余部分;在第一ILD层上沉积缓冲层;图案化缓冲层以在缓冲层内形成孔,从而暴露牺牲材料;以及去除牺牲材料。
根据一个实例,一种方法包括:形成部分地填充有第一金属材料的沟槽,在第一层间介电(ILD)层内形成沟槽;用牺牲材料填充沟槽的剩余部分;在第一层间介电层上沉积第二层间介电层;图案化第二层间介电层以在第二层间介电层内形成孔,从而暴露牺牲材料;以及去除牺牲材料。
根据一个实例,半导体器件包括:形成在第一介电层内的第一金属图案,第一金属图案包括第一金属线;形成在第二介电层内的第二金属图案,第二金属图案包括第二金属线,第二介电层设置在第一介电层上;和通孔,将第一金属图案的第一金属线连接至第二金属图案的第二金属线,其中,通孔的顶部与通孔的底部偏移。
根据本发明的一些实施例,提供了一种用于制造集成电路结构的方法,包括:形成部分地填充有第一金属材料的沟槽,在第一层间介电(ILD)层内形成所述沟槽;用牺牲材料填充所述沟槽的剩余部分;在所述第一层间介电层上沉积缓冲层;图案化所述缓冲层以在所述缓冲层内形成孔,从而暴露所述牺牲材料;以及去除所述牺牲材料。
在上述方法中,还包括:用第二导电材料填充所述沟槽的剩余部分和所述孔以形成通孔。
在上述方法中,所述通孔的顶部与所述通孔的底部偏移。
在上述方法中,所述第二金属材料和所述第一金属材料包括相同的金属材料。
在上述方法中,还包括:去除所述缓冲层;以及在所述第一层间介电层上形成第二层间介电层。
在上述方法中,还包括:在所述第二层间介电层上形成金属线,所述金属线接触所述通孔的顶部。
在上述方法中,在所述第二层间介电层中形成金属线包括:在所述第二层间介电层中形成第二沟槽;用第三金属材料填充所述第二沟槽;以及抛光所述第三金属材料以去除所述第三金属材料的过量部分。
在上述方法中,所述第一层间介电层和所述第二层间介电层包括相同的材料;所述缓冲层包括与所述第一层间介电层不同的材料;并且去除所述缓冲层包括施加蚀刻剂以选择性地蚀刻所述缓冲层同时保留第一层间介电层完整。
在上述方法中,形成部分地填充有所述第一金属材料的所述沟槽包括:在所述第一层间介电层内形成所述沟槽;用所述第一金属材料填充所述沟槽;以及凹进所述第一金属材料。
根据本发明的另一些实施例,还提供了一种用于制造集成电路结构的方法,包括:形成部分地填充有第一金属材料的沟槽,在第一层间介电(ILD)层内形成所述沟槽;用牺牲材料填充所述沟槽的剩余部分;在所述第一层间介电层上沉积第二层间介电层;图案化所述第二层间介电层以在所述第二层间介电层内形成孔,从而暴露所述牺牲材料;以及去除所述牺牲材料,从而生成沟槽凹槽。
在上述方法中,还包括:在所述第二层间介电层内形成沟槽图案,所述沟槽图案具有比所述孔更小的深度。
在上述方法中,所述沟槽图案的沟槽与所述孔相交。
在上述方法中,还包括:用第二金属材料填充所述沟槽凹槽、所述沟槽图案和所述孔。
在上述方法中,所述第一金属材料和所述第二金属材料包括相同的金属材料。
在上述方法中,图案化所述第二层间介电层包括对所述第二层间介电层实施蚀刻工艺。
在上述方法中,所述第一层间介电层和所述第二层间介电层由不同的材料制成,从而使得所述蚀刻工艺选择性地蚀刻所述第二层间介电层。
在上述方法中,形成部分地填充有所述第一金属材料的所述沟槽包括:在所述第一层间介电层内形成所述沟槽;用所述第一金属材料填充所述沟槽;实施化学机械抛光(CMP)工艺;以及凹进所述第一金属材料的部分。
根据本发明的又一些实施例,还提供了一种集成电路结构,包括:第一金属部件,形成在第一介电层内;第二金属部件,形成在第二介电层内,所述第二介电层设置在所述第一介电层上;以及通孔,将所属第一金属部件连接至所述第二金属部件,其中,所述通孔的顶部与所述通孔的底部偏移。
在上述集成电路结构中,所述偏移设置为与所述第一介电层和所述第二介电层之间的界面共面。
在上述集成电路结构中,还包括形成在所述第一介电层中的第三金属部件,其中,所述第三金属部件邻近所述第一金属部件;所述第三金属部件的顶面低于所述第一介电层的顶面;以及所述第三金属部件的底面与所述第一金属部件的底面共面。
上面概述了若干实施例的部件、使得本领域技术人员可以更好地理解本发明的方面。本领域技术人员应该理解,他们可以容易地使用本发明作为基础来设计或修改用于实现与在此所介绍实施例相同的目的和/或实现相同优势的其他工艺和结构。本领域技术人员也应该意识到,这种等同构造并不背离本发明的精神和范围、并且在不背离本发明的精神和范围的情况下,在此他们可以做出多种变化、替换以及改变。

Claims (1)

1.一种用于制造集成电路结构的方法,包括:
形成部分地填充有第一金属材料的沟槽,在第一层间介电(ILD)层内形成所述沟槽;
用牺牲材料填充所述沟槽的剩余部分;
在所述第一层间介电层上沉积缓冲层;
图案化所述缓冲层以在所述缓冲层内形成孔,从而暴露所述牺牲材料;以及
去除所述牺牲材料。
CN201610920021.4A 2015-12-15 2016-10-21 至部分填充的沟槽的通孔互连件 Pending CN107026115A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/970,242 2015-12-15
US14/970,242 US9911623B2 (en) 2015-12-15 2015-12-15 Via connection to a partially filled trench

Publications (1)

Publication Number Publication Date
CN107026115A true CN107026115A (zh) 2017-08-08

Family

ID=59019073

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201610920021.4A Pending CN107026115A (zh) 2015-12-15 2016-10-21 至部分填充的沟槽的通孔互连件

Country Status (3)

Country Link
US (5) US9911623B2 (zh)
CN (1) CN107026115A (zh)
TW (1) TW201732930A (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108122820A (zh) * 2016-11-29 2018-06-05 中芯国际集成电路制造(上海)有限公司 互连结构及其制造方法

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9911623B2 (en) 2015-12-15 2018-03-06 Taiwan Semiconductor Manufacturing Company, Ltd. Via connection to a partially filled trench
US10600687B2 (en) * 2017-04-19 2020-03-24 Tokyo Electron Limited Process integration techniques using a carbon layer to form self-aligned structures
US10741609B2 (en) 2019-01-08 2020-08-11 International Business Machines Corporation Pre-patterned etch stop for interconnect trench formation overlying embedded MRAM structures
US20210143061A1 (en) * 2019-11-07 2021-05-13 International Business Machines Corporation Hybrid metallization and dielectric interconnects in top via configuration

Family Cites Families (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6888251B2 (en) * 2002-07-01 2005-05-03 International Business Machines Corporation Metal spacer in single and dual damascene processing
US20060216929A1 (en) * 2005-03-28 2006-09-28 Hyun-Mog Park Etch stopless dual damascene structure and method of fabrication
US20060226549A1 (en) * 2005-04-12 2006-10-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and fabricating method thereof
US7651942B2 (en) * 2005-08-15 2010-01-26 Infineon Technologies Ag Metal interconnect structure and method
JP4918778B2 (ja) * 2005-11-16 2012-04-18 株式会社日立製作所 半導体集積回路装置の製造方法
US7564115B2 (en) 2007-05-16 2009-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Tapered through-silicon via structure
US7973413B2 (en) 2007-08-24 2011-07-05 Taiwan Semiconductor Manufacturing Company, Ltd. Through-substrate via for semiconductor device
US8227902B2 (en) 2007-11-26 2012-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Structures for preventing cross-talk between through-silicon vias and integrated circuits
US7843064B2 (en) 2007-12-21 2010-11-30 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and process for the formation of TSVs
US7803704B2 (en) * 2008-08-22 2010-09-28 Chartered Semiconductor Manufacturing, Ltd. Reliable interconnects
US8278152B2 (en) 2008-09-08 2012-10-02 Taiwan Semiconductor Manufacturing Company, Ltd. Bonding process for CMOS image sensor
US7825024B2 (en) 2008-11-25 2010-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming through-silicon vias
US8158456B2 (en) 2008-12-05 2012-04-17 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming stacked dies
US8183578B2 (en) 2010-03-02 2012-05-22 Taiwan Semiconductor Manufacturing Company, Ltd. Double flip-chip LED package components
US8183579B2 (en) 2010-03-02 2012-05-22 Taiwan Semiconductor Manufacturing Company, Ltd. LED flip-chip package structure with dummy bumps
US8426961B2 (en) 2010-06-25 2013-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Embedded 3D interposer structure
US8581418B2 (en) 2010-07-21 2013-11-12 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-die stacking using bumps with different sizes
US8105875B1 (en) 2010-10-14 2012-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Approach for bonding dies onto interposers
US8664113B2 (en) * 2011-04-28 2014-03-04 GlobalFoundries, Inc. Multilayer interconnect structure and method for integrated circuits
US8803316B2 (en) 2011-12-06 2014-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. TSV structures and methods for forming the same
CN104025263B (zh) * 2011-12-30 2018-07-03 英特尔公司 自封闭的非对称互连结构
US8803292B2 (en) 2012-04-27 2014-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. Through-substrate vias and methods for forming the same
US9443783B2 (en) 2012-06-27 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC stacking device and method of manufacture
US8802504B1 (en) 2013-03-14 2014-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. 3D packages and methods for forming the same
US9299649B2 (en) 2013-02-08 2016-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. 3D packages and methods for forming the same
US8993380B2 (en) 2013-03-08 2015-03-31 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for 3D IC package
US20150076695A1 (en) * 2013-09-16 2015-03-19 Stmicroelectronics, Inc. Selective passivation of vias
US9406615B2 (en) * 2013-12-24 2016-08-02 Intel Corporation Techniques for forming interconnects in porous dielectric materials
US10190102B2 (en) 2014-04-16 2019-01-29 Metgen Oy Laccase variants with improved properties
US9368395B1 (en) * 2014-05-06 2016-06-14 Globalfoundries Inc. Self-aligned via and air gap
US9646876B2 (en) * 2015-02-27 2017-05-09 Applied Materials, Inc. Aluminum nitride barrier layer
KR20160112203A (ko) * 2015-03-18 2016-09-28 삼성전자주식회사 배선 구조물, 배선 구조물 형성 방법 및 반도체 장치의 제조 방법
US9911623B2 (en) * 2015-12-15 2018-03-06 Taiwan Semiconductor Manufacturing Company, Ltd. Via connection to a partially filled trench
US10319629B1 (en) * 2018-05-08 2019-06-11 International Business Machines Corporation Skip via for metal interconnects

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108122820A (zh) * 2016-11-29 2018-06-05 中芯国际集成电路制造(上海)有限公司 互连结构及其制造方法
CN108122820B (zh) * 2016-11-29 2020-06-02 中芯国际集成电路制造(上海)有限公司 互连结构及其制造方法
US11373949B2 (en) 2016-11-29 2022-06-28 Semiconductor Manufacturing International (Shanghai) Corporation Interconnect structure having metal layers enclosing a dielectric

Also Published As

Publication number Publication date
US11087994B2 (en) 2021-08-10
US9911623B2 (en) 2018-03-06
US11929258B2 (en) 2024-03-12
US10515823B2 (en) 2019-12-24
US20240213034A1 (en) 2024-06-27
US20210366726A1 (en) 2021-11-25
US20200152476A1 (en) 2020-05-14
US20170170110A1 (en) 2017-06-15
US20180197750A1 (en) 2018-07-12
TW201732930A (zh) 2017-09-16

Similar Documents

Publication Publication Date Title
CN107026115A (zh) 至部分填充的沟槽的通孔互连件
JP4864307B2 (ja) エアーギャップを選択的に形成する方法及び当該方法により得られる装置
CN106711084B (zh) 用于气隙形成的多阻挡件沉积
CN108122738A (zh) 半导体方法和器件
TWI536520B (zh) 半導體裝置及方法
CN106469675A (zh) 用于互连的结构和方法
TW201830533A (zh) 具互連結構的半導體裝置之製作方法
KR101401758B1 (ko) 자가정렬된 상호접속 및 블록킹 부분을 갖는 반도체 디바이스
US9786551B2 (en) Trench structure for high performance interconnection lines of different resistivity and method of making same
KR100574999B1 (ko) 반도체소자의 패턴 형성방법
KR101422944B1 (ko) 자가 정렬된 상호연결부들을 갖춘 반도체 디바이스
CN106941092A (zh) 集成电路结构及其形成方法
CN107591389A (zh) 内牺牲间隔件的互连
US20070249165A1 (en) Dual damascene process
US7927966B2 (en) Method of manufacturing openings in a substrate, a via in substrate, and a semiconductor device comprising such a via
KR20150067748A (ko) 견고한 금속화 프로파일을 위한 이중층 하드 마스크
KR101925685B1 (ko) 반도체 디바이스 및 그 제조 방법
KR20230098237A (ko) 자기-정렬된 상단 비아
JP2013531384A (ja) 実質的に平坦な上面を備えたビア
TWI840458B (zh) 半導體裝置及其製造方法
KR100877255B1 (ko) 반도체 소자의 금속 배선 제조 방법
KR100799068B1 (ko) 반도체 소자 제조 방법
KR100680968B1 (ko) 반도체 소자의 제조방법
KR100579856B1 (ko) 반도체 소자의 금속 배선 형성 방법
KR100800649B1 (ko) 반도체 소자의 제조 방법

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
WD01 Invention patent application deemed withdrawn after publication
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20170808