CN104395989A - Aqueous clean solution with low copper etch rate for organic residue removal improvement - Google Patents

Aqueous clean solution with low copper etch rate for organic residue removal improvement Download PDF

Info

Publication number
CN104395989A
CN104395989A CN201380032542.0A CN201380032542A CN104395989A CN 104395989 A CN104395989 A CN 104395989A CN 201380032542 A CN201380032542 A CN 201380032542A CN 104395989 A CN104395989 A CN 104395989A
Authority
CN
China
Prior art keywords
residue
cleasing compositions
microelectronic component
cmp
pollutant
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201380032542.0A
Other languages
Chinese (zh)
Inventor
郑湘宁
卡尔·E·博格斯
刘俊
妮科尔·托马斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Entegris Taiwan
Entegris Inc
Original Assignee
ATMI Taiwan Co Ltd
Advanced Technology Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ATMI Taiwan Co Ltd, Advanced Technology Materials Inc filed Critical ATMI Taiwan Co Ltd
Publication of CN104395989A publication Critical patent/CN104395989A/en
Pending legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/0005Other compounding ingredients characterised by their effect
    • C11D3/0073Anticorrosion compositions
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/02Inorganic compounds
    • C11D7/04Water-soluble compounds
    • C11D7/08Acids
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/267Heterocyclic compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3209Amines or imines with one to four nitrogen atoms; Quaternized amines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3218Alkanolamines or alkanolimines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3245Aminoacids
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3281Heterocyclic compounds
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/48After-treatment of electroplated surfaces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02074Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a planarization of conductive layers
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K71/00Manufacture or treatment specially adapted for the organic devices covered by this subclass
    • C11D2111/22
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/977Thinning or removal of substrate

Abstract

A cleaning composition and process for cleaning post-chemical mechanical polishing (CMP) residue and contaminants from a microelectronic device having said residue and contaminants thereon. The cleaning compositions include at least one quaternary base, at least one amine, at least one corrosion inhibitor, and at least one solvent. The composition achieves highly efficacious cleaning of the post-CMP residue and contaminant material from the surface of the microelectronic device while being compatible with barrier layers.

Description

For improvement of the watersoluble cleaning solution with low copper etch-rate that organic remains is removed
Technical field
The present invention relates generally to the composition of microelectronic component for having residue and/or pollutant from above substantially and effectively clean described residue and/or pollutant, wherein said composition effectively removes described residue and pollutant, water mark defect on ultralow-k material film is down to minimum, and there is increase with the compatibility of copper, ruthenium, cobalt, manganese and low k dielectric.
Background technology
As everyone knows, integrated circuit (IC) manufacturer replaces aluminum and its alloy for advanced microelectronic applications with copper, because copper has higher conductivity, it is converted into the remarkable improvement of interconnection performance.In addition, the interconnection based on copper provides better electromigration resistance compared to aluminium, thus improves interlinking reliability.In other words, the enforcement of copper is faced with certain challenge.Such as, copper (Cu) and silicon dioxide (SiO 2) and generally poor with the adhesion strength of other dielectric material.Poor adhesion strength causes Cu and adjacent film layering in the fabrication process.In addition, Cu ion is easily diffused into SiO under electrical bias 2in, even and if the dielectric medium electric leakage that also can increase under low-down Cu concentration in dielectric medium between Cu line.In addition, if copper diffuses in lower floor's silicon that active device locates, then device performance may be reduced.
Copper is at silicon dioxide (SiO 2) in and the problem of high diffusibility in other inter-metal dielectric (IMD)/interlayer dielectric (ILD) still receive very large concern.In order to process this problem, ic substrate must be coated with suitable barrier layer, and it encapsulates copper and stops the diffusion of copper atom.The barrier layer comprising electric conducting material and non-conducting material was formed in pattern dielectric layer usually before copper deposition.The problem that subsequent copper coating and Ultrafine feature (such as, being less than the through hole of 100 nanometer diameters) fill may be produced if the thickness on known barrier layer is excessive.If the barrier layer being less than the through hole inside of 100 nanometer diameters is too thick, then it reduces the volume available of the copper in feature, and cause the resistance of through hole to increase, it may offset the advantage provided by the use of copper.The typical material on barrier layer comprises tantalum (Ta), tantalum nitride (TaN x), titanium (Ti), titanium nitride (TiN), ruthenium (Ru), cobalt (Co), manganese (Mn) etc.
Use method for electrodeposition with copper filled conductive path.Utilizing before the electrolytic deposition of copper inlays circuit, conductive surface coating must be coated in top, barrier layer, because the barrier material of routine represents high resistivity and therefore can not transmission current during electrolytic copper plating.Usually, PVD copper crystal seed layer is deposited over the barrier layer.Then, by plating by layers of copper thicker far away deposition on the seed layer.After copper has deposited, usually copper planarization is down to dielectric medium in preparation to process further by chemical-mechanical planarization (CMP).
The trend that the characteristic size of IC continues to reduce requires that the thickness reducing barrier layer is to be down to minimum by the resistance contribution of conventional barrier layers.Therefore, with have reduction resistance compared with new material replace conventional barrier layers be attractive.This is because it will improve the conductivity of pattern (i.e. line and through hole) further, thus compared to using the interconnection structure of conventional barrier layers to increase signal velocity.In addition, the plating of copper Direct Electrolysis is eliminated on conductive barrier layer material the use of another copper crystal seed layer, thus simplify whole process.Can serve as can Direct Electroplating diffusion impervious layer various candidate materials in, be referred to the use of ruthenium (Ru), cobalt (Co), manganese (Mn), molybdenum (Mo), rhenium (Rh) and its alloy.
Aforementioned processing operates, comprise the preparation of wafer substrate surface, deposition, plating, etching and chemico-mechanical polishing, differently need clean operation to guarantee microelectronic device products not containing originally deleteriously will affecting the function of product or even make it cannot be used for the pollutant of its expectation function.Usually, the particle of these pollutants is less than 0.3 μm.
A particular problem is in this respect the residue stayed after CMP process on microelectronic device substrate.Such residue comprises CMP material and corrosion inhibitor compound as BTA (BTA).If do not removed, then these residues may damage copper cash or make the serious roughening of copper metallization, and the bad adhesion of the layer applied after causing CMP on device substrate.The serious roughening problem of copper metallization is especially serious, because too coarse copper can cause the electric property of product microelectronic component bad.For this reason, remove composition after having developed CMP and remove residue and pollutant after CMP.
Along with new barrier layer is introduced, remove composition after CMP must be developed and still remove residue and pollutant after CMP to guarantee composition deleteriously can not affect copper, low k dielectric medium and described Novel stop layer material.In addition, remove composition after CMP and should not leave water wave on ultra low k dielectric materials.Therefore, an object of the present disclosure is that residue after substantially and effectively removing CMP and pollutant deleteriously can not be affected composition after the novel C MP of microelectronic component by qualification.
Summary of the invention
The microelectronic component that present invention relates in general to for having residue and/or pollutant from above cleans composition and the technique of described residue and pollutant.Advantageously, described composition the water mark defect on ultralow-k material film is down to minimum and there is increase with the compatibility of copper, ruthenium, cobalt, manganese and low k dielectric.
In one aspect,
According to disclosure and claims below, other aspects, features and advantages will be more completely apparent.
Embodiment
The microelectronic component that present invention relates in general to for having residue and/or pollutant from above cleans composition and the technique of described residue and pollutant.Described composition the water mark defect on ultralow-k material film is down to minimum and there is increase with the compatibility of copper, cobalt, ruthenium, manganese and low k dielectric.Described composition also can be used for removal and etches rear or post-ash residue.
For ease of quoting, " microelectronic component ", corresponding to semiconductor substrate, flat-panel monitor, phase change memory device, solar panel and the other products comprising solar base plate, photovoltaic device and microelectromechanical systems (MEMS), it manufactures and is used in the application of microelectronics, integrated circuit or computer chip.Should be understood that term " microelectronic component " and do not mean that restriction by any way and comprise any substrate becoming microelectronic component or micromodule the most at last.
As used herein, " residue " corresponds at the particle including but not limited to produce during plasma etching, ashing, chemico-mechanical polishing (CMP), Wet-type etching and its microelectronic component combined manufacture.
As used herein, " pollutant " correspond to exist in CMP slurry material chemical substance, the byproduct of reaction of polishing slurries, the chemical substance existed in Wet-type etching composition, Wet-type etching composition byproduct of reaction, and other material any of accessory substance as CMP, Wet-type etching, plasma etching or plasma ash process.
As used herein, " after CMP residue " corresponds to the particle from polishing slurries, such as, the chemical substance existed in silica containing particle, slurry, the byproduct of reaction of polishing slurries, the particle being rich in carbon, polishing pad particle, brush off-load particle, equipment building material particle, copper, Cu oxide, organic remains, barrier layer residue, and other material any of accessory substance as CMP.
As defined herein, " low k dielectric " corresponds to any material of the dielectric material be used as in stratiform microelectronic component, and wherein said material has the dielectric constant being less than about 3.5.Preferably, low k dielectric comprises low polar material, such as siliceous organic polymer, containing silicon hybridization organic/inorganic materials, organic silicate glass (OSG), TEOS, fluorinated silicate glass (FSG), silicon dioxide, carbon doped oxide (CDO) glass, CORAL from Novellus Systems, Inc. tM, from the BLACK DIAMOND of Applied Materials, Inc. tM, from the SiLK of Dow Corning, Inc. tMand the NANOGLASS of Nanopore, Inc tMdeng.Should be understood that low k dielectric can have different density and different porositys." ultra-low-k " has about 2.6 or following dielectric constant.
As defined herein, term " barrier material " corresponding in this area for sealing metal line (such as, copper-connection) so that minimum any material is down in described metal (such as copper) to the diffusion in dielectric material.Preferred barrier material comprises tantalum, titanium, ruthenium, hafnium, ruthenium, cobalt, manganese, molybdenum, rhenium, its nitride and silicide and its alloy.Should be understood that barrier layer can comprise identical material or bilayer (such as, first deposit seed, then deposits the second barrier material).Barrier material preferably comprises cobalt, manganese and ruthenium or its nitride.
As defined herein, term " post-etch residues " corresponds to remaining material after vapor plasma etch process, the process of such as BEOL dual-inlaid or wet etch process.Post-etch residues can be organic, organic metal, organosilicon or inorganic in nature, and such as, material, carbon back organic material and etching gas residue are as oxygen and fluorine.
As defined herein, as used herein " post-ash residue " corresponds in oxidizability or Reductive plasma ashing with remaining material after removing harden photoresist and/or bottom antireflective coating (BARC) material.Post-ash residue can be organic, organic metal, organosilicon or inorganic in nature.
" be substantially free of " to be defined as herein and be less than 2 % by weight, be preferably less than 1 % by weight, be more preferably less than 0.5 % by weight, be even more preferably less than 0.1 % by weight and most preferably 0 % by weight.
As used herein, " about " be intended to correspond to described value ± 5%.
As defined herein, " reaction or catabolite " include but not limited to due to surface catalysis, oxidation, reduction, with the reaction of constituent or the product being otherwise polymerized and being formed or accessory substance; Due to material or material (such as, molecule, compound etc.) with other material or combination of materials, mutually to exchange composition, decomposition, rearrangement or the otherwise change that changes of chemistry and/or physics or conversion and the product that formed or accessory substance with other material or material, comprise previous reaction, the intermediate product of any aforementioned substances of change and/or conversion or accessory substance or any combination.Should be understood that reaction or catabolite can have the molal weight more greater or lesser than primitive reaction thing.
As defined herein, " purine and purine derivative " comprising: ribosyl purine, such as N-ribosyl purine, adenosine, guanosine, 2-aminopurine ribonucleotide, spongosine, and it methylates or deoxidation derivative, such as N-methyladenosine (C 11h 15n 5o 4), N, N-dimethyladenosine (C 12h 17n 5o 4), tri-methylated adenosine (C 13h 19n 5o 4), trimethyl N-methyladenosine (C 14h 21n 5o 4), C-4'-methyladenosine and 3-desoxyadenossine; The catabolite of adenosine and adenosine derivative, includes but not limited to adenine (C 5h 5n 5), the adenine that methylates (such as N-methyl-7H-purine-6-amine, C 6h 7n 5), di-methylation adenine (such as N, N-dimethyl-7H-purine-6-amine, C 7h 9n 5), N4, N4-dimethyl pyrimidine-4,5,6-triamine (C 6h 11n 5), 4,5,6-Triaminopyrimidines, allantoin (C 4h 6n 4o 3), hydroxylating C-O-O-C dimer ((C 5h 4n 5o 2) 2), C-C bridging dimer ((C 5h 4n 5) 2or (C 5h 4n 5o) 2), ribose (C 5h 10o 5), the ribose that methylates (such as 5-(methoxy) oxolane-2,3,4-triol, C 6h 12o 5), tetramethyl ribose (such as 2,3,4-trimethoxy-5-(methoxy) oxolanes, C 9h 18o 5), and other ribose derivates, such as methylate hydrolysis two ribose compound; Purine-saccharide complex, includes but not limited to wood sugar, glucose etc.; With other purine compound, such as purine, guanine, hypoxanthine, xanthine, theobromine, caffeine, uric acid and isoguanine, and it methylates or deoxidation derivative.
As used herein, the microelectronic component for having residue and pollutant from above cleans " suitability " of described residue and pollutant corresponding to removing described residue/pollutant at least partly from microelectronic component.Cleaning efficacy is evaluated by the minimizing of object on microelectronic component.Such as, atomic force microscope can be used to carry out clean front and clean post analysis.Particle on sample can be registered as a series of pixel.Block diagram (such as, Sigma Scan Pro) can be applied and filter the pixel in certain strength (such as, 231-235), and the number of count particles.Following formula can be used to calculate particle reduce:
It should be noted that the assay method of cleaning efficacy is only illustrated to provide and not for being limited to this.Alternatively, cleaning efficacy can be considered the percentage of the total surface covered by particulate matter.Such as, AFM can be programmed to perform z-plane scanning identify above certain height critical value pay close attention to shaped area, then calculate the area of the total surface covered by described interest region.The person skilled in the art will easily understand, the area covered by described interest region after clean is less, then Cleasing compositions is more effective.Use composition as herein described to remove the residue/pollutant of preferably at least 75% from microelectronic component, more preferably remove the residue/pollutant of at least 90%, even more preferably at least 95% and most preferably at least 99%.
Composition described herein can be implemented with the multiple specific formulation such as hereafter more fully described.
In all these compositions, the special component of composition is wherein discussed about the weight percentage ranges comprising subzero limit, should understand, these compositions can presence or absence in the various particular of composition, and when there are these compositions, to use the total weight of the composition of these compositions, the concentration that they can be low to moderate 0.001 percentage by weight exists.
In one aspect, describe Cleasing compositions, described Cleasing compositions comprises following thing, is made up of or is substantially made up of following thing following thing: at least one quaternary base, at least one amine, at least one corrosion inhibitor and at least one solvent (such as, water).In one embodiment, Cleasing compositions comprises following thing, is made up of or is substantially made up of following thing following thing: at least one quaternary base, at least two kinds of amine, at least one corrosion inhibitor and at least one solvents (such as, water).In another embodiment, Cleasing compositions comprises following thing, is made up of or is substantially made up of following thing following thing: at least one quaternary base, at least two kinds of amine, at least two kinds of corrosion inhibitors and at least one solvent (such as, water).Cleasing compositions is particularly useful for cleaned of residues and pollutant, such as, residue, post-etch residues, post-ash residue and the pollutant from microelectronic device structure after CMP, and do not damage interconnecting metal (such as copper), barrier layer (such as ruthenium) and low k dielectric.In one embodiment, microelectronic component comprises cobalt.In another embodiment, microelectronic component comprises ruthenium.In another embodiment, microelectronic component comprises manganese.Have nothing to do with embodiment, before removing residual material from microelectronic component, Cleasing compositions is preferably substantially free of oxidant; Fluorine-containing source; Abrasive materials; Gallic acid; Alkali metal base and/or alkaline earth metal alkali; Organic solvent; With its combination.In addition, Cleasing compositions should not solidify to form polymer solids, such as, and photoresist.
Corrosion inhibitor includes but not limited to ascorbic acid, L (+)-ascorbic acid, arabo-ascorbic acid, ascorbic acid derivates, BTA, citric acid, ethylenediamine, oxalic acid, tannic acid, glycine, histidine, 1,2,4-triazole (TAZ), tolyl-triazole, 5-phenyl-BTA, 5-nitro-BTA, 3-amino-5-sulfydryl-1,2,4-triazole, 1-amino-1,2,4-triazole, hydroxybenzotriazole, 2-(5-Amino-pentyl)-BTA, 1,2,3-triazoles, 1-amino-1,2,3-triazole, 1-amino-5-methyl isophthalic acid, 2,3-triazole, 3-amino-1,2,4-triazole, 3-sulfydryl-1,2,4-triazole, 3-isopropyl-1,2,4-triazole, 5-phenyl mercaptan-BTA, halogen-BTA (halogen=F, Cl, Br or I), aphthotriazoles, 2-mercaptobenzimidazole (MBI), 2-mercaptobenzothiazole, 4-methyl-2-phenylimidazole, 2-mercaptothiazoline, 5-Aminotetrazole, 5-amido-1,3,4-thiadiazoles-2-mercaptan, 2,4-diaminourea-6-methyl isophthalic acid, 3,5-triazine, thiazole, triazine, methyl tetrazolium, 5-phenyltetrazole, DMI, 1,5-pentylenetetrazole, 1-phenyl-5-mercapto-tetrazole, bis aminomethyl triazine, imidazolidinethione, mercaptobenzimidazole, 4-methyl-4H-1,2,4-triazole-3-mercaptan, benzothiazole, tricresyl phosphate, imidazoles, indazole, pyrazoles, pyrazole derivatives, 4-methylpyrazole, 2-amino-thiazolyl-, 2-amido-1,3,4-thiadiazoles, pterin, pyrimidine, pyrazine, cytimidine, pyridazine, 1H-pyrazoles-3-formic acid, 1H-pyrazoles-4-formic acid, 3-amino-5-hydroxyl-1H-pyrazoles, 3-amino-5-methyl isophthalic acid H-pyrazoles, phosphoric acid, phosphoric acid derivatives (such as phosphate, such as tributyl phosphate, triethyl phosphate, tri-2-ethylhexyl phosphate, mono phosphoric acid ester methyl esters, the different tridecane ester of phosphoric acid, phosphoric acid 2-ethylhexyl diphenyl ester, and triphenyl phosphate), benzoic acid, ammonium benzoate, catechol, 1,2,3,-thrihydroxy-benzene, resorcinol, quinhydrones, cyanuric acid, barbiturates and derivative be as 1,2-dimethyl barbituric acid, 2-ketoacid are if pyruvic acid, phosphonic acids and its derivative are as HEDP (HEDP), propanethiol, benzyl hydroximic acid, heterocyclic nitrogen inhibitor, ehtyl potassium xanthate and its combination.Alternatively or in addition, corrosion inhibitor comprises: ribosyl purine as N-ribosyl purine, adenosine, guanosine, 2-aminopurine ribonucleotide, spongosine and its methylate or deoxidation derivative, such as N-methyladenosine (C 11h 15n 5o 4), N, N-dimethyladenosine (C 12h 17n 5o 4), tri-methylated adenosine (C 13h 19n 5o 4), trimethyl N-methyladenosine (C 14h 21n 5o 4), C-4'-methyladenosine and 3-desoxyadenossine; The catabolite of adenosine and adenosine derivative, includes but not limited to adenine (C 5h 5n 5), the adenine that methylates (such as, N-methyl-7H-purine-6-amine, C 6h 7n 5), di-methylation adenine (such as, N, N-dimethyl-7H-purine-6-amine, C 7h 9n 5), N4, N4-dimethyl pyrimidine-4,5,6-triamine (C 6h 11n 5), 4,5,6-Triaminopyrimidines, allantoin (C 4h 6n 4o 3), hydroxylating C-O-O-C dimer ((C 5h 4n 5o 2) 2), C-C bridging dimer ((C 5h 4n 5) 2or (C 5h 4n 5o) 2), ribose (C 5h 10o 5), the ribose that methylates (such as, 5-(methoxy) oxolane-2,3,4-triol, C 6h 12o 5), tetramethyl ribose (such as, 2,3,4-trimethoxy-5-(methoxy) oxolane, C 9h 18o 5), and other ribose derivates is as hydrolysis two ribose compound that methylates; Purine-saccharide complex, includes but not limited to wood sugar, glucose etc.; Other purine compound is as purine, guanine, hypoxanthine, xanthine, theobromine, caffeine, uric acid and isoguanine, and it methylates or deoxidation derivative; Triaminopyrimidine and other pyrimidine be substituted, such as amino substituted pyrimidine; Any one dimer, tripolymer or polymer in described compound, reaction or catabolite or derivatives thereof; With its combination.In one embodiment, corrosion inhibitor comprises the one in pyrazoles, 4-methylpyrazole, 1H-pyrazoles-3-formic acid, 1H-pyrazoles-4-formic acid, 3-amino-5-hydroxyl-1H-pyrazoles and 3-amino-5-methyl isophthalic acid H-pyrazoles.In a preferred embodiment, Cleasing compositions comprises the combination of pyrazoles, 1H-pyrazoles-3-formic acid, 1H-pyrazoles-4-formic acid, 3-amino-5-hydroxyl-1H-pyrazoles, 3-amino-5-methyl isophthalic acid H-pyrazoles, phosphoric acid, phosphoric acid derivatives, adenosine, the combination of phosphoric acid and pyrazoles or pyrazole derivatives, the combination of ascorbic acid and adenosine, adenosine and phosphoric acid, or the combination of adenosine and pyrazoles or pyrazole derivatives.Corrosion inhibitor most preferably comprises pyrazoles.
The illustrative amines that can be used in particular composition comprises and has general formula NR 1r 2r 3material, wherein R 1, R 2and R 3may be same to each other or different to each other and be selected from by hydrogen, straight or branched C 1-C 6alkyl (such as, methyl, ethyl, propyl group, butyl, amyl group and hexyl), straight or branched C 1-C 6alcohol (such as, methyl alcohol, ethanol, propyl alcohol, butanols, amylalcohol and hexanol) and there is formula R 4-O-R 5straight or branched ether composition group, wherein R 4and R 5may be same to each other or different to each other and be selected from by C as hereinbefore defined 1-C 6the group of alkyl composition.Most preferably, R 1, R 2and R 3in at least one be straight or branched C 1-C 6alcohol.Example includes but not limited to alkanolamine, such as amino ethyl ethanolamine, N-methyl amino ethanol, aminoethoxyethanol, dimethyl amino ethoxy ethanol, diethanol amine, N methyldiethanol amine, monoethanolamine (MEA), triethanolamine (TEA), 1-amino-2-propyl alcohol, 2-amino-n-butyl alcohol, isobutyl hydramine, triethylenediamine, other C 1-C 8alkanolamine and its combination.Alternatively or except NR 1r 2r 3outside amine, described amine may be polyfunctional amine, include but not limited to tetren (TEPA), 4-(2-hydroxyethyl) morpholine (HEM), N-aminoethylpiperazine (N-AEP), ethylenediamine tetra-acetic acid (EDTA), 1,2-cyclohexanediamine-N, N, N', N'-tetraacethyl (CDTA), iminodiacetic acid (IDA), 2-(hydroxyethyl) iminodiacetic acid (HIDA), NTA and its combination.Other amine of containing comprises amine-N-oxide, such as trimethylamine-N-oxide (TMAO).Amine preferably includes at least one material be selected from by monoethanolamine, triethanolamine, EDTA, CDTA, HIDA, N-AEP and its group formed.Amine preferably comprises the combination of MEA, TEA or MEA and TEA.
The quaternary base contained herein comprises and has formula NR 1r 2r 3r 4the compound of OH, wherein R 1, R 2, R 3and R 4may be same to each other or different to each other and be selected from by hydrogen, straight or branched C 1-C 6alkyl (such as, methyl, ethyl, propyl group, butyl, amyl group and hexyl) and substituted or unsubstituted C 6-C 10the group that aryl (such as, benzyl) forms.Commercially available tetraalkylammonium hydroxide can be used, comprise tetraethylammonium hydroxide (TEAH), tetramethylammonium hydroxide (TMAH), hydroxide tetrapropylammonium (TPAH), tetrabutylammonium hydroxide (TBAH), hydroxide tributyl first ammonium (TBMAH), hydroxide benzyl trimethylammonium (BTMAH) and its combination.Not commercially available tetraalkylammonium hydroxide can be prepared with the announced mode similar for the preparation of the synthetic method of TMAH, TEAH, TPAH, TBAH, TBMAH and BTMAH, and it is known to those of ordinary skill in the art.Another kind of widely used quaternary ammonium base is bursine.Quaternary base preferably comprises TMAH or TEAH.
The pH of Cleasing compositions as herein described is greater than 7, preferably about 10 in the scope being greater than 14, more preferably in the scope of about 12 to about 14.In a preferred embodiment, the pH of concentrated Cleasing compositions is greater than 13.
In an especially preferred embodiment, Cleasing compositions comprises following thing, is made up of or is substantially made up of following thing following thing: at least one quaternary base, at least one amine, pyrazoles or derivatives thereof and water.In yet another particularly preferred embodiment, Cleasing compositions comprises following thing, is made up of or is substantially made up of following thing following thing: at least one quaternary base, at least two kinds of amine, pyrazoles or derivatives thereof and water.Alternatively, Cleasing compositions can comprise following thing, be made up of or be substantially made up of following thing following thing: at least one quaternary base, at least two kinds of amine, phosphoric acid, pyrazoles or derivatives thereof and water.In another possibility, Cleasing compositions can comprise following thing, be made up of or be substantially made up of following thing following thing: at least one quaternary base, at least two kinds of amine, ascorbic acid, pyrazoles or derivatives thereof and water.In an especially preferred embodiment, Cleasing compositions comprises following thing, is made up of or is substantially made up of following thing following thing: at least one quaternary base, TEA, pyrazoles or derivatives thereof and water.In yet another particularly preferred embodiment, Cleasing compositions comprises following thing, is made up of or is substantially made up of following thing following thing: at least one quaternary base, MEA, TEA, pyrazoles or derivatives thereof and water, wherein the percentage by weight of TEA is equal to or greater than the percentage by weight of MEA.In each case, before removing residual material from microelectronic component, composition is substantially free of oxidant; Fluorine-containing source; Abrasive materials; Gallic acid; Alkali metal base and/or alkaline earth metal alkali; Organic solvent; With its combination.In addition, Cleasing compositions should not solidify to form polymer solids, such as, and photoresist.
About composition amounts, the percentage by weight ratio of each composition is preferably as follows: quaternary base: corrosion inhibitor is about 0.1:1 to about 50:1, preferably about 1:1 to about 20:1; And organic amine: corrosion inhibitor is about 0.1:1 to about 100:1, preferably about 1:1 to about 20:1.When Cleasing compositions comprises MEA and TEA, preferably the percentage by weight ratio of TEA and MEA is about 0.1:1 to about 30:1, preferably about 1:1 to about 20:1, and more preferably from about 5:1 to about 10:1.
The scope of the percentage by weight ratio of composition will contain the embodiment of all possible concentrated of composition or dilution.For this reason, in one embodiment, provide concentrated Cleasing compositions, it can be diluted to be used as clean solution.Concentrate composition or " concentrate " advantageously allow user (such as CMP engineer), at use point, concentrate is diluted to desired intensity and pH.The dilution of concentrated Cleasing compositions can in the scope of about 1:1 to about 2500:1, preferably about 5:1 to about 200:1 and most preferably from about 30:1 to about 70:1, wherein utensil place or before use solvent (such as, deionized water) dilute cleaning composition immediately.It will be understood by those skilled in the art that after dilution, the scope of the percentage by weight ratio of composition disclosed herein should keep unchanged.
Composition as herein described can be used for following application, includes but not limited to post-etch residues removal, the surperficial preparation of post-ash residue removal, electroplates rear cleaning and residue removal after CMP.In addition, expect that Cleasing compositions as herein described can be used for clean and protects other metal product, include but not limited to other electronic packaging of decorative metal, wire bond, printed circuit board (PCB) and use metal or metal alloy.Advantageously, Cleasing compositions can be as compatible in conductive metal, low k dielectric medium and barrier material (such as, comprise cobalt those) with the material on microelectronic component.In addition, the water wave that Cleasing compositions stays after making to clean after cmp on ultra low k dielectric materials is down to minimum.
In another preferred embodiment, Cleasing compositions as herein described comprises residue and/or pollutant further.Described residue and pollutant solubilized and/or suspend in the composition.Residue preferably includes residue after CMP, post-etch residues, post-ash residue, pollutant or its combination.
By simply adding each composition and being mixed to homogeneous state and easily preparing Cleasing compositions.In addition, composition can easily be formulated as single packaging formulation or use some place or many parts formulation of forward slip value, such as, the independent part of many parts formulation can mix at utensil place or in the accumulator tank of utensil upstream.The concentration of each composition can in the specific factor of composition wide variations, namely, more dilution or more concentrated, and should be understood that composition as herein described can differently with comprise alternatively following, formed by following or be substantially made up of following: any combination of the composition consistent with disclosure herein.
Therefore, another aspect relates to a kind of kit, and it comprises at one or more container the composition that one or more are suitable for being formed composition as herein described.Described kit can comprise at least one quaternary base, at least one amine, at least one corrosion inhibitor and at least one solvent at one or more container, for combining with other solvent (such as water) in factory or use point.The container of kit must be suitable for storing and transporting described Cleasing compositions, such as, container (Advanced Technology Materials, Inc., Danbury, Conn., USA).
One or more containers of the composition containing Cleasing compositions preferably include for making described composition be communicated with at described one or more fluid in container with the component carrying out fusion and distribution.Such as, mention container, can apply gas pressure to make being discharged at least partially of the inclusion of described liner to the liner outside in described one or more container, and therefore, it is possible to realize fluid connection to carry out fusion and distribution.Alternatively, gas pressure can be applied to the headroom of conventional pressurisable container or pump can be used to be communicated with to realize fluid.In addition, system preferably includes and distributes port so that the Cleasing compositions of institute's fusion is dispensed to Handicraft utensil.
Preferred use is chemical inertness, free from admixture, flexibility and flexible polymeric film material, such as high density polyethylene (HDPE) substantially, manufactures the liner of described one or more container.Desired inner lining material through process without the need to coextrusion or barrier layer, and without the need to any pigment, UV inhibitor or adverse effect may be arranged the inorganic agent of the purity requirement of composition within the liner.The list of desired inner lining material comprises the film of the polyethylene comprising untreated (additive-free), untreated polytetrafluoroethylene (PTFE), polypropylene, polyurethane, polyvinylidene chloride, polyvinyl chloride, polyacetals, polystyrene, polyacrylonitrile, polybutene etc.The preferred thickness of such inner lining material in the scope of about 5 mils (0.005 inch) to about 30 mils (0.030 inch), as the thickness of such as 20 mils (0.020 inch).
About the container of kit, the disclosure of following patent and patent application is incorporated herein in the mode quoted in full separately hereby: the U.S. Patent number 7 that name is called " for particle in ultrarapture liquid being generated minimized apparatus and method (APPARATUS AND METHOD FOR MINIMIZINGTHE GENERATION OF PARTICLES IN ULTRAPURE LIQUIDS) ", 188,644; The U.S. Patent number 6 that name is called " in returnable and reusable bucket, bag liquid stores and dispense container system (RETURNABLE AND REUSABLE; BAG-IN-DRUMFLUID STORAGE AND DISPENSING CONTAINER SYSTEM) ", 698,619; The international application no PCT/US08/63276 of " system and method (SYSTEMS AND METHODS FOR MATERIAL BLENDING ANDDISTRIBUTION) for material blending and distribution " is called with the name that on May 9th, 2008 submits to the name of Advanced Technology Materials, Inc..
When being applied to micro-electronic manufacturing operation, Cleasing compositions as herein described can be effective to residue and/or pollutant, such as BTA after the surface cleaning CMP of microelectronic component.Cleasing compositions does not damage low k dielectric on device surface or corroding metal interconnection, such as copper.In addition, Cleasing compositions can with comprise tantalum (Ta), tantalum nitride (TaN x), the barrier material of titanium (Ti), titanium nitride (TiN), ruthenium (Ru), cobalt (Co), manganese (Mn), molybdenum (Mo), rhenium (Rh) and its alloy is compatible.In addition, the water wave that the ultra low k dielectric materials that microelectronic device surface exists stays is down to minimum by Cleasing compositions.Cleasing compositions is preferably by the residue that exists on device before removing at residue and pollutant removal at least 85%, more preferably at least 90%, even more preferably at least 95% and most preferably at least 99%.
After cmp in residue and pollutant clean applications, Cleasing compositions can be used for a large amount of conventional cleaning appliances, such as mega sonic wave and scrubbing, includes but not limited to that Verteq single-chip mega sonic wave golden finger (Goldfinger), OnTrak systems DDS (two-sided washer), SEZ or other single-wafer spray rinse, Applied Materials Mirra-Mesa tM/ Reflexion tM/ Reflexion LK tMwith mega sonic wave wet bench system in batches.
In yet another aspect, the method that the microelectronic component describing residue, post-etch residues, post-ash residue and/or pollutant after using composition as herein described to have CMP from above is cleaned, with the time of device contacts about 5 seconds to about 30 minutes, preferably about 1 second to 20 minutes, preferably about 15 seconds to about 5 minutes at the temperature of wherein said Cleasing compositions usually within the scope of about 20 DEG C to about 90 DEG C, preferably about 20 DEG C to about 50 DEG C.Such time of contact and temperature are illustrative, and in the wide in range practice of described method, can use other right times any and the temperature conditions of residue/pollutant after effectively can cleaning CMP at least in part from device." to clean at least in part " and " substantially remove " all corresponds to the residue/pollutant removal at least 85%, more preferably at least 90%, even more preferably at least 95% and most preferably at least 99% will existed on device before removing at residue.
After completing desired cleaning action, Cleasing compositions can easily be removed from the device previously applied, and this may for expecting with effective in the given final utilization application of composition as herein described.Rinse solution preferably includes deionized water.Thereafter, nitrogen or rotation-drying cycles can be used to carry out dry device.
Another aspect relates to the microelectronic component of the improvement manufactured according to method as herein described and the product containing such microelectronic component.Microelectronic component preferably comprises ruthenium.
Another aspect relates to the Cleasing compositions of recirculation, and wherein said Cleasing compositions can be recycled until residue and/or pollutant useful load reach the open ended maximum of Cleasing compositions, as those skilled in the art easily determine.
Another aspect relates to the method manufacturing and comprise the article of microelectronic component, described method comprises to be made microelectronic component contact the long enough time with Cleasing compositions to clean described residue and pollutant with the microelectronic component of residue after have CMP from above and pollutant, and uses Cleasing compositions as herein described to be incorporated in described article by described microelectronic component.Described microelectronic component preferably comprises ruthenium barrier layer as described herein and diffuses in low k dielectric to stop copper.
In yet another aspect, the method for described residue and pollutant removed by the microelectronic component describing residue and pollutant after having CMP from above, and described method comprises:
With microelectronic component described in the polishing of CMP slurry material;
Make described microelectronic component and comprise at least one quaternary base, at least one amine, at least one corrosion inhibitor and the Cleasing compositions of at least one solvent and contact the long enough time with residue after removing CMP from described microelectronic component and pollutant to form the composition containing residue after CMP; With
Make described microelectronic component and the described composition Continuous Contact enough time amount containing residue after CMP to realize the substantially clean of described microelectronic component.
Another aspect relates to a kind of manufacture article, it comprises Cleasing compositions, microelectronic device wafer, with the material be selected from by residue, pollutant and its group formed, wherein said Cleasing compositions comprises at least one quaternary base, at least one amine, at least one corrosion inhibitor and at least one solvent, and wherein said residue comprises at least one after CMP in residue, post-etch residues and post-ash residue.
Another aspect relates to the manufacture of microelectronic component, and described method comprises:
Etched pattern in low k dielectric;
Will substantially isotropism barrier deposition on etched low k dielectric;
Metallic conduction is deposited upon on described barrier layer;
With microelectronic component described in the chemico-mechanical polishing of CMP slurry material to remove described metal conducting layer and described barrier layer to expose described low k dielectric; With
Make described microelectronic component and comprise at least one quaternary base, at least one amine, at least one corrosion inhibitor and the Cleasing compositions of at least one solvent and contact the long enough time with residue after removing CMP from described microelectronic component and pollutant to form the composition containing residue after CMP
Wherein said barrier layer comprises and is selected from by tantalum (Ta), tantalum nitride (TaN x), the material of the group of titanium (Ti), titanium nitride (TiN), ruthenium (Ru), cobalt (Co), manganese (Mn), molybdenum (Mo), rhenium (Rh) and its alloy composition.
Another aspect relates to the composition for not damaging interconnecting metal (such as copper), barrier layer (such as ruthenium) and low k dielectric from microelectronic device structure cleaned of residues and pollutant (after such as CMP residue, post-etch residues, post-ash residue), and wherein said composition is by least one quaternary base, at least one corrosion inhibitor and at least one solvent composition.Quaternary base, corrosion inhibitor and vehicle substance are disclosed in herein.It should be noted that the composition of this aspect is not containing alkanolamine and hydroxylamine.
By illustrative embodiment indicating characteristic and the advantage more fully hereafter discussed.
embodiment 1
As shown in table 1ly prepare following solutions.All the other compositions are deionized waters.
Table 1
By each formulation water with 60:1 dilution and by the submergence 30 minutes in each solution under 25 DEG C and 400rpm of the sample comprising BTA residue and the sample that is made up of copper metal.After immersion, each sample is rinsed 30 seconds with water.Formulation 1-4 removes relative to the BTA of deionized water and is shown in following table 2.
Table 2:
In copper etch-rate, the formulation 1-11 in table 1 has and is less than or equal to about copper etch-rate.In BTA removal, the formulation 1-11 in table 1 removes BTA with the amount being more than or equal to amount of deionized water.
embodiment 2
Prepare formulation A-K, wherein all the other compositions are deionized waters.
By each formulation water with 60:1 dilution and by the submergence 30 minutes in each solution under 25 DEG C and 400rpm of copper sample.After immersion, each sample is rinsed 30 seconds with water.Measure the copper etch-rate of each formulation and be compiled in following table.
Although the present invention has carried out differently disclosing with reference to illustrative embodiment and feature in this article, but should understand, embodiment mentioned above and feature be not for restriction the present invention, and in light of the disclosure herein, those of ordinary skill in the art will expect other change, amendment and other embodiment.Therefore the present invention wide in rangely should be interpreted as all these changes be encompassed in the spirit and scope of claims, amendment and alternative.

Claims (27)

1. the method for described residue and pollutant removed by a microelectronic component from above with residue and pollutant, described method comprises makes described microelectronic component contact the long enough time with Cleasing compositions to clean described residue and pollutant at least in part from described microelectronic component, wherein said Cleasing compositions comprises at least one quaternary base, at least one amine, at least one corrosion inhibitor and at least one solvent, and wherein said microelectronic component comprises the barrier layer of reduction copper to the exposure of the diffusion in low k dielectric.
2. method according to claim 1, wherein said Cleasing compositions to do not damage metal interconnected, barrier layer from microelectronic device structure cleaned of residues and pollutant and low k dielectric particularly useful.
3. method according to claim 2, wherein said residue is selected from the group be made up of residue, post-etch residues and post-ash residue after CMP.
4., according to method in any one of the preceding claims wherein, wherein before removing residual material from described microelectronic component, described Cleasing compositions is substantially free of oxidant; Fluorine-containing source; Abrasive materials; Gallic acid; Alkali metal base and/or alkaline earth metal alkali; Organic solvent; With its combination.
5., according to method in any one of the preceding claims wherein, wherein said at least one corrosion inhibitor comprises pyrazoles, pyrazole derivatives, phosphoric acid, phosphoric acid derivatives, ascorbic acid, adenosine, adenosine derivative and its combination.
6., according to method in any one of the preceding claims wherein, wherein said at least one corrosion inhibitor comprises pyrazoles or pyrazole derivatives.
7. according to method in any one of the preceding claims wherein, wherein said at least one amine comprises the material be selected from by the following group formed: amino ethyl ethanolamine, N-methyl amino ethanol, aminoethoxyethanol, dimethyl amino ethoxy ethanol, diethanol amine, N methyldiethanol amine, monoethanolamine, triethanolamine, 1-amino-2-propyl alcohol, 2-amino-n-butyl alcohol, isobutyl hydramine, triethylenediamine, tetren (TEPA), 4-(2-hydroxyethyl) morpholine (HEM), N-aminoethylpiperazine (N-AEP), ethylenediamine tetra-acetic acid (EDTA), 1, 2-cyclohexanediamine-N, N, N', N'-tetraacethyl (CDTA), iminodiacetic acid (IDA), 2-(hydroxyethyl) iminodiacetic acid (HIDA), NTA, trimethylamine-N-oxide and its combination.
8., according to method in any one of the preceding claims wherein, wherein said at least one amine comprises the combination of monoethanolamine, triethanolamine or monoethanolamine and triethanolamine.
9. according to method in any one of the preceding claims wherein, wherein said at least one quaternary base comprises the material be selected from by the following group formed: tetraethylammonium hydroxide (TEAH), tetramethylammonium hydroxide (TMAH), hydroxide tetrapropylammonium (TPAH), tetrabutylammonium hydroxide (TBAH), hydroxide tributyl first ammonium (TBMAH), hydroxide benzyl trimethylammonium (BTMAH), bursine and its combination.
10., according to method in any one of the preceding claims wherein, wherein said at least one quaternary base comprises tetramethylammonium hydroxide.
11. according to method in any one of the preceding claims wherein, and wherein said at least one solvent comprises water.
12. according to method in any one of the preceding claims wherein, the pH of wherein said Cleasing compositions about 10 in the scope being greater than 14.
13. according to method in any one of the preceding claims wherein, and it comprises at least one quaternary base, triethanolamine, pyrazoles and water.
14. according to method in any one of the preceding claims wherein, and the barrier layer of wherein said exposure comprises cobalt, ruthenium or manganese.
15. according to method in any one of the preceding claims wherein, and after wherein said CMP, residue comprises the material be selected from by the following group formed: from the chemical substance existed in the particle of CMP polishing slurries, described CMP polishing slurries, the byproduct of reaction of described CMP polishing slurries, the particle being rich in carbon, polishing pad particle, brush off-load particle, equipment building material particle, copper, Cu oxide and its combination.
16. according to method in any one of the preceding claims wherein, and wherein said contact comprises the condition be selected from by the following group formed: the time of about 15 seconds to about 5 minutes; Temperature in the scope of about 20 DEG C to about 50 DEG C; With its combination.
17. according to method in any one of the preceding claims wherein, and it is included in further and uses some place or use Cleasing compositions described in solvent dilution before.
18. methods according to claim 17, wherein said solvent comprises water.
19. according to method in any one of the preceding claims wherein, and wherein said microelectronic component comprises copper-bearing materials.
20. according to method in any one of the preceding claims wherein, its be included in further and contact with described Cleasing compositions after with microelectronic component described in deionized water rinsing.
21. 1 kinds of methods manufacturing microelectronic component, described method comprises:
Etched pattern in low k dielectric;
Will substantially isotropism barrier deposition on etched low k dielectric;
Metallic conduction is deposited upon on described barrier layer;
With microelectronic component described in the chemico-mechanical polishing of CMP slurry material to remove described metal conducting layer and described barrier layer to expose described low k dielectric; With
Make described microelectronic component and comprise at least one quaternary base, at least one amine, at least one corrosion inhibitor and the Cleasing compositions of at least one solvent and contact the long enough time with residue after removing CMP from described microelectronic component and pollutant to form the composition containing residue after CMP
Wherein said barrier layer comprises and is selected from by tantalum (Ta), tantalum nitride (TaN x), the material of the group of titanium (Ti), titanium nitride (TiN), ruthenium (Ru), cobalt (Co), manganese (Mn), molybdenum (Mo), rhenium (Rh) and its alloy composition.
22. 1 kinds of Cleasing compositions, it comprises at least one quaternary base, at least one amine, at least one corrosion inhibitor and at least one solvent, and wherein said at least one corrosion inhibitor comprises pyrazoles, pyrazole derivatives, phosphoric acid, phosphoric acid derivatives, ascorbic acid, adenosine, adenosine derivative and its combination.
23. Cleasing compositions according to claim 22, wherein pH is in the scope of about 10 to about 14.
24. Cleasing compositions according to claim 22 or 23, wherein said Cleasing compositions has microelectronic component clean described residue and the pollutant at least in part of residue and pollutant from above.
25. Cleasing compositions according to claim 24, wherein said residue is selected from the group be made up of residue, post-etch residues and post-ash residue after CMP.
26. Cleasing compositions according to any one of claim 22 to 25, wherein said microelectronic component comprises cobalt or the ruthenium of exposure.
27. 1 kinds for not damaging the composition of interconnecting metal, barrier layer and low k dielectric from microelectronic device structure cleaned of residues and pollutant, described composition comprises at least one quaternary base, at least one corrosion inhibitor and at least one solvent, and wherein said composition is substantially free of alkanolamine and hydroxylamine.
CN201380032542.0A 2012-05-18 2013-05-17 Aqueous clean solution with low copper etch rate for organic residue removal improvement Pending CN104395989A (en)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201261648937P 2012-05-18 2012-05-18
US61/648,937 2012-05-18
US201261695548P 2012-08-31 2012-08-31
US61/695,548 2012-08-31
PCT/US2013/041634 WO2013173743A2 (en) 2012-05-18 2013-05-17 Aqueous clean solution with low copper etch rate for organic residue removal improvement

Publications (1)

Publication Number Publication Date
CN104395989A true CN104395989A (en) 2015-03-04

Family

ID=49584473

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201380032542.0A Pending CN104395989A (en) 2012-05-18 2013-05-17 Aqueous clean solution with low copper etch rate for organic residue removal improvement

Country Status (8)

Country Link
US (1) US20150114429A1 (en)
EP (1) EP2850651A4 (en)
JP (1) JP2015524165A (en)
KR (1) KR20150013830A (en)
CN (1) CN104395989A (en)
SG (1) SG11201407657YA (en)
TW (1) TW201404877A (en)
WO (1) WO2013173743A2 (en)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106226991A (en) * 2015-05-01 2016-12-14 气体产品与化学公司 TiN hard mask and etch residues are removed
CN110499511A (en) * 2019-09-03 2019-11-26 中国石油天然气股份有限公司 Carbon steel corrosion inhibitor and preparation method thereof under a kind of supercritical carbon dioxide
CN110713868A (en) * 2018-07-13 2020-01-21 巴斯夫欧洲公司 Post etch residue cleaning solution capable of removing titanium nitride
CN112424327A (en) * 2018-07-20 2021-02-26 恩特格里斯公司 Cleaning compositions containing corrosion inhibitors
CN115160933A (en) * 2022-07-27 2022-10-11 河北工业大学 Alkaline polishing solution for cobalt CMP of cobalt-interconnect integrated circuit and preparation method thereof
WO2023040308A1 (en) 2021-09-14 2023-03-23 浙江奥首材料科技有限公司 Copper surface passivation composition, use thereof, and photoresist stripping solution containing same

Families Citing this family (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2013142250A1 (en) * 2012-03-18 2013-09-26 Advanced Technology Materials, Inc. Post-cmp formulation having improved barrier layer compatibility and cleaning performance
US9540408B2 (en) 2012-09-25 2017-01-10 Entegris, Inc. Cobalt precursors for low temperature ALD or CVD of cobalt-based thin films
US9765288B2 (en) 2012-12-05 2017-09-19 Entegris, Inc. Compositions for cleaning III-V semiconductor materials and methods of using same
SG11201507014RA (en) 2013-03-04 2015-10-29 Advanced Tech Materials Compositions and methods for selectively etching titanium nitride
CN105683336A (en) 2013-06-06 2016-06-15 高级技术材料公司 Compositions and methods for selectively etching titanium nitride
CN112442374A (en) 2013-07-31 2021-03-05 恩特格里斯公司 Aqueous formulations with Cu/W compatibility for removal of metal hardmask and post-etch residues
SG11201601158VA (en) 2013-08-30 2016-03-30 Advanced Tech Materials Compositions and methods for selectively etching titanium nitride
TWI654340B (en) 2013-12-16 2019-03-21 美商恩特葛瑞斯股份有限公司 Ni:NiGe:Ge SELECTIVE ETCH FORMULATIONS AND METHOD OF USING SAME
EP3084809A4 (en) 2013-12-20 2017-08-23 Entegris, Inc. Use of non-oxidizing strong acids for the removal of ion-implanted resist
KR102290209B1 (en) 2013-12-31 2021-08-20 엔테그리스, 아이엔씨. Formulations to selectively etch silicon and germanium
US20160340620A1 (en) * 2014-01-29 2016-11-24 Advanced Technology Materials, Inc. Post chemical mechanical polishing formulations and method of use
TWI686499B (en) 2014-02-04 2020-03-01 荷蘭商Asm Ip控股公司 Selective deposition of metals, metal oxides, and dielectrics
WO2015119925A1 (en) 2014-02-05 2015-08-13 Advanced Technology Materials, Inc. Non-amine post-cmp compositions and method of use
JP2015203047A (en) * 2014-04-11 2015-11-16 三菱化学株式会社 Substrate cleaning liquid for semiconductor device and method for cleaning substrate for semiconductor device
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
CN104233358B (en) * 2014-09-10 2016-12-07 句容金猴机械研究所有限公司 A kind of plant equipment rust remover and preparation method thereof
KR102487441B1 (en) * 2014-09-14 2023-01-12 엔테그리스, 아이엔씨. Cobalt deposition selectivity on copper and dielectrics
TWI726859B (en) * 2015-01-05 2021-05-11 美商恩特葛瑞斯股份有限公司 Post chemical mechanical polishing formulations and method of use
EP3245668B1 (en) * 2015-01-13 2021-06-30 CMC Materials, Inc. Cleaning composition and method for cleaning semiconductor wafers after cmp
US9490145B2 (en) * 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
KR102644385B1 (en) * 2015-12-22 2024-03-08 주식회사 케이씨텍 Slurry composition for poly silicon film polishing
KR102088653B1 (en) * 2016-04-28 2020-03-13 후지필름 가부시키가이샤 Treatment liquid and treatment liquid receptor
KR102051346B1 (en) 2016-06-03 2019-12-03 후지필름 가부시키가이샤 Processing liquid, substrate cleaning method and resist removal method
KR20180069185A (en) * 2016-12-14 2018-06-25 삼성전자주식회사 Method for processing substrate and cleaner composition for adhension layer
TWI703210B (en) * 2017-04-11 2020-09-01 美商恩特葛瑞斯股份有限公司 Post chemical mechanical polishing formulations and method of use
CN107460532B (en) * 2017-04-12 2018-12-07 广州市双石金属制品有限公司 A kind of vacuum ion plating electrochemistry removing plating formula of liquid
CN107419326B (en) * 2017-04-12 2018-12-07 广州市双石金属制品有限公司 A kind of vacuum ion plating electrochemistry removing plating formula of liquid
WO2019110681A1 (en) * 2017-12-08 2019-06-13 Basf Se Cleaning composition for post-etch or post ash residue removal from a semiconductor substrate and corresponding manufacturing process
JP7220040B2 (en) 2018-09-20 2023-02-09 関東化学株式会社 cleaning liquid composition
CN112996893A (en) * 2018-11-08 2021-06-18 恩特格里斯公司 POST chemical mechanical polishing (POST CMP) cleaning composition
CN110592568A (en) * 2019-09-16 2019-12-20 铜陵市华创新材料有限公司 Environment-friendly anti-oxidation liquid for negative current collector and preparation and use methods thereof
EP4136273A4 (en) * 2020-04-14 2024-05-01 Entegris Inc Method and composition for etching molybdenum
US20230399754A1 (en) * 2022-06-08 2023-12-14 Entegris, Inc. Cleaning composition with molybdenum etching inhibitor

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200728454A (en) * 2005-11-08 2007-08-01 Advanced Tech Materials Formulations for removing copper-containing post-etch residue from microelectronic devices
US20080076688A1 (en) * 2006-09-21 2008-03-27 Barnes Jeffrey A Copper passivating post-chemical mechanical polishing cleaning composition and method of use
CN102197124A (en) * 2008-10-21 2011-09-21 高级技术材料公司 Copper cleaning and protection formulations
US20120001262A1 (en) * 2010-07-02 2012-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Metal conductor chemical mechanical polish
US20120028870A1 (en) * 2009-02-27 2012-02-02 Advanced Technology Materials, Inc. Non-amine post-cmp composition and method of use
CN104334706A (en) * 2012-03-18 2015-02-04 安格斯公司 Post-CMP formulation having improved barrier layer compatibility and cleaning performance

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6723691B2 (en) * 1999-11-16 2004-04-20 Advanced Technology Materials, Inc. Post chemical-mechanical planarization (CMP) cleaning composition
US7393819B2 (en) * 2002-07-08 2008-07-01 Mallinckrodt Baker, Inc. Ammonia-free alkaline microelectronic cleaning compositions with improved substrate compatibility
SG129274A1 (en) * 2003-02-19 2007-02-26 Mitsubishi Gas Chemical Co Cleaaning solution and cleaning process using the solution
KR101644763B1 (en) * 2007-05-17 2016-08-01 엔테그리스, 아이엔씨. New antioxidants for post-cmp cleaning formulations
US7919446B1 (en) * 2007-12-28 2011-04-05 Intermolecular, Inc. Post-CMP cleaning compositions and methods of using same
US8357646B2 (en) * 2008-03-07 2013-01-22 Air Products And Chemicals, Inc. Stripper for dry film removal
SG10201500387RA (en) * 2010-01-29 2015-04-29 Entegris Inc Cleaning agent for semiconductor provided with metal wiring

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200728454A (en) * 2005-11-08 2007-08-01 Advanced Tech Materials Formulations for removing copper-containing post-etch residue from microelectronic devices
US20080076688A1 (en) * 2006-09-21 2008-03-27 Barnes Jeffrey A Copper passivating post-chemical mechanical polishing cleaning composition and method of use
CN102197124A (en) * 2008-10-21 2011-09-21 高级技术材料公司 Copper cleaning and protection formulations
US20120028870A1 (en) * 2009-02-27 2012-02-02 Advanced Technology Materials, Inc. Non-amine post-cmp composition and method of use
US20120001262A1 (en) * 2010-07-02 2012-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Metal conductor chemical mechanical polish
CN104334706A (en) * 2012-03-18 2015-02-04 安格斯公司 Post-CMP formulation having improved barrier layer compatibility and cleaning performance

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106226991A (en) * 2015-05-01 2016-12-14 气体产品与化学公司 TiN hard mask and etch residues are removed
CN108121149A (en) * 2015-05-01 2018-06-05 弗萨姆材料美国有限责任公司 TiN hardmask and etch residue removal
CN108121149B (en) * 2015-05-01 2021-11-30 弗萨姆材料美国有限责任公司 TiN hardmask and etch residue removal
CN110713868A (en) * 2018-07-13 2020-01-21 巴斯夫欧洲公司 Post etch residue cleaning solution capable of removing titanium nitride
CN112424327A (en) * 2018-07-20 2021-02-26 恩特格里斯公司 Cleaning compositions containing corrosion inhibitors
US11149235B2 (en) 2018-07-20 2021-10-19 Entegris, Inc. Cleaning composition with corrosion inhibitor
CN110499511A (en) * 2019-09-03 2019-11-26 中国石油天然气股份有限公司 Carbon steel corrosion inhibitor and preparation method thereof under a kind of supercritical carbon dioxide
CN110499511B (en) * 2019-09-03 2021-08-31 中国石油天然气股份有限公司 Carbon steel corrosion inhibitor under supercritical carbon dioxide and preparation method thereof
WO2023040308A1 (en) 2021-09-14 2023-03-23 浙江奥首材料科技有限公司 Copper surface passivation composition, use thereof, and photoresist stripping solution containing same
CN115160933A (en) * 2022-07-27 2022-10-11 河北工业大学 Alkaline polishing solution for cobalt CMP of cobalt-interconnect integrated circuit and preparation method thereof
CN115160933B (en) * 2022-07-27 2023-11-28 河北工业大学 Alkaline polishing solution for cobalt CMP of cobalt interconnection integrated circuit and preparation method thereof

Also Published As

Publication number Publication date
KR20150013830A (en) 2015-02-05
US20150114429A1 (en) 2015-04-30
TW201404877A (en) 2014-02-01
EP2850651A4 (en) 2016-03-09
WO2013173743A3 (en) 2014-02-20
EP2850651A2 (en) 2015-03-25
SG11201407657YA (en) 2014-12-30
JP2015524165A (en) 2015-08-20
WO2013173743A2 (en) 2013-11-21

Similar Documents

Publication Publication Date Title
CN104395989A (en) Aqueous clean solution with low copper etch rate for organic residue removal improvement
CN104334706A (en) Post-CMP formulation having improved barrier layer compatibility and cleaning performance
CN102197124B (en) Copper cleaning and protection formulations
USRE46427E1 (en) Antioxidants for post-CMP cleaning formulations
KR101833158B1 (en) New antioxidants for post-cmp cleaning formulations
CN105308164A (en) Compositions and methods for removing ceria particles from a surface
CN105143517A (en) Copper cleaning and protection formulations
CN107208007A (en) Composite and its application method after chemically mechanical polishing
CN103003923A (en) Aqueous cleaner for the removal of post-etch residues
WO2008036823A2 (en) Uric acid additive for cleaning formulations

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
ASS Succession or assignment of patent right

Owner name: ANGES INC.

Free format text: FORMER OWNER: ADVANCED TECHNOLOGY MATERIALS, INC.

Effective date: 20150417

C41 Transfer of patent application or patent right or utility model
TA01 Transfer of patent application right

Effective date of registration: 20150417

Address after: Massachusetts

Applicant after: MYKROLIS Corp.

Applicant after: Advanced Materials Co.,Ltd.

Address before: American Connecticut

Applicant before: Advanced Technology Materials, Inc.

Applicant before: Advanced Materials Co.,Ltd.

C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C41 Transfer of patent application or patent right or utility model
CB02 Change of applicant information

Address after: Massachusetts, USA

Applicant after: ENTEGRIS, Inc.

Applicant after: Advanced Materials Co.,Ltd.

Address before: Massachusetts

Applicant before: MYKROLIS Corp.

Applicant before: Advanced Materials Co.,Ltd.

COR Change of bibliographic data
TA01 Transfer of patent application right

Effective date of registration: 20161201

Address after: Massachusetts, USA

Applicant after: ENTEGRIS, Inc.

Applicant after: Entegris Taiwan

Address before: Massachusetts, USA

Applicant before: ENTEGRIS, Inc.

Applicant before: Entegris Taiwan

Effective date of registration: 20161201

Address after: Massachusetts, USA

Applicant after: ENTEGRIS, Inc.

Applicant after: Entegris Taiwan

Address before: Massachusetts, USA

Applicant before: ENTEGRIS, Inc.

Applicant before: Advanced Materials Co.,Ltd.

CB02 Change of applicant information
CB02 Change of applicant information

Address after: Massachusetts, USA

Applicant after: ENTEGRIS, Inc.

Applicant after: ENTEGRIS ASIA LLC

Address before: Massachusetts, USA

Applicant before: ENTEGRIS, Inc.

Applicant before: Entegris Taiwan

WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20150304

WD01 Invention patent application deemed withdrawn after publication