CN104272440B - 用核化抑制的钨特征填充 - Google Patents

用核化抑制的钨特征填充 Download PDF

Info

Publication number
CN104272440B
CN104272440B CN201380022648.2A CN201380022648A CN104272440B CN 104272440 B CN104272440 B CN 104272440B CN 201380022648 A CN201380022648 A CN 201380022648A CN 104272440 B CN104272440 B CN 104272440B
Authority
CN
China
Prior art keywords
feature
tungsten
filling
methods
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201380022648.2A
Other languages
English (en)
Other versions
CN104272440A (zh
Inventor
阿南德·查德拉什卡
爱思特·杰恩
拉什纳·胡马雍
迈克尔·达内克
高举文
王徳齐
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Novellus Systems Inc
Original Assignee
Novellus Systems Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US13/774,350 external-priority patent/US10256142B2/en
Application filed by Novellus Systems Inc filed Critical Novellus Systems Inc
Publication of CN104272440A publication Critical patent/CN104272440A/zh
Application granted granted Critical
Publication of CN104272440B publication Critical patent/CN104272440B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/205
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76862Bombardment with particles, e.g. treatment in noble gas plasmas; UV irradiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76874Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroless plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/48Data lines or contacts therefor
    • H10B12/488Word lines
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本发明描述了用钨填充特征的方法,以及相关的***和装置,其涉及钨核化的抑制。在一些实施方式中,所述方法涉及沿特征轮廓的选择性抑制。选择性抑制钨核化的方法可包括使所述特征暴露于直接或远程等离子体。在某些实施方式中,可在选择性抑制期间对衬底施加偏置。可将包括偏置功率、暴露时间、等离子体功率、工艺压力和等离子体化学品的工艺参数用于调节抑制轮廓。本文所述的方法可用于填充垂直特征,诸如钨通孔,以及水平特征诸如垂直NAND(VNANA)字元线。所述方法可用于共形填充和由下向上/由内向外的填充。应用的实例包括逻辑和存储接触填充、DRAM埋入式字元线填充、垂直集成存储栅极/字元线填充、以及使用通过硅穿孔(TSV)的3‑D集成。

Description

用核化抑制的钨特征填充
优先权要求
本申请要求于2012年3月27日提交的美国临时专利申请No.61/616,377、2012年12月14日提交的美国临时专利申请No.61/737,419、以及2012年2月22日提交的美国专利申请No.13/774,350的优先权。这些申请的整个公开内容通过引用全部并入本发明以用于所有目的。
背景技术
使用化学气相沉积(CVD)技术进行含钨材料的沉积是许多半导体制造工艺的必不可少的部分。这些材料可用于水平互连、相邻金属层之间的通孔、第一金属层和硅衬底上装置之间的触点、以及高深宽比特征。在常规沉积工艺中,在沉积室中将衬底加热至预定工艺温度,并且沉积含钨材料的薄层,所述含钨材料的薄层用作种子层或核化层。此后,将剩余的含钨材料(主体层)沉积到核化层上。通常,含钨材料由六氟化钨(WF6)与氢气(H2)的还原反应形成。使含钨材料沉积在包括特征和场区的衬底的整个暴露表面区域之上。
将含钨材料沉积到小的并且尤其是高深宽比的特征中可造成在经填充的特征内部形成接缝和空隙。大接缝可导致高电阻、污染、填充材料的损耗,并且另外使集成电路的性能降低。例如,接缝可在填充加工之后延伸接近场区,然后在化学-机械平坦化期间打开。
发明内容
本文所述的一个方面是一种方法,所述方法包括:提供包括特征的衬底,所述特征具有一个或多个特征开口和特征内部;选择性抑制特征中的钨核化,使得沿特征轴存在差别抑制轮廓;以及根据差别抑制轮廓选择性地将钨沉积在特征中。选择性抑制特征中钨核化的方法包括使特征暴露于直接等离子体或远程等离子体。在某些实施例中,可在选择性抑制期间对衬底施加偏置。包括偏置功率、暴露时间、等离子体功率、工艺压力和等离子体化学品的工艺参数可用于调节抑制轮廓。根据各种实施例,等离子体可包含经活化物质,所述经活化物质与特征表面的一部分相互作用以抑制后续的钨核化。经活化物质的例子包括氮、氢、氧和碳活化物质。在一些实施例中,等离子体是基于氮的和/或基于氢的。
在一些实施例中,在钨核化的任何选择性抑制之前,将钨层沉积在特征中。在其它实施例中,在任何钨沉积在特征中之前进行选择性抑制。如果沉积,则钨层可共形沉积,在一些实施例中,例如通过脉冲核化层(PNL)或原子层沉积(ALD)工艺进行。钨在特征中的选择性沉积可通过化学气相沉积(CVD)工艺进行。
在将钨选择性沉积在特征中之后,可将钨沉积在特征中以完成特征填充。根据各种实施例,这可涉及特征中的非选择性沉积或一个或多个额外的循环的选择性抑制和选择性沉积。在一些实施例中,从选择性沉积到非选择性沉积的过渡涉及允许CVD工艺在不沉积中间钨核化层的情况下继续进行。在一些实施例中,在特征中的非选择性沉积之前,可例如通过PNL或ALD工艺将钨核化层沉积在选择性沉积的钨上。
根据各种实施例,选择性抑制钨核化可涉及处理钨(W)表面、或阻隔层或内衬层,诸如氮化钨(WN)或氮化钛(TiN)层。选择性抑制可在同时或不同时蚀刻特征中的材料的情况下进行。根据各种实施例,选择性抑制特征中的至少收缩部。
本发明的另一方面涉及一种方法,所述方法包括使特征暴露于原位等离子体以选择性抑制特征的一部分。根据各种实施例,等离子体可以为基于氮、基于氢、基于氧、或基于烃的。在一些实施例中,等离子体可包含含氮、含氢、含氧或含烃气体中的两种或更多种的混合物。例如,可使未经填充的或部分填充的特征暴露于直接等离子体,从而选择性抑制特征的一部分的钨核化,使得特征中具有差别抑制轮廓。在一些实施例中,在选择性抑制特征的一部分之后进行CVD操作,从而根据所述差别抑制轮廓选择性沉积钨。
本发明的另一方面涉及单室和多室装置,其被构造用于使用选择性抑制进行特征填充。在一些实施例中,装置包括被构造成支撑衬底的一个或多个室;被构造成在一个或多个室中产生等离子体的原位等离子体发生器;被构造成引导气体进入一个或多个室中的气体入口;以及具有程序指令的控制器,程序指令用于产生诸如基于氮和/或基于氢的等离子体之类的等离子体,同时对衬底施加偏置功率使得衬底暴露于等离子体,在使所述衬底暴露于等离子体之后,使含钨前体和还原剂进入内部安置有衬底的室中,以沉积钨。
这些和其它方面在下文进一步描述。
附图说明
图1A-1G示出可根据本文所述的方法填充的各种结构的实例。
图2-4为示出用钨填充特征的方法中的某些操作的工艺流程图。
图5-7为示出在特征填充的各个阶段下的特征的示意图。
图8-9B为示出适用于实施本文所述方法的装置的实例的示意图。
具体实施方式
在以下说明中,示出了多个具体细节以提供对本发明的深入理解。本发明可在没有这些具体细节中的一些或全部的情况下实施。在其它情况下,不详细描述熟知的工艺操作,以避免使本发明不必要地不清楚。虽然本发明将结合具体实施方式进行说明,但是将理解其不旨在将本发明限于所述实施方式。
本文描述了用钨填充特征的方法以及相关***和装置。应用的实例包括逻辑和存储接触填充、DRAM埋入式字元线填充、垂直集成存储栅极/字元线填充、以及使用通过硅穿孔(TSV)的3-D集成。本文所述的方法可用于填充垂直特征,诸如钨穿孔,和水平特征,诸如垂直NAND(VNAND)的字元线。所述方法可用于共形填充和由下而下或由内向外的填充。
根据各种实施方式,特征可通过狭窄和/或凹陷开口、特征内的收缩部和高深宽比中的一个或多个来表征。可填充的特征的实例描绘于图1A-1C中。图1A示出了待用钨填充的水平特征101的剖视图的实例。特征可包括衬底103中的特征孔105。衬底可以为硅晶片,例如200nm晶片、300nm晶片、450nm晶片,包括上面沉积有一个或多个材料层的晶片,所述材料诸如介电、导电或半导体材料。在一些实施方式中,特征孔105可具有至少约2:1、至少约4:1、至少约6:1或更高的深宽比。特征孔105还可具有开口附近的介于约10nm至500nm之间,例如介于约25nm至300nm之间的尺寸,例如开口直径或线宽。特征孔105可被称为未填充特征或简称为特征。该特征和任何特征部分地由轴118来表征,轴118延伸穿过特征的长度,其中垂直取向的特征具有竖轴,而水平取向的特征具有水平轴。
图1B示出了特征101的实例,所述特征101具有凹陷轮廓。所述凹陷轮廓是从特征的底部、封闭端或内部到特征开口变窄的轮廓。根据各种实施方式,轮廓可逐渐变窄和/或包括在特征开口处的突出部。图1B示出后者的实例,其中下层113作为特征孔105的侧壁或内表面的内衬。下层113可例如为扩散阻隔层、粘接层、核化层、它们的组合或任何其它适用的材料。下层113形成突出部115使得下层113在特征101的开口附近比在特征101内部厚。
在一些实施方式中,可填充内部具有一个或多个收缩部的特征。图1C示出了不同的具有收缩部的经填充特征的实例的视图。图1C中的实例(a)、(b)、(c)中的每一个均包括在特征内中点处的收缩部109。收缩部109可以为例如介于约15nm-20nm宽。在使用常规技术将钨沉积在特征期间,收缩部可造成夹断,并且沉积的钨在所述特征的部分被填充之前阻挡进一步通过收缩部的沉积,从而导致特征中的空隙。实例(b)还包括在特征开口处的内衬/阻隔突出部115。此类突出部也可以是潜在的夹断点。实例(c)包括比实例(b)的突出部115更远离场区的收缩部112。如下文进一步描述的,本文所述的方法允许如图1C中所描绘的无空隙填充。
还可填充如3-D存储结构中的水平特征。图1D示出包括收缩部151的VNAND结构中的字元线150的实例。在一些实施方式中,收缩部可能是由于VNAND或其它结构中支柱的存在而造成。例如,图1E示出VNAND结构中支柱125的平面图,而图1F示出支柱125的剖视图的简化示意图。图1E中的箭头表示沉积材料;因为支柱125设置在区域127和气体入口或其它沉积源之间,所以相邻的支柱可产生收缩部,所述收缩部给区域127的无空隙填充提出了挑战。
图1G提供了水平特征的另一个视图实例,所述水平结构例如VNAND或包括支柱收缩部151的其它结构。图1G中的实例是末端开放的,其中待沉积的材料能够如箭头所指示的从两侧横向进入。(应注意,图1G中的实例可被视为以描绘结构的3-D特征的2-D图,图1G为待填充区域的剖视图,并且在图中所示的支柱收缩部代表将在平面图而不是剖视图中看到的收缩部。)在一些实施方式中,3-D结构的特征可表征为待填充的沿三维(例如在图1F的实例中在X、Y、Z方向上)延伸的区域,并且对于填充而言,可存在比填充沿一个或两个维度延伸的孔或沟槽更多的挑战。例如,控制3-D结构的填充可具有挑战性,因为沉积气体可从多个维度进入特征。
用含钨材料填充特征可造成经填充特征内部的空隙和接缝形成。空隙是特征中未填充的区域。例如,空隙可在沉积材料在特征内形成夹断点,密封特征内未填充空间从而防止反应物进入并沉积时形成。
对于空隙和接缝形成存在多个可能的原因。一个原因是在沉积含钨材料(或更典型地,其它材料,诸如扩散阻隔层或核化层)期间在特征开口附近形成突出部。图1B中示出实例。
空隙和接缝形成的另一个原因(其未在图1B中示出,但是可导致接缝形成或扩大接缝)是特征孔的弯曲(或弓形)侧壁,其也被称为弓形特征。在弓形特征中,开口附近的腔体的横截面尺寸小于特征内部的横截面尺寸。弓形特征中这些较窄开口的效应在一定程度上类似于上述突出部的问题。特征内的收缩部(诸如图1C、1D和1G中所示)也提出了对在几乎没有或不具有空隙和接缝情况下进行钨填充的挑战。
即使实现了无空隙填充,特征中的钨也可包含贯穿通孔、沟槽、内衬或其它特征的轴或中部的接缝。这是因为钨可在侧壁处开始生长并继续生长直至晶粒遇到从相对侧壁生长的钨。该接缝可允许捕获杂质,包括含氟化合物,诸如氢氟酸(HF)。在化学机械平坦化(CMP)期间,成核现象也可从接缝扩散。根据各种实施方式,本文所述的方法可减少或消除空隙和接缝形成。本文所述的方法还可解决下列中的一个或多个:
1)非常具有挑战性的轮廓:使用如在通过引用并入本发明的美国专利申请No.13/351,970中所述的沉积-蚀刻-沉积循环,可在大多数凹陷特征中实现无空隙填充。然而,取决于尺寸和几何形状,可能需要多个沉积-蚀刻循环以实现无空隙填充。这可影响工艺稳定性和生产量。本文所述的实施方式可提供具有较少或不具有沉积-蚀刻-沉积循环的特征填充。
2)小特征和内衬/阻隔影响:在特征尺寸极小的情况下,调节蚀刻过程而不影响下层内衬/阻隔的完整性可能是非常困难的。在一些情况下,间歇性Ti侵蚀可能由于在蚀刻期间形成钝化TiFx层而能在W-选择性蚀刻期间发生。
3)在W晶粒边界处散射:特征内部中多个W晶粒的存在可由于晶粒边界散射而导致电子损耗。因此,与理论预测值和覆盖(blanket)晶片结果相比,实际设备性能将下降。
4)用于W填充的减小的通孔体积:尤其是在较小和较新的特征中,金属触点的大部分被W阻隔(TiN、WN等)耗尽。这些膜通常比W具有更高的电阻率并且不利地影响如接触电阻等电特性。
图2-4提供了可处理上述问题的钨特征填充的各种方法的概述,其中各种特征的钨填充的实例参考图5-7进行描述。
图2为示出用钨填充特征的方法中的某些操作的工艺流程图。该方法始于方框201,选择性抑制特征。选择性抑制还可被称为选择性钝化、差别抑制或差别钝化,其涉及抑制在特征的一部分上的后续钨核化,然而不抑制在特征的剩余部分上的核化(或在较小程度上抑制核化)。例如,在一些实施方式中,在特征开口处选择性抑制特征,而不抑制在特征内部的核化。选择性抑制在下文进一步描述,并且可涉及,例如使特征的一部分选择性暴露于等离子体的活化物质。在某些实施方式中,例如,可使特征开口选择性暴露于由分子氮气产生的等离子体。如在下文进一步描述的,特征中期望的抑制轮廓可通过适当选择下列参数中的一个或多个而形成:抑制化学品、衬底偏置功率、等离子体功率、工艺压力、暴露时间、和其它工艺参数。
一旦选择性抑制特征,则所述方法可继续方框203中的根据抑制轮廓选择性沉积钨。方框203可涉及一个或多个化学气相沉积(CVD)和/或原子层沉积(ALD)工艺,其包括热的、等离子体增强CVD和/或ALD工艺。所述沉积是选择性的,因为钨优先在特征的较少抑制部分和非抑制部分上生长。在一些实施方式中,方框203涉及在特征的底部或内部部分中选择性沉积钨直至达到或超过收缩部。
在进行根据抑制轮廓选择性沉积之后,方法可继续在方框205填充剩余的特征。在某些实施方式中,方框205涉及CVD工艺,其中含钨前体被氢还原以沉积钨。虽然常常使用六氟化钨(WF6),但是所述工艺可由其它钨前体来执行,所述其它钨前体包括但不限于,六氯化钨(WCl6)、有机金属化前体、以及不含氟的前体,如MDNOW(甲基环戊二烯基-二羰基亚硝酰基-钨)和EDNOW(乙基环戊二烯基-二羰基亚硝酰基-钨)。此外,虽然可将氢用作CVD沉积中的还原剂,但是除了氢之外或代替氢,还可使用包括硅烷在内的其它还原剂。在另一个实施方式中,可在具有或不具有还原剂的情况下,使用六羰钨(W(CO)6)。不同于下文进一步描述的ALD和脉冲核化层(PNL)工艺,在CVD技术中,将WF6和H2或其它反应物同时引入反应室中。这产生混合反应气体的连续化学反应,从而在衬底表面上连续形成钨膜。使用CVD沉积钨膜的方法描述于美国专利申请No.12/202,126、12/755,248和12/755,259中,出于描述钨沉积工艺的目的,上述专利申请的整个公开内容通过引用全部并入本文。根据各种实施方式,本文所述的方法不限于填充特征的特定方法,而是可包括任何合适的沉积技术。
在一些实施方式中,方框205可涉及持续进行在方框203处开始的沉积工艺。此类CVD工艺可导致在特征的抑制部分上沉积,其中核化比在特征的非抑制部分上较慢地发生。在一些实施方式中,方框205可涉及钨核化层在特征的至少抑制部分之上的沉积。
根据各种实施方式,被选择性抑制的特征表面可以为阻隔层或内衬层,诸如金属氮化物层,或其可以为沉积以促进钨核化的层。图3示出一种方法的实例,其中在选择性抑制之前,在特征中沉积钨核化层。所述方法始于方框301中的在特征中沉积钨的薄共形层。所述层可有利于后续的主体含钨材料在其上的沉积。在某些实施方式中,使用PNL技术沉积核化层。在PNL技术中,可将还原剂、吹扫用气体和含钨前体的脉冲依次注入反应室中并从反应室中排出。可以循环方式重复该工艺直至达到期望的厚度。PNL广泛体现了依次添加反应物以在半导体衬底上进行反应的任何循环过程,包括ALD技术。用于沉积钨核化层的PNL技术描述于美国专利6,635,965、7,589,017、7,141,494、7,772,114、8,058,170以及美国专利申请No.12/755,248和12/755,259中,出于描述钨沉积工艺的目的,上述专利申请的整个公开内容通过引用全部并入本文。方框301不限于钨核化层沉积的特定方法,而是包括用于沉积薄共形层的PNL、ALD、CVD和物理气相沉积(PVD)技术。核化层可以足够厚以完全覆盖特征从而支持高质量主体沉积;然而,因为核化层的电阻率高于主体层的电阻率,所以可使核化层的厚度最小化以保持总电阻尽可能低。方框301中沉积的膜的示例性厚度可在小于的范围内。在方框301中沉积钨的薄共形层之后,所述方法可继续方框201、203和205,如上文参考图2所述。根据图3的方法填充特征的实例参考图5描述如下。
图4示出了一个方法的实例,其中完成填充特征(例如图2或3中的方框205)可涉及重复选择性抑制和沉积操作。所述方法可始于方框201,如上文参考图2所述,其中选择性抑制特征,并继续方框203中的根据抑制轮廓选择性沉积。然后重复方框201和203一次或多次(方框401)以完成特征填充。根据图4的方法填充特征的实例参考图6描述如下。
另外,选择性抑制可与选择性沉积结合使用。选择性沉积技术描述于上文引用的美国临时专利申请No.61/616,377中。
根据各种实施方式,选择性抑制可涉及暴露于使特征表面钝化的活化物质。例如,在某些实施方式中,可通过暴露于基于氮或基于氢的等离子体而使钨(W)表面钝化。在一些实施方式中,抑制可涉及活化物质与特征表面之间的化学反应,以形成化合物材料(诸如氮化钨(WN)或碳化物(WC))的薄层。在一些实施方式中,抑制可涉及表面效应,诸如吸附,所述吸附钝化表面但不形成化合物材料层。活化物质可通过任何合适的方法形成,合适的方法包括等离子体生成和/或暴露于紫外线(UV)辐射。在一些实施方式中,使包括特征的衬底暴露于等离子体中,所述等离子体由供入内部安置有衬底的室中的一种或多种气体生成。在一些实施方式中,将一种或多种气体供入远程等离子体发生器中,其中将所述远程等离子体发生器中形成的活化物质供入内部安置有衬底的室中。等离子体源可以为包括射频(RF)等离子体源或微波源在内的任一类型的源。等离子体可以是电感耦合和/或电容耦合的。活化物质可包括原子物质、辐射物质和离子物质。在某些实施方式中,暴露于远程生成的等离子体包括暴露于辐射物质或原子化物质,其中等离子体中基本上不存在离子物质使得抑制过程不是离子介导的。在其它实施方式中,离子物质可存在于远程生成的等离子体中。在某些实施方式中,暴露于原位等离子体涉及离子介导的抑制。就本申请而言,活化物质区别于重组物质和最初供入等离子体发生器的气体。
抑制化学品可定制成适用于随后将暴露于沉积气体的表面。对于钨(W)表面而言,如例如在参考图3所述的方法中形成的,暴露于基于氮和/或基于氢的等离子体抑制了W表面上的后续钨沉积。其它可用于抑制钨表面的化学品包括基于氧的等离子体和基于烃的等离子体。例如,可将分子氧或甲烷引入等离子体发生器中。
如本文所用,基于氮的等离子体为主要非惰性组分为氮的等离子体。可将诸如氩气、氙气、或氪气之类的惰性组分用作载气。在一些实施方式中,除了痕量之外,在生成等离子体的气体中不存在其它非惰性组分。在一些实施例中,抑制化学品可以为含氮、含氢、含氧和/或含碳的,其中在等离子体中存在一种或多种附加的反应性物质。例如,通过引用并入本文的美国专利申请No.13/016,656描述了通过暴露于三氟化钨(WF3)的钨表面的钝化。类似地,可使用碳氟化物,诸如CF4或C2F8。然而,在某些实施方式中,抑制物质不含氟以防止选择性抑制期间的蚀刻。
在某些实施方式中,除了等离子体外或代替等离子体,还可使用UV辐射以提供活化物质。可使气体暴露于内部安放有衬底的反应室上游和/或内部的紫外光。另外,在某些实施方式中,可使用非等离子体、非UV的热抑制过程。除了钨表面之外,还可抑制内衬/阻隔层表面(诸如TiN和/或WN表面)上的核化。可使用钝化这些表面的任何化学品。对于TiN和WN而言,这可包括暴露于基于氮或含氮的化学品。在某些实施方式中,上述用于W的化学品还可用于TiN、WN或其它内衬层表面。
调节抑制轮廓可涉及适当控制抑制化学品、衬底偏置功率、等离子体功率、工艺压力、暴露时间和其它工艺参数。就原位等离子体工艺(或存在离子物质的其它工艺)而言,可向衬底施加偏置。在一些实施方式中,衬底偏置可显著影响抑制轮廓,其中增加偏置功率导致活性物质深入特征内。例如,在300mm衬底上的100W DC偏置可导致1500nm深衬底的上半部抑制,而700W偏置可导致整个衬底的抑制。适用于特定选择性抑制的绝对偏置功率将取决于衬底尺寸、***、等离子体类型和其它工艺参数、以及期望的抑制轮廓,然而,偏置功率可用于调节顶部到底部的选择性,其中降低偏置功率导致更高的选择性。就期望有在横向方向(钨沉积优选在结构的内部)而不是垂直方向上的选择性的3-D结构而言,增加的偏置功率可用于促进顶部到底部的沉积均匀性。
虽然偏置功率在某些实施方式中可用作用于调节离子物质的抑制轮廓的主要或唯一的旋钮,但是在某些情况下,除了偏置功率外或替代偏置功率,其它实施的选择性抑制还使用其它参数。这些包括远程生成的非离子等离子体工艺和非等离子体工艺。并且,在许多***中,可容易地施用衬底偏置以调节垂直方向而不是横向方向上的选择性。因此,就期望有横向选择性的3-D结构而言,可控制不同于偏置的其他参数,如上所述。
抑制化学品也可用于调节抑制轮廓,其中使用不同比率的活性抑制物质。例如,就抑制W表面而言,氮气可具有比氢气更强的抑制效果;可利用对在基于形成气体的等离子体中的N2和H2气体的比率的调整来调节轮廓。在不同比率的活性物质通过等离子体功率调节的情况下,等离子体功率也可用于调节抑制轮廓。工艺压力可用于调节轮廓,因为压力可造成更多重组(使活性物质失活)以及将活性物质进一步推进特征中。工艺时间也可用于调节抑制轮廓,增加处理时间造成抑制更深入特征中。
在一些实施方式中,选择性抑制可通过在传质限制状态进行操作203来实现。在该状态下,特征内部的抑制速率受到扩散入特征中的不同抑制材料组分(例如,初始抑制物质、活化抑制物质以及重组抑制物质)的量和/或相对组成限制。在某些实例中,抑制速率取决于各种组分在特征内部的不同位置处的浓度。
传质限制条件可部分地通过总体抑制浓度变化来表征。在某些实施方式中,在特征内部的浓度小于其开口附近的浓度,导致开口附近处的抑制速率高于内部。这继而导致特征开口附近的选择性抑制。传质限制工艺条件可通过如下方法实现:将有限量的抑制物质供入处理室中(例如,相对于腔体轮廓和尺寸,使用低抑制气体流量),同时维持特征开口附近的相对高的抑制速率,以在一些活化物质扩散入特征时消耗所述活化物质。在某些实施方式中,浓度梯度是显著的,这可造成相对高的抑制动力学和相对低的抑制供应。在某些实施方式中,开口附近的抑制速率也可以是传质受限的,但是该条件不是实现选择性抑制所必需的。
除了特征内的总体抑制浓度变化之外,选择性抑制还可受整个特征中的不同抑制物质的相对浓度的影响。这些相对浓度继而可取决于抑制物质的解离和重组过程的相对动力学。如上所述,初始抑制物质(诸如分子氮)可穿过远程等离子体发生器和/或经受原位等离子体作用以产生活化物质(例如,原子氮、氮离子)。然而,活化物质可重组成较少活性的重组物质(例如,氮分子)和/或可沿其扩散路径与W、WN、TiN或其它特征表面进行反应。因此,特征的不同部分可暴露于不同浓度的不同抑制材料,例如初始抑制气体、活化抑制物质、和重组抑制物质。这提供了用于控制选择性抑制的额外的机会。例如,活化物质通常比初始抑制气体和重组抑制物质更具反应性。另外,在一些情况下,活化物质可能比重组物质对温度变化较不敏感。因此,可以以使得移除主要归因于活化物质这样的方式来控制加工条件。如上所述,一些物质可能比其它物质更具反应性。另外,特定加工条件可导致活性物质在特征开口附近的浓度高于在特征内部的浓度。例如,在扩散更深入特征中时,尤其是在小的高深宽比特征中,一些活化物质可被消耗(例如,与特征表面材料反应和/或吸附到表面上)和/或重组。活化物质的重组还可在特征的外部发生,例如,在喷淋头或处理室中,并且可取决于室压力。因此,可具体控制室压力以调节在室和特征的各个点处的活化物质的浓度。
抑制气体的流率可取决于室的大小、反应速率和其它参数。流率可以以使得开口附近比特征内部集中更多的抑制物质这样的方式选择。在某些实施例中,这些流率造成传质限制的选择性抑制。例如,用于每工位195升室的流率可以介于约25sccm和10,000sccm之间,或者在更具体的实施方式中,介于约50sccm和1,000sccm之间。在某些实施方式中,流率小于约2,000sccm,小于约1,000sccm,或更具体地小于约500sccm。应当注意,这些值对于被构造用于加工300mm衬底的一个独立工位提出。这些流率可放大或缩小,具体取决于衬底尺寸、装置中的工位数(例如,对于四工位装置,四倍)、处理室体积和其它因素
在某些实施方式中,衬底可在选择性抑制之前加热或冷却。可使用各种装置使衬底达到预定温度,所述装置诸如工位中的加热或冷却元件(例如,安装在基座内的电阻加热器或通过基座循环的导热流体)、衬底之上的红外灯、点燃等离子体等。
可选择用于衬底的预定温度,以引发特征表面和抑制物质之间的化学反应和/或促进抑制物质的吸附,以及控制反应或吸附速率。例如,可选择温度以具有高反应速率,使得开口附近比特征内部发生更强的抑制。另外,还可选择温度以控制活化物质的重组(例如,原子氮重组成分子氮)和/或控制哪种物质(例如,活化物质或重组物质)主要用于抑制。在某些实施方式中,将衬底维持在小于约300℃,或更具体地小于约250℃,或小于约150℃,或甚至小于约100℃。在其它实施方式中,将衬底加热至约300℃和450℃之间,或在更具体的实施方式中,至约350℃和400℃之间。其它温度范围可用于不同类型的抑制化学品。还可选择暴露时间以造成选择性抑制。示例性暴露时间可在约10秒至500秒的范围内,具体取决于期望的选择性和特征深度。
如上所述,本发明的方面可用于VNAND字元线(WL)填充。虽然下文的讨论提供了各种方法的构架,但是所述方法并不受到如此限制并且还可在其它应用中实施,包括逻辑和存储器触点填充、DRAM埋入式字元线填充、垂直集成存储栅极/字元线填充、以及3D集成(TSV)。
上述图1F提供了待填充的VNAND字元线结构的实例。如上所述,这些结构的特征填充可提出多个挑战,包括由支柱放置而出现的收缩部。此外,高特征密度可造成负载效应使得反应物在完全填充之前被耗尽。
下文描述了无空隙填充整个WL的各种方法。在某些实施方式中,沉积低电阻率钨。图5示出了一种顺序,其中非共形选择性抑制用于在夹断之前填充特征内部。在图5中,结构500具有内衬层表面502。内衬层表面502可以为例如TiN或WN。接着,W核化层504可共形沉积在内衬层502上。可使用如上所述的PNL工艺。值得注意的是在一些实施方式中,可省略这种沉积共形核化层的操作。接着,使结构暴露于抑制化学品以选择性抑制结构500的部分506。在该实例中,选择性抑制通过支柱收缩部151的部分508。抑制可涉及例如暴露于直接(原位)等离子体,所述等离子体由气体生成,该气体如N2、H2、成形气体、NH3、O2、CH4等。上文描述了使特征暴露于抑制物质的其它方法。接着,进行CVD工艺以根据抑制轮廓选择性沉积钨:主体钨510优先沉积到核化层504的非抑制部分,使得收缩部后的难以填充的区域被填充。然后用主体钨510填充特征的剩余部分。如上文参考图2所述,用于选择性沉积钨的相同的CVD工艺可用于特征的剩余部分,或可利用使用不同化学品或工艺条件的和/或在核化层沉积之后进行的不同CVD工艺。
在一些实施方式中,本文描述的方法可用于钨通孔填充。图6示出特征孔105的实例,其包括下层113,所述下层113可以为例如金属氮化物或其它阻隔层。钨层653例如通过PNL和/或CVD法共形沉积在特征孔10中。(值得注意的是,虽然在图6的实例中,钨层653共形沉积在特征孔105中,但在一些其它实施方式中,可在选择性沉积钨层653之前,选择性抑制下层113上的钨核化。)然后选择性抑制钨层653上的进一步沉积,形成特征开口附近的钨层653的抑制部655。然后,根据抑制轮廓通过PNL和/或CVD法选择性沉积钨,使得钨优先沉积在特征的底部和中部附近。在一些实施方式中,沉积以一个或多个选择性抑制循环继续进行,直至填充特征。如上所述,在一些实施方式中,在特征顶部处的抑制效应可通过足够长的沉积时间来克服,然而,在一些实施方式中,一旦期望在此沉积,就可进行附加的核化层沉积或其它处理以减少或除去特征开口处的钝化。值得注意的是,在一些实施方式中,特征填充仍然可包括接缝的形成,诸如图6中描绘的接缝657。在其它实施方式中,特征填充可以为无空隙和无接缝的。即使存在接缝,其也可能小于由常规填充特征所形成的接缝,从而减少CMP期间的去核问题。图6的实例中描绘的顺序以存在相对小的空隙结束后CMP。
在一些实施方式中,甚至对于不具有收缩部或可能的夹断点的特征而言,也可有利地使用本文所述的方法。例如,可将所述方法用于由下向上的特征填充,而不是共形的特征填充。图7描绘了一种顺序,其中特征700通过根据某些实施方式的方法填充。最初沉积钨753的薄共形层,然后选择性抑制以形成抑制部755,特征底部处的层753未经处理。CVD沉积导致主体膜757沉积在特征的底部上。此后,是选择性CVD沉积和选择性抑制的重复循环直至用主体钨757填充特征。因为除了特征底部附近之外,特征侧壁上的核化被抑制,因此填充是由下向上的。在一些实施方式中,可将不同参数用于连续抑制,以在特征的底部生长接近特征开口时适当调节抑制轮廓。例如,在连续抑制处理中可减小偏置功率和/或处理时间。
实验
在沉积初始的钨种子层之后,使与图1F中的示意性描绘的类似的3D VNAND特征暴露于由N2H2气体生成的等离子体。用DC偏置向衬底施加偏置,其中偏置功率从100W至700W变化,并且暴露时间在介于20秒和200秒之间变化。较长的时间导致较深和较宽的抑制,并且较高的偏置功率导致较深的抑制。
表1示出处理时间的影响。所使用的所有抑制处理均在衬底上的DC偏置为100W的情况下,暴露于直接LFRF 2000W N2H2等离子体。
表1:处理时间对抑制轮廓的影响
虽然不同的处理时间导致如表1中所述的抑制轮廓的垂直和横向调节(部分C),但是不同的偏置功率与抑制轮廓的垂直调节相关性较高,而横向变化则为次要效应。
如上所述,可通过某些CVD条件来克服抑制效应,所述CVD条件包括较长的CVD时间和/或较高的温度、较剧烈的化学品等。下表2示出CVD时间对选择性沉积的影响。
表2:CVD时间对选择性沉积的影响
装置
任何合适的室均可用于实施这种新型方法。沉积装置的实例包括各种***,例如,可购自Novellus Systems,Inc.(San Jose,California)的ALTUS和ALTUS Max,或者各种其它可商购获得的加工***中的任一种。
图8示出了装置800的示意图,所述装置用于根据某些实施方式处理部分加工成形的半导体衬底。装置800包括具有基座820的室818、喷淋头814和原位等离子体发生器816。装置800还包括***控制器822,以接收输入和/或向各种设备提供控制信号。
在某些实施方式中,可将抑制气体,以及如果存在,惰性气体,诸如氩气、氦气和其它气体从源802(其可以为储存罐)供入远程等离子体发生器806中。任何合适的远程等离子体发生器均可用于在将蚀刻剂引入室818中之前,活化蚀刻剂。例如,可使用远程等离子体清洁(RPC)单元,诸如i型AX7670、e型AX7680、ex型AX7685、hf-s型AX7645,全部可购自MKS Instruments(Andover,Massachusetts)。RPC单元通常为使用所供应的蚀刻剂产生弱离子化等离子体的独立设备。在RPC单元中嵌入的高功率RF发生器向等离子体中的电子提供能量。该能量然后传递至中性抑制气体分子,导致2000K左右的温度,从而造成这些分子的热解离。因为其高RF能量和特定通道几何形状造成气体吸收该能量的大部分,所以RPC单元可解离多于60%的进入分子。
在某些实施方式中,抑制气体从远程等离子体发生器806通过连接线808流入室818中,其中混合物通过喷头814分布。在其它实施方式中,抑制气体完全绕过远程等离子体发生器806而直接进入室818中(例如,***800不包括此类发生器)。作为另外一种选择,例如,当使抑制气体流入室818中时,可关闭远程等离子体发生器806,因为抑制气体的活化不是必要的或将由原位等离子体发生器提供。
喷头814或基座820通常可具有与其附接的内部等离子体发生器816。在一个实例中,发生器816为能够在介于约1MHZ和100MHZ之间的频率下在介于约0W和10,000W之间进行供给的高频(HF)发生器。在另一个实施例中,发生器816可以为能够在低达约100KHZ的频率下在介于约0W和10,000W之间进行供给的低频(LF)发生器。在一个更具体的实施方式中,HF发生器可在约13.56MHZ下在介于约0W至5,000W之间进行传递。RF发生器816可产生原位等离子体以活化抑制物质。在某些实施方式中,RF发生器816可与远程等离子体发生器806一起使用或不一起使用。在某些实施方式中,在沉积期间不使用等离子体发生器。
室818可包括传感器824,其用于感测各种工艺参数,诸如沉积度、浓度、压力、温度等。传感器824可在加工期间向***控制器822提供室方面的信息。传感器824的实例包括质量流量控制器、压力传感器、热电偶等。传感器824还可包括红外检测器或光学检测器,以监测室和控制措施中气体的存在。
沉积和选择性抑制操作可产生从室818中排出的各种挥发性物质。另外,加工在某些预定压力水平下在室818内进行。这两种功能均可使用真空出口826实现,所述真空出口为真空泵。
在某些实施方式中,***控制器822用于控制工艺参数。所述***控制器822通常包括一个或多个存储设备和一个或多个处理器。所述处理器可包括CPU或计算机,模拟和/或数字输入/输出连接、步进式马达控制板等。通常,将具有与***控制器822相关的用户界面。所述用户界面可包括显示屏、装置和/或加工条件的图形软件显示器、以及使用者输入设备,如指向设备、键盘、触摸屏、麦克风等。
在某些实施方式中,***控制器822控制衬底温度、抑制气体流率、远程等离子体发生器806和/或原位等离子体发生器816的功率输出、室818内的压力和其它工艺参数。所述***控制器822执行***控制软件,所述***控制软件包括多组指令,用于控制时间、气体混合物、室压、室温以及特定工艺的其它参数。在一些实施方式中,可采用存储在与控制器相关的存储设备上的其它计算机程序。
用于控制方法顺序中的处理的计算机程序代码可以任何常规计算机可读编程语言进行撰写:例如,汇编语言、C、C++、Pascal、Fortran等。由处理器执行编译后的目标代码或脚本以进行程序中识别的任务。***软件可以许多不同方式设计或构造。例如,可写入各种室组件的子程序或控制目标以控制进行所述方法所必要的室组件的操作。用于该目的的程序或程序段的实例包括工艺气体控制代码、压力控制代码和等离子体控制代码。
控制器参数涉及工艺条件,诸如每个操作的时间、室内压力、衬底温度、抑制气体流率等。这些参数可以配方形式向使用者提供,或可利用用户界面输入。监测过程的信号可通过***控制器822的模拟和/或数字输入连接提供。用于控制过程的信号在装置800的模拟数字输出连接上输出。
多工位装置
图9A示出了多工位装置900的实例。所述装置900包括处理室901以及用于容纳待处理的衬底和已经完成加工的衬底一个或多个匣盒903(例如,Front Opening UnifiedPods)。所述室901可具有多个工位,例如两个工位、三个工位、四个工位、五个工位、六个工位、七个工位、八个工位、十个工位或任何其它数的工位。工位数常常由加工操作的复杂性和可在共同环境下执行的这些操作的数量确定。图9A示出了包括标记为911至916的六个工位的处理室901。使具有单个处理室903的多工位装置900中的所有工位暴露于相同的压力环境。然而,每个工位可具有指定的反应物分配***和由专属等离子体发生器和基座(如图8中所示的)实现的局部等离子体和加热条件。
待加工的衬底从匣盒903中的一个通过加载锁905加载到工位911中。外部机械手907可用于将衬底从匣盒903转移到加载锁905中。在所述实施方式中,具有两个独立的加载锁905。这些通常配备有衬底转移设备以将衬底从加载锁905(一旦压力平衡至相当于处理室903的内部环境的水平时)移动到工位911,并从工位916移动回到加载锁905以从处理室903中移除。机构909用于在加工工位911-916之间转移衬底,并且在如下所述的工艺期间支撑衬底中的一些。
在某些实施方式中,可保留一个或多个工位用于加热衬底。此类工位可具有定位在衬底之上的加热灯(未示出)和/或类似于图8中所示的基座的支撑衬底的加热基座。例如,工位911可接收来自加载锁的衬底并用于在进一步加工之前预热所述衬底。其它工位可用于填充高深宽比特征,包括沉积和选择性抑制操作。
在工位911处加热或以其他方式加工衬底之后,将衬底连续移动至加工工位912、913、914、915和916,其可以或可以不依序布置。可构造多工位装置900使得所有工位均暴露于相同的压力环境。在如此进行时,可将衬底从工位911转移至室901中的其它工位,而不需要转移口,诸如加载锁。
在某些实施方式中,一个或多个工位可用于用含钨材料填充特征。例如,工位912可用于初始沉积操作,工位913可用于对应的选择性抑制操作。在其中重复进行沉积-抑制循环的实施方式中,工位914可用于另一个沉积操作并且工位915可用于另一个抑制操作。部分916可用于最终填充操作。应当理解,可使用用于具体加工(加热、填充和移除)的工位设计的任何构造。在一些实施方式中,工位中的任一个可专属于PNL(或ALD)沉积、选择性抑制和CVD沉积中的一个或多个。
作为上述多工位装置的替代,所述方法可在单个衬底室或以成批模式(即,非连续)在单个处理工位中处理一个或多个衬底的多工位室中进行。在本发明的这个方面,将衬底加载到室中并定位在单个加工工位的基底上(不论其是仅具有一个加工工位的装置或以具有成批模式运行的多工位的装置)。然后,可将所述衬底加热,并可进行沉积操作。然后可调节室中的加工条件,然后进行沉积层的选择性抑制。所述加工可以继续进行一个或多个沉积-抑制循环(如果进行的话),并且最终的填充操作全部在相同工位上进行。作为另一种选择,可首先使用单工位装置以在多个衬底上进行新方法中的仅一个操作(例如,沉积、选择性抑制、最终填充),此后,可使这些衬底返回到相同工位或移动到不同工位(例如,不同装置的工位),以进行剩余操作中的一个或多个。
多室装置
图9B是可根据某些实施方式使用的多室装置920的示意图。如所示的,装置920具有三个独立的室921、923和925。这些室的每一个示出具有两个基座。应当理解,装置可具有任何数目的室(例如,一个、两个、三个、四个、五个、六个等)并且每个室可具有任何数目的室(例如,一个、两个、三个、四个、五个、六个等)。每个室921-525具有其自己的压力环境,所述压力环境不与其它室共用。每个室可具有一个或多个对应的转移口(例如,加载锁)。所述装置还可具有共用衬底处理机械手907,以在转移口之间将衬底转移到一个或多个匣盒929。
如上所述,独立的室可用于沉积含钨材料和在稍后操作中选择性抑制这些沉积材料。将这两个操作分开在不同室中可有助于通过在每个室中维持相同的环境条件而显著改善加工速度。室不需要将其环境从用于沉积的条件改变成用于选择性抑制的条件以及改变回来,这会涉及不同的化学品、不同的温度、压力和其它工艺参数。在某些实施方式中,在两个或更多个不同室之间转移部分加工的半导体衬底比改变这些室的环境条件快。
图案化方法/装置:
上文所述的装置/方法可与光刻图案化工具或工艺结合使用,光刻图案化工具或工艺例如,用于加工处理或制造半导体设备、显示器、LED、光伏板等。通常,虽然不是必要的,此类工具/工艺将在共同的加工处理设施中一起使用或进行。膜的光刻图案通常包括以下步骤中的一个或全部,每个步骤可用多个可能的工具实现:(1)使用旋涂或喷涂工具在工件即衬底上施加光致抗蚀剂;(2)使用热板或烘箱或UV固化工具使光致抗蚀剂固化;(3)用工具(诸如晶片步进曝光机)使所述光致抗蚀剂暴露于可见光或UV或X射线;(4)使光阻剂显影,以便选择性移除光致抗蚀剂,从而使用工具(如湿式清洗台)使其图案化;(5)通过使用干式或等离子体辅助蚀刻工具将光致抗蚀剂图案转移到下层膜或工件;以及(6)使用工具(诸如RF或微波等离子体光阻剥离器)移除光致抗蚀剂。

Claims (21)

1.一种用钨填充特征的方法,所述方法包括:
提供包含特征的衬底,所述特征具有一个或多个特征开口和特征内部,
选择性抑制所述特征中的钨核化,使得沿特征轴具有差别抑制轮廓,其中选择性抑制在不蚀刻所述特征中的材料的情况下进行;以及
根据所述差别抑制轮廓选择性地将钨沉积在所述特征中。
2.根据权利要求1所述的用钨填充特征的方法,其中选择性抑制所述特征中的钨核化包括使所述特征暴露于直接等离子体,同时向所述衬底施加偏置。
3.根据权利要求1所述的用钨填充特征的方法,其中选择性抑制所述特征中的钨核化包括使所述特征暴露于远程产生的等离子体。
4.根据权利要求2或3所述的用钨填充特征的方法,其中所述等离子体包含氮、氢、氧和碳活化物质中的一种或多种。
5.根据权利要求2或3所述的用钨填充特征的方法,其中所述等离子体为基于氮的和/或基于氢的。
6.根据权利要求1-3中任一项所述的用钨填充特征的方法,其还包括在选择性抑制之前在所述特征中沉积钨层。
7.根据权利要求6所述的用钨填充特征的方法,其中所述钨层通过脉冲核化层(PNL)工艺进行沉积。
8.根据权利要求6所述的用钨填充特征的方法,其中所述钨层共形沉积在所述特征中。
9.根据权利要求1-3中任一项所述的用钨填充特征的方法,其中所述选择性沉积钨包括化学气相沉积(CVD)工艺。
10.根据权利要求1-3中任一项所述的用钨填充特征的方法,其还包括在所述特征中选择性沉积钨之后,在所述特征中沉积钨以完成特征填充。
11.根据权利要求1-3中任一项所述的用钨填充特征的方法,其还包括在所述特征中选择性沉积钨之后,在所述特征中非选择性沉积钨。
12.根据权利要求11所述的用钨填充特征的方法,其中从选择性沉积到非选择性沉积的过渡包括允许CVD工艺在不沉积中间钨核化层的情况下继续。
13.根据权利要求11所述的用钨填充特征的方法,其中从选择性沉积到非选择性沉积的过渡包括在选择性沉积的钨上沉积钨核化层。
14.根据权利要求1-3中任一项所述的用钨填充特征的方法,其中选择性抑制钨核化包括处理所述特征的钨表面。
15.根据权利要求1-3中任一项所述的用钨填充特征的方法,其中选择性抑制钨核化包括处理所述特征的金属氮化物表面。
16.根据权利要求1-3中任一项所述的用钨填充特征的方法,其中所述特征填充在不蚀刻所述特征中的材料的情况下进行。
17.根据权利要求1-3中任一项所述的用钨填充特征的方法,其中所述特征是三维(3-D)结构的一部分。
18.根据权利要求1-3中任一项所述的用钨填充特征的方法,其还包括重复选择性抑制和选择性沉积的循环一次或多次以填充所述特征。
19.根据权利要求1-3中任一项所述的用钨填充特征的方法,其中选择性地抑制所述特征中的至少收缩部。
20.一种用钨填充特征的方法,所述方法包括:
使三维(3-D)结构中水平取向的特征暴露于直接等离子体,从而选择性抑制所述特征的一部分的钨核化,使得所述特征中存在差别抑制轮廓;以及
在选择性抑制所述特征的一部分之后,进行CVD操作,从而根据所述差别抑制轮廓选择性沉积钨。
21.一种用钨填充特征的方法,所述方法包括:
使衬底上的未填充或部分填充的特征暴露于直接等离子体,从而选择性抑制所述特征的一部分的钨核化,使得所述特征中存在差别抑制轮廓;以及
在选择性抑制所述特征的一部分之后,进行CVD操作,从而根据所述差别抑制轮廓选择性沉积钨。
CN201380022648.2A 2012-03-27 2013-03-20 用核化抑制的钨特征填充 Active CN104272440B (zh)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US201261616377P 2012-03-27 2012-03-27
US61/616,377 2012-03-27
US201261737419P 2012-12-14 2012-12-14
US61/737,419 2012-12-14
US13/774,350 US10256142B2 (en) 2009-08-04 2013-02-22 Tungsten feature fill with nucleation inhibition
US13/774,350 2013-02-22
PCT/US2013/033174 WO2013148444A1 (en) 2012-03-27 2013-03-20 Tungsten feature fill with nucleation inhibition

Publications (2)

Publication Number Publication Date
CN104272440A CN104272440A (zh) 2015-01-07
CN104272440B true CN104272440B (zh) 2017-02-22

Family

ID=49261119

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201380022648.2A Active CN104272440B (zh) 2012-03-27 2013-03-20 用核化抑制的钨特征填充

Country Status (5)

Country Link
JP (1) JP6195898B2 (zh)
KR (1) KR102100520B1 (zh)
CN (1) CN104272440B (zh)
TW (1) TWI609455B (zh)
WO (1) WO2013148444A1 (zh)

Families Citing this family (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9076843B2 (en) 2001-05-22 2015-07-07 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US8129270B1 (en) 2008-12-10 2012-03-06 Novellus Systems, Inc. Method for depositing tungsten film having low resistivity, low roughness and high reflectivity
US8623733B2 (en) 2009-04-16 2014-01-07 Novellus Systems, Inc. Methods for depositing ultra thin low resistivity tungsten film for small critical dimension contacts and interconnects
US9159571B2 (en) 2009-04-16 2015-10-13 Lam Research Corporation Tungsten deposition process using germanium-containing reducing agent
US9548228B2 (en) 2009-08-04 2017-01-17 Lam Research Corporation Void free tungsten fill in different sized features
US8124531B2 (en) 2009-08-04 2012-02-28 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US9034768B2 (en) 2010-07-09 2015-05-19 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US11437269B2 (en) 2012-03-27 2022-09-06 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US10381266B2 (en) 2012-03-27 2019-08-13 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
CN110004429B (zh) 2012-03-27 2021-08-31 诺发***公司 钨特征填充
US8853080B2 (en) 2012-09-09 2014-10-07 Novellus Systems, Inc. Method for depositing tungsten film with low roughness and low resistivity
US9153486B2 (en) 2013-04-12 2015-10-06 Lam Research Corporation CVD based metal/semiconductor OHMIC contact for high volume manufacturing applications
US9082826B2 (en) 2013-05-24 2015-07-14 Lam Research Corporation Methods and apparatuses for void-free tungsten fill in three-dimensional semiconductor features
US9589808B2 (en) 2013-12-19 2017-03-07 Lam Research Corporation Method for depositing extremely low resistivity tungsten
US9425078B2 (en) * 2014-02-26 2016-08-23 Lam Research Corporation Inhibitor plasma mediated atomic layer deposition for seamless feature fill
US9748137B2 (en) 2014-08-21 2017-08-29 Lam Research Corporation Method for void-free cobalt gap fill
US9349637B2 (en) * 2014-08-21 2016-05-24 Lam Research Corporation Method for void-free cobalt gap fill
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US9953984B2 (en) * 2015-02-11 2018-04-24 Lam Research Corporation Tungsten for wordline applications
KR20180100734A (ko) 2015-02-13 2018-09-11 엔테그리스, 아이엔씨. 기판 제품 및 장치의 특성 및 성능을 향상시키기 위한 코팅
US9595466B2 (en) * 2015-03-20 2017-03-14 Applied Materials, Inc. Methods for etching via atomic layer deposition (ALD) cycles
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US10170320B2 (en) 2015-05-18 2019-01-01 Lam Research Corporation Feature fill with multi-stage nucleation inhibition
US9754824B2 (en) 2015-05-27 2017-09-05 Lam Research Corporation Tungsten films having low fluorine content
US9978605B2 (en) 2015-05-27 2018-05-22 Lam Research Corporation Method of forming low resistivity fluorine free tungsten film without nucleation
US9613818B2 (en) 2015-05-27 2017-04-04 Lam Research Corporation Deposition of low fluorine tungsten by sequential CVD process
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US9978610B2 (en) 2015-08-21 2018-05-22 Lam Research Corporation Pulsing RF power in etch process to enhance tungsten gapfill performance
KR102447489B1 (ko) 2015-09-02 2022-09-27 삼성전자주식회사 반도체 메모리 소자
CN106128996A (zh) * 2016-06-24 2016-11-16 武汉新芯集成电路制造有限公司 一种无缝多晶硅插塞的形成方法
US10573522B2 (en) 2016-08-16 2020-02-25 Lam Research Corporation Method for preventing line bending during metal fill process
US10211099B2 (en) 2016-12-19 2019-02-19 Lam Research Corporation Chamber conditioning for remote plasma process
CN118366851A (zh) 2017-04-10 2024-07-19 朗姆研究公司 含钼的低电阻率的膜
JP2021506126A (ja) 2017-12-07 2021-02-18 ラム リサーチ コーポレーションLam Research Corporation チャンバ調整における耐酸化保護層
US10760158B2 (en) 2017-12-15 2020-09-01 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
US10843618B2 (en) * 2017-12-28 2020-11-24 Lam Research Corporation Conformality modulation of metal oxide films using chemical inhibition
JP7023150B2 (ja) 2018-03-26 2022-02-21 東京エレクトロン株式会社 タングステン膜の成膜方法及び制御装置
US10727046B2 (en) * 2018-07-06 2020-07-28 Lam Research Corporation Surface modified depth controlled deposition for plasma based deposition
SG11202106002VA (en) * 2018-12-05 2021-07-29 Lam Res Corp Void free low stress fill
US11972952B2 (en) 2018-12-14 2024-04-30 Lam Research Corporation Atomic layer deposition on 3D NAND structures
SG11202108217UA (en) 2019-01-28 2021-08-30 Lam Res Corp Deposition of metal films
CN113316836B (zh) * 2019-03-20 2024-04-09 株式会社国际电气 半导体器件的制造方法、衬底处理方法、衬底处理装置及记录介质
US11749564B2 (en) 2020-09-22 2023-09-05 Applied Materials, Inc. Techniques for void-free material depositions
US11830728B2 (en) 2021-10-13 2023-11-28 Applied Materials, Inc. Methods for seamless gap filling of dielectric material

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101899649A (zh) * 2005-07-01 2010-12-01 东京毅力科创株式会社 钨膜的形成方法

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CA2067565C (en) * 1992-04-29 1999-02-16 Ismail T. Emesh Deposition of tungsten
JPH0922896A (ja) * 1995-07-07 1997-01-21 Toshiba Corp 金属膜の選択的形成方法
KR100338941B1 (ko) * 1999-11-26 2002-05-31 박종섭 반도체소자의 컨택 형성방법
US7964505B2 (en) * 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
KR100399417B1 (ko) * 2001-01-08 2003-09-26 삼성전자주식회사 반도체 집적 회로의 제조 방법
KR20020072996A (ko) * 2001-03-14 2002-09-19 주성엔지니어링(주) 금속 플러그 형성방법
US6998014B2 (en) * 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6797620B2 (en) * 2002-04-16 2004-09-28 Applied Materials, Inc. Method and apparatus for improved electroplating fill of an aperture
KR100446300B1 (ko) * 2002-05-30 2004-08-30 삼성전자주식회사 반도체 소자의 금속 배선 형성 방법
JP4967354B2 (ja) * 2006-01-31 2012-07-04 東京エレクトロン株式会社 シード膜の成膜方法、プラズマ成膜装置及び記憶媒体
KR100757418B1 (ko) 2006-09-05 2007-09-10 삼성전자주식회사 반도체 소자 및 그 형성 방법
US20080174021A1 (en) * 2007-01-18 2008-07-24 Samsung Electronics Co., Ltd. Semiconductor devices having metal interconnections, semiconductor cluster tools used in fabrication thereof and methods of fabricating the same
US8518282B2 (en) * 2007-11-21 2013-08-27 Lam Research Corporation Method of controlling etch microloading for a tungsten-containing layer
US8053365B2 (en) * 2007-12-21 2011-11-08 Novellus Systems, Inc. Methods for forming all tungsten contacts and lines
US9034768B2 (en) * 2010-07-09 2015-05-19 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US8119527B1 (en) * 2009-08-04 2012-02-21 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US9129945B2 (en) * 2010-03-24 2015-09-08 Applied Materials, Inc. Formation of liner and barrier for tungsten as gate electrode and as contact plug to reduce resistance and enhance device performance

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101899649A (zh) * 2005-07-01 2010-12-01 东京毅力科创株式会社 钨膜的形成方法

Also Published As

Publication number Publication date
CN104272440A (zh) 2015-01-07
TW201405707A (zh) 2014-02-01
JP6195898B2 (ja) 2017-09-13
KR20140143202A (ko) 2014-12-15
KR102100520B1 (ko) 2020-04-14
TWI609455B (zh) 2017-12-21
JP2015514160A (ja) 2015-05-18
WO2013148444A1 (en) 2013-10-03

Similar Documents

Publication Publication Date Title
CN104272440B (zh) 用核化抑制的钨特征填充
US11410883B2 (en) Tungsten feature fill with nucleation inhibition
CN105470194B (zh) 用核化抑制的特征填充
CN106169440A (zh) 用多阶段核化抑制填充特征
US20210327754A1 (en) Tungsten feature fill
US20220359280A1 (en) Tungsten feature fill with nucleation inhibition
US10381266B2 (en) Tungsten feature fill with nucleation inhibition
CN111095488A (zh) 三维竖直nand字线的金属填充过程
US20230041794A1 (en) Tungsten feature fill with nucleation inhibition

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant