CN102770580A - 藉由等离子体增强化学气相沉积使用含有具有机官能基的硅的杂化前驱物所形成的超低介电材料 - Google Patents

藉由等离子体增强化学气相沉积使用含有具有机官能基的硅的杂化前驱物所形成的超低介电材料 Download PDF

Info

Publication number
CN102770580A
CN102770580A CN2011800104819A CN201180010481A CN102770580A CN 102770580 A CN102770580 A CN 102770580A CN 2011800104819 A CN2011800104819 A CN 2011800104819A CN 201180010481 A CN201180010481 A CN 201180010481A CN 102770580 A CN102770580 A CN 102770580A
Authority
CN
China
Prior art keywords
dielectric constant
silane
low
kinds
constant layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN2011800104819A
Other languages
English (en)
Inventor
K·S·伊姆
A·T·迪莫斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN102770580A publication Critical patent/CN102770580A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/7681Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving one or more buried masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)
  • Other Resins Obtained By Reactions Not Involving Carbon-To-Carbon Unsaturated Bonds (AREA)

Abstract

提供一种在衬底上沉积低介电常数层的方法。在一个实施例中,所述方法包括将一种或更多种有机硅化合物引进腔室,其中所述一种或更多种有机硅化合物包含硅原子和成孔剂成分;在存在射频功率的情况下,使所述一种或更多种有机硅化合物反应而在腔室内的衬底上沉积低介电常数层;以及后处理低介电常数层,以从低介电常数层基本移除成孔剂成分。可选地,将惰性载气、氧化气体或惰性载气与氧化气体伴随所述一种或更多种有机硅化合物引进处理腔室。后处理工艺可以是紫外线辐射固化沉积材料。紫外线固化工艺可与热或电子束固化工艺同时或按顺序进行。所述低介电常数层具有良好的机械性质和预期的介电常数。

Description

藉由等离子体增强化学气相沉积使用含有具有机官能基的硅的杂化前驱物所形成的超低介电材料
技术领域
本发明的实施例大体关于集成电路制造。更特定而言,本发明的实施例关于沉积用于集成电路的低介电常数层的工艺。
现有技术
自从数十年前集成电路问世以来,此类器件的尺寸已大幅缩小。从那时起,集成电路大致依循两年/尺寸减半的规则(通常称为摩尔定律(Moore’sLaw)),所述规则意指芯片上的器件数目每两年即翻倍。今日生产设施例行制造特征尺寸为90纳米(nm)、甚至65nm的器件,而未来设施不久将制造更小特征尺寸的器件。
随着器件尺寸不断微缩,衍生出对低介电常数(k)值膜的需求,因为相邻金属线之间的电容性耦合必须被降低,才能进一步缩小集成电路上的器件尺寸。特别地,期望绝缘体的介电常数小于约4.0。具有低介电常数的绝缘体实例包括旋涂玻璃、氟掺杂硅玻璃(FSG)、碳掺杂氧化物和聚四氟乙烯(PTFE),上述这些物质皆可买到。
近来已开发出k值小于约3.0、甚至小于约2.5的低介电常数有机硅膜。一种用来形成低介电常数有机硅膜的方法为使用包含有机硅化合物的混合气体与包含热不稳定物质或挥发性基团的化合物来沉积膜;接着后处理沉积膜以从沉积膜移除热不稳定物质或挥发性基团(如有机基团)。从沉积膜移除热不稳定物质或挥发性基团后会在膜内形成纳米尺寸的空隙,由于空气的介电常数约为1,所以所述空隙降低膜的介电常数。
尽管已开发出上述低介电常数有机硅膜,所述低介电常数有机硅膜具有预期低介电常数,然而一些低介电常数膜的机械性质仍不如预期,例如机械强度不佳,导致膜在后续半导体处理步骤期间易遭破坏。可能破坏低介电常数膜的半导体处理步骤包括基于等离子体的蚀刻工艺,所述基于等离子体的蚀刻工艺用来图案化低介电常数膜。灰化工艺和湿蚀刻工艺也会破坏膜,所述灰化工艺用于从介电膜移除光阻或底部抗反射涂层(BARC)。此外,空隙(或孔隙)遍及沉积材料的尺寸均匀度与分布均匀度都比预期差。
因此,仍需制造低介电常数膜的工艺,所述低介电常数膜具有提高的均匀度、提高的机械性质且能抵抗后续衬底处理步骤的破坏。
发明内容
本发明大体提供用于沉积低介电常数层的方法。在一个实施例中,方法包括将一种或更多种有机硅化合物引进腔室,其中所述一种或更多种有机硅化合物包含硅原子和成孔剂成分,所述成孔剂成分与硅原子键结,其中所述一种或更多种有机硅化合物从由5-双环庚烯基三乙氧硅烷、5-双环庚烯基甲基二乙氧硅烷、5-双环庚烯基二甲基乙氧硅烷、5-双环庚烯基三甲基硅烷、5-双环庚基甲基二乙氧硅烷、5-双环庚基二甲基乙氧硅烷、5-双环庚基三甲基硅烷、5-双环庚基二甲基氯硅烷、环己基甲基二甲氧硅烷、异丁基甲基二甲氧硅烷、1-(2-三甲氧基硅基乙基)环己烷-3,4-环氧化物、1,1-二甲基-1-硅杂环戊烷、2-环己烯-1-基氧基三甲基硅烷、环己基氧基三甲基硅烷、2,4-环戊二烯-1-基三甲基硅烷、1,1-二甲基硅杂环己烷和上述物质的组合物所组成的群组中选择;在存有射频(RF)功率的情况下,使所述一种或更多种有机硅化合物反应而沉积低介电常数层于腔室内的衬底上;以及后处理低介电常数层,以基本移除低介电常数层的成孔剂成分。硅原子也可与一个或更多氧原子键结。可视情况将惰性载气、氧化气体或惰性载气与氧化气体二者伴随所述一种或更多种有机硅化合物引进处理腔室。后处理工艺可以是紫外线辐射固化所沉积材料,并且紫外线(UV)固化工艺可与热、等离子体或电子束固化工艺同时进行或按顺序进行。
附图说明
为让本发明的上述发明内容更明显易懂,可配合参考实施例说明,所述实施例部分在附图中图解说明。然而,须注意,附图仅说明本发明的典型实施例,因此并非用于限定本发明的范围,因为本发明可允许其它等效实施例。
图1显示以含所述有机硅化合物(环己基甲基二甲氧硅烷)的成孔剂而得的沉积材料实施例和以个别的成孔剂前驱物与含硅化合物而得的沉积材料中的多孔结构的体积百分比相应于半径大小的曲线图。
图2显示以含所述有机硅化合物(5-双环庚烯基三甲基硅烷)的成孔剂而得的沉积材料实施例和以个别的成孔剂前驱物与含硅化合物而得的沉积材料中的多孔结构的体积百分比相应于半径大小的曲线图。
图3A-3D为显示根据所述实施例的双镶嵌沉积顺序实施例的截面图。
具体实施方式
本发明提供沉积低介电常数层的方法。低介电常数层包含硅、氧和碳,所述低介电常数层可称为氧碳化硅或碳掺杂氧化硅。此层还包含纳米尺寸孔隙。低介电常数层的介电常数为约3.0或以下,较佳约2.6或以下,例如介于约2.1至2.5之间。低介电常数层的弹性模数可为至少约4吉帕(GPa),例如约6GPa或以上。低介电常数层可用作分层结构(如多层双镶嵌结构)中的金属间介电层或其它层,例如阻障层。根据本发明一实施例的沉积低介电常数层的方法将描述于下。
工艺说明如下。将一种或更多种有机硅化合物引进处理腔室。所述一种或更多种有机硅化合物可包含键结硅原子和成孔剂成分,所述成孔剂成分与硅原子键结。硅原子可选择性与一个或更多个氧原子键结。诸如稀有气体之类的惰性载气(如氩气或氦气)可伴随一种或更多种有机硅化合物引进。可视情况将氧化气体引进处理腔室。
一种或更多种有机硅化合物和可选的氧化气体在存有射频(RF)功率的情况下反应而在腔室内的衬底上沉积低介电常数材料。接着可利用紫外线辐射固化工艺后处理所沉积材料,以从低介电常数层基本移除成孔剂成分。
引进一种或更多有机硅化合物和任何其它可选气体的腔室可为等离子体增强化学气相沉积(PECVD)腔室。用于沉积工艺的等离子体可利用恒定射频(RF)功率、脉冲式RF功率、高频RF功率、双频RF功率或上述功率的组合来产生。可用的PECVD腔室的示例为
Figure BDA00002041856000041
腔室,所述
Figure BDA00002041856000042
腔室可购自美国加州圣克拉拉市的应用材料公司。然而,其它腔室也可用来沉积低介电常数层。
所述一种或更多种有机硅化合物(所述有机硅化合物亦可称为接枝成孔剂前驱物)包括含硅成分和成孔剂成分,所述成孔剂成分与含硅成分的硅原子键结。含硅成分可包括硅原子,所述硅原子与至少一个氧原子键结。适合的有机硅化合物包括:
5-双环庚烯基三乙氧硅烷
5-双环庚烯基甲基二乙氧硅烷
Figure BDA00002041856000044
5-双环庚烯基二甲基乙氧硅烷
Figure BDA00002041856000045
5-双环庚烯基三甲基硅烷
Figure BDA00002041856000046
环己基甲基二甲氧硅烷(CHMDMOS)
Figure BDA00002041856000047
异丁基甲基二甲氧硅烷(IBMDMOS)
Figure BDA00002041856000048
1-(2-三甲氧基硅基乙基)环己烷-3,4-环氧化物
Figure BDA00002041856000049
1,1-二甲基-1-硅杂环戊烷
Figure BDA000020418560000410
2-环己烯-1-基氧基三甲基硅烷
Figure BDA000020418560000411
环己基氧基三甲基硅烷
Figure BDA000020418560000412
2,4-环戊二烯-1-基三甲基硅烷
1,1-二甲基硅杂环己烷
Figure BDA000020418560000414
5-双环庚基甲基二乙氧硅烷
Figure BDA00002041856000051
5-双环庚基二甲基乙氧硅烷
5-双环庚基三甲基硅烷
Figure BDA00002041856000053
5-双环庚基二甲基氯硅烷
Figure BDA00002041856000054
和上述物质的组合。
含硅成分可包含任何硅基化合物(及可选地具有至少一个硅-氧键结),且例如可包括从由三甲基硅烷、三乙氧基硅烷、甲基二乙氧硅烷、二甲基乙氧硅烷、二甲基甲氧硅烷、甲基二甲氧硅烷、二甲基二硅氧烷、四甲基二硅氧烷、1,3-双硅烷亚甲基二硅氧烷、双(1-甲基二硅氧烷基)甲烷、双(1-甲基二硅氧烷基)丙烷和上述物质的组合所组成的群组中选择的化合物。用于含硅成分且适于与成孔剂成分键结的附加硅基化合物可包括从由二甲基二甲氧硅烷(DMDMOS)(与成孔剂成分键结后,二甲基二甲氧硅烷的含硅成分例如可表示成二甲基甲氧硅烷或甲基二甲氧硅烷)、二甲氧基甲基乙烯基硅烷(DMMVS)、六甲基二硅氧烷(HMDS)、六甲氧基二硅氧烷(HMDOS)、四甲基环四硅氧烷(TMCTS)、八甲基环四硅氧烷(OMCTS)、五甲基环戊硅氧烷、六甲基环三硅氧烷和上述物质的组合所组成的群组中选择的化合物。
成孔剂成分可包含热不稳定官能基,所述热不稳定官能基耦接至硅原子。热不稳定官能基可包括双环庚烯基、环己基、异丁基、环己烯环氧基、环己烯基、环戊二烯基、上述物质的衍生物和上述物质的组合物。与硅原子键结前的基础成孔剂化合物可从由双环庚二烯(降莰二烯)、双环庚烷(降莰烷)、环己烷、异丁烷、环氧环己烷、环己烯、环戊二烯和上述物质的组合物所组成的群组中选择。固化时,热不稳定基团从沉积材料释出而在沉积材料中形成孔隙或空隙。固化工艺可为紫外线辐射工艺,所述紫外线辐射工艺可与热或电子束固化工艺按顺序或同时进行。
一种或更多种有机硅化合物可与其它含硅前驱物和成孔剂前驱物混合,以用于沉积所述低k介电层。
一种或更多种可选的含硅前驱物可与所述一种或更多种有机硅前驱物一起使用。所述一种或更多种含硅前驱物可以是一种或更多种无成孔剂成分的有机硅化合物,例如包括二甲基二甲氧硅烷(DMDMOS)、甲基二乙氧硅烷(MDEOS)、三甲基硅烷(TMS)、三乙氧基硅烷、二甲基乙氧硅烷、二甲基二硅氧烷、四甲基二硅氧烷、六甲基二硅氧烷(HMDS)、1,3-双硅烷亚甲基二硅氧烷、双(1-甲基二硅氧烷基)甲烷、双(1-甲基二硅氧烷基)丙烷、六甲氧基二硅氧烷(HMDOS)、二甲氧基甲基乙烯基硅烷(DMMVS)和上述物质的组合。所述一种或更多种含硅前驱物可包括环状化合物,包括四甲基环四硅氧烷(TMCTS)、八甲基环四硅氧烷(OMCTS)、五甲基环戊硅氧烷、六甲基环三硅氧烷和上述物质的组合物。
另外,一种或更多种可选的成孔剂前驱物可与所述一种或更多种有机硅化合物一起使用。较佳的成孔剂前驱物为形成个别化合物的成孔剂成分的成孔剂化合物,且较佳的成孔剂前驱物例如包括双环庚二烯(降莰二烯)、双环庚烷(降莰烷)、环己烷、异丁烷、α-萜品烯、环氧环己烷、环己烯、环戊二烯和上述物质的组合,等等。
氧化气体为含氧化合物,所述含氧化合物从由氧气(O2)、一氧化二氮(N2O)、臭氧(O3)、水(H2O)、二氧化碳(CO2)、一氧化碳(CO)和上述物质的组合所组成的群组中选择。
以上在本申请通篇所述的流率是提供用于300毫米(mm)腔室,例如购自美国加州圣克拉拉市的应用材料公司的
Figure BDA00002041856000061
腔室,所述300毫米(mm)腔室具有两个隔离处理区。因此,各衬底处理区获得的流率为供入腔室的流率的一半。
所述一种或更多种有机硅化合物和可选的氧化气体与任何惰性气体在存有RF功率的情况下反应而在腔室内的衬底上沉积低介电常数层。所述一种或更多种有机硅化合物反应而得的沉积层内保留有成孔剂成分(热不稳定基团)。后处理此层将使成孔剂(热不稳定基团)分解及从所述层释出,进而在所述层中形成空隙或纳米尺寸孔隙。
应用时,将衬底放置在处理腔室的衬底支撑件上,所述处理腔室能进行PECVD。混合气体经由腔室的气体分配板(如喷淋头)引至腔室内,所述混合气体具有组成物,所述组成物包括一种或更多种有机硅化合物和可选的氧化气体。射频(RF)功率施加至电极,例如喷淋头,以在腔室内提供等离子体处理条件。在腔室中,混合气体在存有RF功率的情况下反应而沉积初始层,所述初始层包含氧化硅层,所述初始层紧紧粘附于下方的衬底。低介电常数层经后处理,以从低介电常数层基本移除成孔剂。
在所述一种或更多种有机硅化合物与氧化气体反应而在腔室内的衬底上沉积低介电常数层期间,衬底一般维持呈约0℃至约400℃的温度。腔室压力可为约0.1托至约50托,例如约1托至约15托,并且衬底支撑件与腔室喷淋头之间的间距可为约100密耳至约1500密耳,例如约200密耳至约1200密耳。
所述一种或更多种有机硅化合物可以约10毫克/分钟至约5000毫克/分钟的流率引进腔室,例如以约100毫克/分钟至约3000毫克/分钟的流率。可选的氧化气体可以约0毫克/分钟至约10000毫克/分钟的流率引进腔室,例如以约0毫克/分钟至约5000毫克/分钟的流率。稀释气体或载气(如氦气、氩气或氮气)亦可以约10sccm至约10000sccm的流率引进腔室,例如以约500毫克/分钟至约5000毫克/分钟的流率。
对300mm的衬底来说,可施加约0.014瓦/平方厘米(W/cm2)至约2.8W/cm2的功率密度(所述功率密度为约10瓦至约2000瓦的RF功率大小)来产生等离子体,例如约0.07 W/cm2至约1.4 W/cm2(所述功率密度为约50瓦至约1000瓦的RF功率大小)。RF功率可以约0.01兆赫(MHz)至300 MHz的频率提供,例如约13.56 MHz。RF功率可以混频提供,例如约13.56 MHz的高频与约350千赫(kHz)的低频。RF功率可循环或脉冲输入,藉以减少衬底加热并提高所沉积层的孔隙度。RF功率也可为连续式或非连续式。
沉积低介电常数层后,可后处理所述层。在一个实施例中,施加UV辐射来移除成孔剂。UV辐射施加可与附加的后处理协同地、同时地或按顺序进行,所述附加的后处理例如电子束处理、基于等离子体的处理、热退火处理和上述处理的组合等等。
可用的UV后处理条件的示例包括腔室压力为约1托至约12托,例如1托至10托,并且衬底支撑件温度为约50℃至约600℃,例如约350℃至约500℃。UV辐射可由任何UV源提供,例如汞微波弧灯、脉冲式氙闪光灯或高效率UV发光二极管阵列。UV辐射波长例如可为约170nm至约400nm。氦气可以约100sccm(标况毫升每分钟)至约20000sccm的流率供应。在一些实施例中,可使用诸如氦气、氩气、氮气、氢气、氧气或上述气体的任何组合之类的气体。UV功率可为约25%至约100%,而处理时间可为约0分钟至约200分钟。
UV腔室和处理条件的其它细节描述于共同转让且于2005年5月9日申请的美国专利申请第11/124,908号,所述申请以引用方式并入本文中。购自应用材料公司的NanoCureTM腔室为市售腔室一例,NanoCureTM腔室可用于UV后处理。
示例性的热退火后处理包括在腔室中以约200℃至约500℃的衬底温度对所述层退火约2秒至约3小时,较佳约0.5至约2小时。诸如氦气、氢气、氮气或上述气体的混合物之类的不反应气体可以约100至约10000sccm的流率引进腔室。腔室压力维持在约1毫托至约10托之间。较佳的衬底间距为约300密耳至约800密耳。
以下实例说明本发明的实施例。实例中的衬底为300mm的衬底。低介电常数层是在腔室中沉积在衬底上,并在NanoCureTM腔室中进行UV处理,所述
Figure BDA00002041856000082
腔室购自美国加州圣克拉拉市的应用材料公司,所述NanoCureTM腔室购自美国加州圣克拉拉市的应用材料公司。
以上述使用所述有机硅化合物的工艺沉积的介电层经退火或后处理后经观测发现具有约2.0至约2.5的介电常数(如约2.2至约2.46)、约20体积%至约30体积%的孔隙体积、约6.5GPa的弹性模数以及约6埃
Figure BDA00002041856000083
(0.6nm)至约17埃(1.7nm)的平均孔隙半径(如约6埃(0.6nm)至约11埃(1.1nm),例如约7埃至约9埃)。
实例1与图1
在约7托、温度约300℃下,在衬底上沉积低介电常数层。间距为约800密耳,并以约13.56MHz和约400瓦的条件提供RF功率。采用下列处理气体和流率:约1000mgm的环己基甲基二甲氧硅烷(CHMDMOS)、约0mgm的氧气和约3000sccm的氦气。以上述UV处理方式后处理此层。
后处理后,观测发现所述层的介电常数为约2.35、沉积速率为约2500埃/分钟、拉伸应力为约55MPa、弹性模数为约4.5GPa、孔隙度为约28%且平均孔隙半径为约7.1埃(0.71nm)、FTIR测量的Si-CH3键结与SiO键结比率为约2.7%。
图1绘示以上述环己基甲基二甲氧硅烷(CHMDMOS)有机硅化合物沉积(粗实线),和以硅成分(甲基二甲氧硅烷)与成孔剂(双环庚二烯(BHCD))作为不同化合物沉积(细实线)的孔隙度(多孔体积)相应于平均孔隙结构半径的比较结果。如图1所示,有机硅化合物沉积层有更大的孔隙度(波峰下的面积较大)和更均匀的多孔结构尺寸(峰形比以硅成分与成孔剂作为不同化合物沉积而得的结构窄)。孔隙体积%和孔隙半径是利用已知技术测得。
实例2与图2
在约7托、温度约300℃下,在衬底上沉积低介电常数层。间距为约800密耳,并以约13.56MHz和约400瓦的条件提供RF功率。采用下列处理气体和流率:约1000mgm的5-双环庚烯基三甲基硅烷、约0mgm的氧气和约3000sccm的氦气。以上述UV处理方式后处理此层。
后处理后,观测发现所述层的介电常数为约2.43、沉积速率为约2000埃/分钟、拉伸应力为约60MPa、弹性模数为约6.5GPa、孔隙度为约23%且平均孔隙半径为约7.0埃(0.7nm)、FTIR测量的Si-CH3键结与SiO键结比率为约3.2%。
图2绘示以上述5-双环庚烯基三甲基硅烷有机硅化合物沉积(粗实线)和以硅成分(甲基二甲氧硅烷)与成孔剂(双环庚二烯,亦称为降莰二烯)作为不同化合物沉积(细实线)的孔隙度(多孔体积)相应于平均孔隙结构半径的比较结果。如图2所示,与以硅成分与成孔剂作为不同化合物沉积而得的膜层相比,有机硅化合物沉积层呈现出更大的孔隙度和更均匀的多孔结构尺寸。
观测发现使用与硅原子键结(接枝)的成孔剂沉积介电材料将在所沉积的氧碳化硅材料中形成更受控制的孔隙结构。图1至2以成孔剂体积%相应于孔隙半径的曲线图说明受控制的孔隙结构,与使用两种不同前驱物的工艺相比,所述受控制的孔隙结构有较窄的孔隙半径变化,且在一些情况下,有较大的孔隙体积。改善孔隙结构更能抵抗层破坏工艺,例如用于移除层图案化工艺的光阻材料的氧灰化。
以本文所述方法沉积的(多孔)低k介电层可做为下述层间介电材料。或者,以本文所述方法沉积的(多孔)低k介电层可作为另一层间介电层,例如蚀刻终止或阻障层。
如图3A所示,提供镶嵌结构到处理腔室,所述镶嵌结构是利用衬底300而形成,衬底300具有金属特征结构307,金属特征结构307形成于衬底表面材料305内。第一阻障层310(如碳化硅阻障层)一般沉积于衬底表面,以消除衬底与后续沉积材料之间的相互扩散。阻障层材料的介电常数可至多达约9,较佳介于约2.5至小于约4之间。碳化硅阻障层的介电常数可为约5或以下,较佳小于约4。第一阻障层310的碳化硅材料可掺杂氮和/或氧。阻障层可利用UV处理、热处理、等离子体处理、电子束处理或上述处理方式的组合来处理。
可选地,所述阻障层可由本文中所述的一种或更多种有机硅化合物中的一种有机硅化合物沉积而得。例如,观测发现由异丁基甲基二甲氧硅烷沉积而得的介电层比起所述其它有机硅化合物有较小的孔隙体积和较佳的阻障性质。异丁基甲基二甲氧硅烷有机硅化合物可伴随使用惰性气体、氧化气体或惰性载气与氧化气体二者来沉积。与包括氧化气体的沉积工艺相比,无氧气的沉积工艺被认为具有更佳的阻障性质和更大的介电常数值。
虽未绘示,但无氮的碳化硅或氧化硅的盖层可沉积在第一阻障层310上。无氮的碳化硅或氧化硅盖层可藉由调整处理气体的组成而原位沉积。例如,藉由减少或排除氮源气体,可在第一碳化硅阻障层310上原位沉积无氮的碳化硅盖层。或者,可在第一碳化硅阻障层310上沉积初始层(未示出)。初始层将更完整描述于名称为“ADHESION IMPROVEMENT FORLOW K DIELECTRICS(改善低k介电质粘着性)”的美国专利第7,030,041号中,所述美国专利以引用方式并入本文而不与本发明主张方面和内容相悖。
利用所述使用所述一种或更多种有机硅化合物来形成(多孔)低k介电层的方法,在碳化硅阻障层310上沉积第一介电层312,视制造结构尺寸而定,第一介电层312的厚度为约1000埃至约15000埃。接着以所述紫外线工艺后处理第一介电层312,所述紫外线工艺可结合等离子体工艺、热工艺或电子束工艺使用。可选地,可通过增加所述碳氧化硅沉积工艺的氧浓度以移除沉积材料中的碳,进而在第一介电层312上原位沉积氧化硅盖层(未绘示)。第一介电层还可包含其它低k介电材料,例如低聚合物材料(包括聚对二甲苯基)或低k旋涂式玻璃(如无掺杂硅玻璃(USG)或氟掺杂硅玻璃(FSG))。
接着在第一介电层312上沉积可选的低k蚀刻终止层(或第二阻障层)314,低k蚀刻终止层314例如为碳化硅层且可掺杂氮或氧。低k蚀刻终止层314可在第一介电层312上沉积至约50埃至约1000埃的厚度。以本文所述处理碳化硅材料或碳氧化硅材料的方式后处理低k蚀刻终止层314。低k蚀刻终止层314接着经图案蚀刻而定义触点/通孔316的开口,并露出待形成触点/通孔316区域中的第一介电层312。在一个实施例中,低k蚀刻终止层314的图案蚀刻是利用常规的光刻工艺和蚀刻工艺,所述等蚀刻工艺使用氟、碳与氧离子。虽未绘示,然而在沉积其它材料前,可选地在低k蚀刻终止层314上沉积约100埃至约500埃的无氮碳化硅或氧化硅盖层。
参照第3B图,在移除光阻材料后,接着在可选的图案化蚀刻终止层314和第一介电层312上沉积所述有机硅化合物的第二介电层318。第二介电层318可包含氧碳化硅,所述氧碳化硅以所述形成多孔低k介电层的方法沉积,且第二介电层318厚度可为约5000埃至约15000埃。第二介电层318接着经本文所述紫外线工艺后处理,所述紫外线工艺后处理可结合等离子体工艺、热工艺或电子束工艺使用,和/或具有以本文所述工艺沉积于所述第二介电层318上的氧化硅覆盖材料。可使用与第一介电层312相同或不同的有机硅化合物来沉积第二介电层318。
如图3B所示,光阻材料322接着沉积在第二介电层318(或盖层)上,并利用常规光刻工艺图案化光阻材料322而限定互连线320。可选地,抗反射涂层(ARC)和蚀刻掩模层(诸如硬掩模层,未示出)可设置在光阻材料322与第二介电层318之间,以助于将图案和特征结构转移到衬底300。光阻材料322包含本领域公知材料,较佳为高活化能光阻材料,例如购自美国马萨诸塞州Marlborough市的Shipley公司的UV-5。如图3C所示,接着利用反应离子蚀刻或其它各向异性蚀刻技术,蚀刻内连线和触点/通孔,以定义金属化结构(即互连线和触点/通孔)。利用氧剥除或其它适合工艺,移除任何光阻材料或其它用于图案化蚀刻终止层314或第二介电层318的材料。
接着使用如铝、铜、钨或上述物质的组合之类的导电材料,形成金属化结构。因为铜的电阻率低(1.7毫欧姆-厘米(mΩ-cm),铝则为3.1mΩ-cm),所以目前的趋势是用铜来形成小特征结构。在一个实施例中,适当金属阻障层324(如氮化钽)先共形沉积于金属化图案,以免铜迁移到周围的硅及/或介电材料内。随后,利用如化学气相沉积、物理气相沉积、电镀或上述方式的组合之类的技术沉积铜而形成导电结构。如图3D所示,一旦结构已填满铜或其它导电金属,即利用化学机械抛光来平坦化表面及露出导电金属特征结构326的表面。
所述一种或更多种有机硅化合物可用于其它沉积方案,例如间隙填充工艺。间隙填充工艺的示例描述于2000年4月25日颁证的名称为“MethodOf Depositing A Low k Dielectric With Organo Silane(使用有机硅烷沉积低介电常数介电质的方法)”的美国专利第6,054,379号,所述专利以引用方式并入本文而不与本发明主张方面和内容相悖。
虽然以上内容针对本发明的实施例,然而在不脱离本发明的基本范围内,当可设计出其它和进一步的实施例,因此本发明的保护范围由所附权利要求书确定。

Claims (15)

1.一种沉积低介电常数层的方法,所述方法包括:
将一种或更多种有机硅化合物引进腔室,其中所述一种或更多种有机硅化合物包含硅原子和成孔剂成分,所述成孔剂成分与所述硅原子键结,其中所述一种或更多种有机硅化合物从由5-双环庚烯基三乙氧硅烷、5-双环庚烯基甲基二乙氧硅烷、5-双环庚烯基二甲基乙氧硅烷、5-双环庚烯基三甲基硅烷、5-双环庚基甲基二乙氧硅烷、5-双环庚基二甲基乙氧硅烷、5-双环庚基三甲基硅烷、5-双环庚基二甲基氯硅烷、环己基甲基二甲氧硅烷、异丁基甲基二甲氧硅烷、1-(2-三甲氧基硅基乙基)环己烷-3,4-环氧化物、1,1-二甲基-1-硅杂环戊烷、2-环己烯-1-基氧基三甲基硅烷、环己基氧基三甲基硅烷、2,4-环戊二烯-1-基三甲基硅烷、1,1-二甲基硅杂环己烷和上述物质的组合物所组成的群组中选择;
在存在射频(RF)功率的情况下,使所述一种或更多种有机硅化合物反应而在所述腔室内的衬底上沉积低介电常数层;以及
后处理所述低介电常数层,以从所述低介电常数层基本移除所述成孔剂成分,其中所述低介电常数层具有约20体积%至约30体积%的孔隙体积和约6埃至约11埃的平均孔隙半径。
2.如权利要求1所述的方法,其特征在于,所述后处理包括紫外线(UV)固化处理。
3.如权利要求1所述的方法,其特征在于,所述低介电常数层包括约2.0至约2.5的介电常数。
4.如权利要求1所述的方法,其特征在于,所述方法进一步包括:将氧化气体引进所述腔室;以及在存在射频(RF)功率的情况下,使所述一种或更多种有机硅化合物与所述氧化气体反应而在所述腔室内的衬底上沉积低介电常数层。
5.如权利要求4所述的方法,其特征在于,所述氧化气体从由氧气(O2)、一氧化二氮(N2O)、臭氧(O3)、水(H2O)、二氧化碳(CO2)、一氧化碳(CO)和上述物质的组合所组成的群组中选择。
6.如权利要求1所述的方法,其特征在于,所述方法进一步包括:引进从由含硅前驱物、成孔剂前驱物和上述物质的组合所组成的群组中选择的一种或更多种化合物。
7.如权利要求6所述的方法,其特征在于,所述含硅前驱物包括一种或更多种无成孔剂的硅化合物,所述一种或更多种无成孔剂的硅化合物从由二甲基二甲氧硅烷、甲基二乙氧硅烷、三甲基硅烷、三乙氧基硅烷、二甲基乙氧硅烷、二甲基二硅氧烷、四甲基二硅氧烷、六甲基二硅氧烷、1,3-双硅烷亚甲基二硅氧烷、双(1-甲基二硅氧烷基)甲烷、双(1-甲基二硅氧烷基)丙烷、六甲氧基二硅氧烷、二甲氧基甲基乙烯基硅烷和上述物质的组合所组成的群组中选择。
8.如权利要求6所述的方法,其特征在于,所述含硅前驱物包括一种或更多种无成孔剂的环状含硅前驱物,所述一种或更多种无成孔剂的环状含硅前驱物从由四甲基环四硅氧烷(TMCTS)、八甲基环四硅氧烷(OMCTS)、五甲基环戊硅氧烷、六甲基环三硅氧烷和上述物质的组合所组成的群组中选择。
9.如权利要求6所述的方法,其特征在于,所述成孔剂前驱物包括成孔剂化合物,所述成孔剂化合物从由降莰烷、降莰二烯、环己烷、异丁烷、α-萜品烯、环氧环己烷、环己烯、环戊二烯和上述物质的组合所组成的群组中选择。
10.如权利要求1所述的方法,其特征在于,所述硅原子与至少一个氧原子键结。
11.如权利要求4所述的方法,其特征在于,所述低介电常数层包括氧碳化硅,且所述低介电常数层具有约2.0至约2.5的介电常数。
12.如权利要求1所述的方法,其特征在于,所述平均孔隙半径在约7埃至约9埃之间。
13.一种沉积低介电常数层的方法,所述方法包括:
将一种或更多种有机硅化合物引进腔室,其中所述一种或更多种有机硅化合物包含硅原子和成孔剂成分,所述成孔剂成分与所述硅原子键结,其中所述一种或更多种有机硅化合物从由5-双环庚烯基甲基二乙氧硅烷、5-双环庚烯基二甲基乙氧硅烷、5-双环庚烯基三甲基硅烷、5-双环庚基甲基二乙氧硅烷、5-双环庚基二甲基乙氧硅烷、5-双环庚基三甲基硅烷、5-双环庚基二甲基氯硅烷、异丁基甲基二甲氧硅烷、1-(2-三甲氧基硅基乙基)环己烷-3,4-环氧化物、1,1-二甲基-1-硅杂环戊烷、2-环己烯-1-基氧基三甲基硅烷、环己基氧基三甲基硅烷、2,4-环戊二烯-1-基三甲基硅烷、1,1-二甲基硅杂环己烷和上述物质的组合物所组成的群组中选择;
在存在射频(RF)功率的情况下,使所述一种或更多种有机硅化合物反应而在所述腔室内的衬底上沉积低介电常数层;以及
后处理所述低介电常数层,以从所述低介电常数层基本移除所述成孔剂成分。
14.如权利要求13所述的方法,其特征在于,所述低介电常数层经后处理后具有约20体积%至约30体积%的孔隙体积和约6埃至约11埃的平均孔隙半径。
15.如权利要求14所述的方法,其特征在于,所述平均孔隙半径在约7埃至约9埃之间。
CN2011800104819A 2010-02-25 2011-02-16 藉由等离子体增强化学气相沉积使用含有具有机官能基的硅的杂化前驱物所形成的超低介电材料 Pending CN102770580A (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US30822410P 2010-02-25 2010-02-25
US61/308,224 2010-02-25
US37662210P 2010-08-24 2010-08-24
US61/376,622 2010-08-24
PCT/US2011/025093 WO2011106218A2 (en) 2010-02-25 2011-02-16 Ultra low dielectric materials using hybrid precursors containing silicon with organic functional groups by plasma-enhanced chemical vapor deposition

Publications (1)

Publication Number Publication Date
CN102770580A true CN102770580A (zh) 2012-11-07

Family

ID=44476728

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2011800104819A Pending CN102770580A (zh) 2010-02-25 2011-02-16 藉由等离子体增强化学气相沉积使用含有具有机官能基的硅的杂化前驱物所形成的超低介电材料

Country Status (6)

Country Link
US (1) US20110206857A1 (zh)
JP (1) JP2013520841A (zh)
KR (1) KR20130043096A (zh)
CN (1) CN102770580A (zh)
TW (1) TW201142945A (zh)
WO (1) WO2011106218A2 (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105720005A (zh) * 2014-12-04 2016-06-29 中芯国际集成电路制造(上海)有限公司 超低k介质层的形成方法
CN104103572B (zh) * 2013-04-02 2017-02-08 中芯国际集成电路制造(上海)有限公司 多孔低k介质层的形成方法及多孔低k介质层
CN106941092A (zh) * 2016-01-04 2017-07-11 台湾积体电路制造股份有限公司 集成电路结构及其形成方法
WO2020233480A1 (zh) * 2019-05-17 2020-11-26 江苏菲沃泰纳米科技有限公司 低介电常数膜及其制备方法

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102709233A (zh) * 2012-06-21 2012-10-03 上海华力微电子有限公司 铜双大马士革结构形成方法以及半导体器件制造方法
US20150368803A1 (en) * 2013-03-13 2015-12-24 Applied Materials, Inc. Uv curing process to improve mechanical strength and throughput on low-k dielectric films
US9209017B2 (en) * 2014-03-26 2015-12-08 International Business Machines Corporation Advanced ultra low k SiCOH dielectrics prepared by built-in engineered pore size and bonding structured with cyclic organosilicon precursors
US20150284849A1 (en) * 2014-04-07 2015-10-08 Applied Materials, Inc. Low-k films with enhanced crosslinking by uv curing
US9922818B2 (en) * 2014-06-16 2018-03-20 Versum Materials Us, Llc Alkyl-alkoxysilacyclic compounds
US9431455B2 (en) * 2014-11-09 2016-08-30 Tower Semiconductor, Ltd. Back-end processing using low-moisture content oxide cap layer
US9379194B2 (en) 2014-11-09 2016-06-28 Tower Semiconductor Ltd. Floating gate NVM with low-moisture-content oxide cap layer
US10707165B2 (en) * 2017-04-20 2020-07-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having an extra low-k dielectric layer and method of forming the same
US20190096820A1 (en) * 2017-09-28 2019-03-28 Taiwan Semiconductor Manufacturing Co., Ltd. Hardened interlayer dielectric layer
US10566411B2 (en) * 2017-12-07 2020-02-18 Globalfoundries Inc. On-chip resistors with direct wiring connections
WO2019246061A1 (en) * 2018-06-19 2019-12-26 Versum Materials Us, Llc Silicon compounds and methods for depositing films using same
US11043373B2 (en) * 2018-07-31 2021-06-22 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect system with improved low-k dielectrics
US20200165727A1 (en) * 2018-11-27 2020-05-28 Versum Materials Us, Llc 1-Methyl-1-Iso-Propoxy-Silacycloalkanes And Dense Organosilica Films Made Therefrom
US11186909B2 (en) * 2019-08-26 2021-11-30 Applied Materials, Inc. Methods of depositing low-K films
WO2021050798A1 (en) * 2019-09-13 2021-03-18 Versum Materials Us, Llc Monoalkoxysilanes and dialkoxysilanes and dense organosilica films made therefrom
US11466038B2 (en) 2020-06-11 2022-10-11 Entegris, Inc. Vapor deposition precursor compounds and process of use
CN115820027A (zh) * 2023-01-08 2023-03-21 上海巨峰化工有限公司 一种硅酮乙二醇类流平剂及其制备工艺

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080009141A1 (en) * 2006-07-05 2008-01-10 International Business Machines Corporation Methods to form SiCOH or SiCNH dielectrics and structures including the same
US7345000B2 (en) * 2003-10-10 2008-03-18 Tokyo Electron Limited Method and system for treating a dielectric film
US20080271640A1 (en) * 2002-04-17 2008-11-06 Air Products And Chemicals, Inc. Porogens, Porogenated Precursors and Methods for Using the Same to Provide Porous Organosilica Glass Films with Low Dielectric Constants
US20090146265A1 (en) * 2004-10-13 2009-06-11 International Business Machines Corporation ULTRA LOW k PLASMA ENHANCED CHEMICAL VAPOR DEPOSITION PROCESSES USING A SINGLE BIFUNCTIONAL PRECURSOR CONTAINING BOTH A SiCOH MATRIX FUNCTIONALITY AND ORGANIC POROGEN FUNCTIONALITY

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4003934A (en) * 1975-09-02 1977-01-18 Merck & Co., Inc. Di-bicyclo[3.1.1] and [2.2.1]heptyl and di-bicyclo[3.1.1] and [2.2.1]heptenyl ketones
US4035174A (en) * 1975-10-09 1977-07-12 Merck & Co., Inc. Novel dibicyclo [3.1.1] and [2.2.1] heptyl and dibicyclo [3.1.1] and [2.2.1] heptenyl polyamines and methods for their preparation
US4065497A (en) * 1976-03-30 1977-12-27 Merck & Co., Inc. Novel dibicyclo [3.1.1] and [2.2.1] heptyl and dibicyclo [3.1.1] and [2.2.1] heptenyl polyamines
US4033748A (en) * 1976-07-02 1977-07-05 Merck & Co., Inc. Dibicyclo[3.1.1] and [2.2.1] heptyl and dibicyclo [3.1.1] and [2.2.1] heptenyl polyamines having a piperidine moiety
US4783485A (en) * 1983-01-24 1988-11-08 Duphar International Research B.V. Benzoylurea compounds, and insecticidal and acaricidal compositions comprising same
US5853642A (en) * 1994-07-29 1998-12-29 Minnesota Mining And Manufacturing Company Process for the in-line polymerization of olefinic monomers
US5902654A (en) * 1995-09-08 1999-05-11 Minnesota Mining And Manufacturing Company Process for the packaged polymerization of olefinic monomers
US6586082B1 (en) * 1995-11-15 2003-07-01 3M Innovative Properties Company Polymer-saturated paper articles
US6225479B1 (en) * 1996-01-02 2001-05-01 Rolic Ag Optically active bis-dioxane derivatives
EP0824113B2 (de) * 1996-08-13 2012-06-27 Basell Polyolefine GmbH Geträgertes Katalysatorsystem, Verfahren zu seiner Herstellung und seine Verwendung zur Polymerisation von Olefinen
US6784123B2 (en) * 1998-02-05 2004-08-31 Asm Japan K.K. Insulation film on semiconductor substrate and method for forming same
JP2000086717A (ja) * 1998-09-14 2000-03-28 Idemitsu Petrochem Co Ltd オレフィン又はスチレン類の重合用触媒及び重合体の製造方法
HUP0104654A3 (en) * 1998-10-08 2003-08-28 Univ Michigan Ann Arbor Bridged metal complexes
US6335479B1 (en) * 1998-10-13 2002-01-01 Dai Nippon Printing Co., Ltd. Protective sheet for solar battery module, method of fabricating the same and solar battery module
US6541367B1 (en) * 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
TW570876B (en) * 2001-05-11 2004-01-11 Toyo Seikan Kaisha Ltd Silicon oxide film
KR101051276B1 (ko) * 2002-04-02 2011-07-22 다우 글로벌 테크놀로지스 엘엘씨 이중 다마신 배선의 패터닝을 위한 3층 마스킹 구조물
US20080268177A1 (en) * 2002-05-17 2008-10-30 Air Products And Chemicals, Inc. Porogens, Porogenated Precursors and Methods for Using the Same to Provide Porous Organosilica Glass Films with Low Dielectric Constants
US7208389B1 (en) * 2003-03-31 2007-04-24 Novellus Systems, Inc. Method of porogen removal from porous low-k films using UV radiation
DE102004008442A1 (de) * 2004-02-19 2005-09-15 Degussa Ag Siliciumverbindungen für die Erzeugung von SIO2-haltigen Isolierschichten auf Chips
JP2006024670A (ja) * 2004-07-07 2006-01-26 Sony Corp 半導体装置の製造方法
US7674521B2 (en) * 2005-07-27 2010-03-09 International Business Machines Corporation Materials containing voids with void size controlled on the nanometer scale
KR101032093B1 (ko) * 2007-03-16 2011-05-02 후지쯔 가부시끼가이샤 실리콘계 절연막의 에칭 후처리제, 반도체 장치의 제조 방법 및 반도체 장치

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080271640A1 (en) * 2002-04-17 2008-11-06 Air Products And Chemicals, Inc. Porogens, Porogenated Precursors and Methods for Using the Same to Provide Porous Organosilica Glass Films with Low Dielectric Constants
US7345000B2 (en) * 2003-10-10 2008-03-18 Tokyo Electron Limited Method and system for treating a dielectric film
US20090146265A1 (en) * 2004-10-13 2009-06-11 International Business Machines Corporation ULTRA LOW k PLASMA ENHANCED CHEMICAL VAPOR DEPOSITION PROCESSES USING A SINGLE BIFUNCTIONAL PRECURSOR CONTAINING BOTH A SiCOH MATRIX FUNCTIONALITY AND ORGANIC POROGEN FUNCTIONALITY
US20080009141A1 (en) * 2006-07-05 2008-01-10 International Business Machines Corporation Methods to form SiCOH or SiCNH dielectrics and structures including the same

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104103572B (zh) * 2013-04-02 2017-02-08 中芯国际集成电路制造(上海)有限公司 多孔低k介质层的形成方法及多孔低k介质层
CN105720005A (zh) * 2014-12-04 2016-06-29 中芯国际集成电路制造(上海)有限公司 超低k介质层的形成方法
CN105720005B (zh) * 2014-12-04 2019-04-26 中芯国际集成电路制造(上海)有限公司 超低k介质层的形成方法
CN106941092A (zh) * 2016-01-04 2017-07-11 台湾积体电路制造股份有限公司 集成电路结构及其形成方法
US10332836B2 (en) 2016-01-04 2019-06-25 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for reducing dual damascene distortion
CN106941092B (zh) * 2016-01-04 2019-12-27 台湾积体电路制造股份有限公司 集成电路结构及其形成方法
US10818598B2 (en) 2016-01-04 2020-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for reducing dual damascene distortion
US11482493B2 (en) 2016-01-04 2022-10-25 Taiwan Semiconductor Manufacturing Company Ltd Methods for reducing dual damascene distortion
WO2020233480A1 (zh) * 2019-05-17 2020-11-26 江苏菲沃泰纳米科技有限公司 低介电常数膜及其制备方法
TWI743790B (zh) * 2019-05-17 2021-10-21 大陸商江蘇菲沃泰納米科技股份有限公司 低介電常數膜及其製備方法
US11904352B2 (en) 2019-05-17 2024-02-20 Jiangsu Favored Nanotechnology Co., Ltd. Low dielectric constant film and preparation method thereof

Also Published As

Publication number Publication date
WO2011106218A3 (en) 2012-01-12
US20110206857A1 (en) 2011-08-25
TW201142945A (en) 2011-12-01
JP2013520841A (ja) 2013-06-06
WO2011106218A2 (en) 2011-09-01
KR20130043096A (ko) 2013-04-29

Similar Documents

Publication Publication Date Title
CN102770580A (zh) 藉由等离子体增强化学气相沉积使用含有具有机官能基的硅的杂化前驱物所形成的超低介电材料
JP3762304B2 (ja) 低誘電率層間絶縁膜の形成方法
KR100960755B1 (ko) 다마신 분야에서 유전체 재료를 증착하는 방법
JP4756036B2 (ja) 超低誘電率膜を製造するための方法、誘電材料、相互接続構造及び配線構造
JP4918190B2 (ja) 非常に低い誘電率プラズマ強化cvd膜
TWI324381B (en) Low k and ultra low k sicoh dielectric films and methods to form the same
KR101124781B1 (ko) 층간 부착 개선 방법
KR100907387B1 (ko) 환원 분위기 하에서 유전 필름을 경화시키는 방법
KR101141459B1 (ko) 하부 배리어 층에 저 유전체 상수 (k) 다공성 막의 부착을촉진하는 기술
KR101406154B1 (ko) 산소 함유 전구체를 사용한 유전체 장벽 증착
US8062983B1 (en) Creation of porosity in low-k films by photo-disassociation of imbedded nanoparticles
KR100602469B1 (ko) 저 유전 필름을 위한 기계적 강화제 첨가제
KR100702508B1 (ko) 유전 물질 및 beol 상호 접속 구조체
CN101316945B (zh) 低介电常数薄膜的灰化/湿法蚀刻损伤的抵抗性以及整体稳定性的改进方法
US20080107573A1 (en) Method for forming an ultra low dielectric film by forming an organosilicon matrix and large porogens as a template for increased porosity
TW200307761A (en) Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
KR101144535B1 (ko) 전구체 함유 질소를 사용한 유전 장벽 증착
JP2004006983A (ja) 低κ誘電性無機/有機ハイブリッドフィルム
JP2008537639A5 (zh)
JP3882914B2 (ja) 多相低誘電率材料およびその堆積方法
US7105460B2 (en) Nitrogen-free dielectric anti-reflective coating and hardmask
TWI244507B (en) Method of depositing carbon doped SiO2 films and fabricating metal interconnects
TWI676632B (zh) 烷氧基矽環狀或醯氧基矽環狀化合物及使用其沉積薄膜的方法
JPH05102040A (ja) 成膜方法
US20020142104A1 (en) Plasma treatment of organosilicate layers

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20121107