CN102084461A - 用于原子层沉积的设备 - Google Patents
用于原子层沉积的设备 Download PDFInfo
- Publication number
- CN102084461A CN102084461A CN200980126061XA CN200980126061A CN102084461A CN 102084461 A CN102084461 A CN 102084461A CN 200980126061X A CN200980126061X A CN 200980126061XA CN 200980126061 A CN200980126061 A CN 200980126061A CN 102084461 A CN102084461 A CN 102084461A
- Authority
- CN
- China
- Prior art keywords
- hole
- gas
- hand
- lotus nozzle
- pulse
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Granted
Links
- 238000000231 atomic layer deposition Methods 0.000 title claims abstract description 6
- 239000012530 fluid Substances 0.000 claims abstract description 50
- 238000004891 communication Methods 0.000 claims abstract description 38
- 238000000034 method Methods 0.000 claims description 125
- 240000002853 Nelumbo nucifera Species 0.000 claims description 105
- 235000006508 Nelumbo nucifera Nutrition 0.000 claims description 105
- 235000006510 Nelumbo pentapetala Nutrition 0.000 claims description 105
- 230000008569 process Effects 0.000 claims description 105
- 239000000758 substrate Substances 0.000 claims description 99
- 239000000463 material Substances 0.000 claims description 94
- 229910052751 metal Inorganic materials 0.000 claims description 92
- 239000002184 metal Substances 0.000 claims description 92
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 claims description 45
- PXHVJJICTQNCMI-UHFFFAOYSA-N Nickel Chemical compound [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 claims description 36
- 229910052782 aluminium Inorganic materials 0.000 claims description 36
- 229910000838 Al alloy Inorganic materials 0.000 claims description 33
- 238000012545 processing Methods 0.000 claims description 24
- XEEYBQQBJWHFJM-UHFFFAOYSA-N Iron Chemical compound [Fe] XEEYBQQBJWHFJM-UHFFFAOYSA-N 0.000 claims description 23
- 150000001875 compounds Chemical class 0.000 claims description 21
- 239000010703 silicon Substances 0.000 claims description 20
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 claims description 20
- 229910052710 silicon Inorganic materials 0.000 claims description 19
- 239000004411 aluminium Substances 0.000 claims description 18
- 229910052759 nickel Inorganic materials 0.000 claims description 18
- 229910045601 alloy Inorganic materials 0.000 claims description 15
- 239000000956 alloy Substances 0.000 claims description 15
- 239000011651 chromium Substances 0.000 claims description 11
- 229910052742 iron Inorganic materials 0.000 claims description 11
- 239000011777 magnesium Substances 0.000 claims description 11
- VYZAMTAEIAYCRO-UHFFFAOYSA-N Chromium Chemical compound [Cr] VYZAMTAEIAYCRO-UHFFFAOYSA-N 0.000 claims description 10
- FYYHWMGAXLPEAU-UHFFFAOYSA-N Magnesium Chemical compound [Mg] FYYHWMGAXLPEAU-UHFFFAOYSA-N 0.000 claims description 10
- 229910052804 chromium Inorganic materials 0.000 claims description 10
- 229910052749 magnesium Inorganic materials 0.000 claims description 10
- 238000005019 vapor deposition process Methods 0.000 claims description 10
- 229910000990 Ni alloy Inorganic materials 0.000 claims description 9
- 238000009713 electroplating Methods 0.000 claims description 9
- 238000007747 plating Methods 0.000 claims description 9
- 239000010935 stainless steel Substances 0.000 claims description 9
- 229910001220 stainless steel Inorganic materials 0.000 claims description 9
- BPQQTUXANYXVAA-UHFFFAOYSA-N Orthosilicate Chemical compound [O-][Si]([O-])([O-])[O-] BPQQTUXANYXVAA-UHFFFAOYSA-N 0.000 claims description 7
- MCMNRKCIXSYSNV-UHFFFAOYSA-N Zirconium dioxide Chemical compound O=[Zr]=O MCMNRKCIXSYSNV-UHFFFAOYSA-N 0.000 claims description 6
- 239000010453 quartz Substances 0.000 claims description 6
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N silicon dioxide Inorganic materials O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 claims description 6
- 239000011521 glass Substances 0.000 claims description 4
- 229910052594 sapphire Inorganic materials 0.000 claims description 4
- 239000010980 sapphire Substances 0.000 claims description 4
- FLNBEQUQCCEOLY-UHFFFAOYSA-N [B].N(=O)O Chemical compound [B].N(=O)O FLNBEQUQCCEOLY-UHFFFAOYSA-N 0.000 claims description 3
- PNEYBMLMFCGWSK-UHFFFAOYSA-N aluminium oxide Inorganic materials [O-2].[O-2].[O-2].[Al+3].[Al+3] PNEYBMLMFCGWSK-UHFFFAOYSA-N 0.000 claims description 3
- 238000000197 pyrolysis Methods 0.000 claims description 3
- 239000010458 rotten stone Substances 0.000 claims description 3
- 239000007789 gas Substances 0.000 description 274
- 239000002243 precursor Substances 0.000 description 163
- 239000000376 reactant Substances 0.000 description 138
- 239000010936 titanium Substances 0.000 description 119
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 117
- 229910052719 titanium Inorganic materials 0.000 description 117
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 103
- 238000000151 deposition Methods 0.000 description 78
- 230000008021 deposition Effects 0.000 description 62
- 229910052757 nitrogen Inorganic materials 0.000 description 53
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 description 52
- 239000010410 layer Substances 0.000 description 41
- 238000010926 purge Methods 0.000 description 41
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 38
- 239000010949 copper Substances 0.000 description 28
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 26
- 229910021529 ammonia Inorganic materials 0.000 description 26
- 229910052802 copper Inorganic materials 0.000 description 26
- 239000001257 hydrogen Substances 0.000 description 23
- 229910052739 hydrogen Inorganic materials 0.000 description 23
- 238000006243 chemical reaction Methods 0.000 description 21
- 239000007769 metal material Substances 0.000 description 21
- 239000000203 mixture Substances 0.000 description 21
- 229910052721 tungsten Inorganic materials 0.000 description 21
- 239000010937 tungsten Substances 0.000 description 21
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 20
- 238000010586 diagram Methods 0.000 description 19
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 18
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 17
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 16
- 239000012159 carrier gas Substances 0.000 description 16
- 230000004888 barrier function Effects 0.000 description 13
- 239000013078 crystal Substances 0.000 description 11
- -1 hydrogen fluorocarbon compound Chemical class 0.000 description 11
- 238000004080 punching Methods 0.000 description 10
- 229910052715 tantalum Inorganic materials 0.000 description 10
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 10
- 239000003708 ampul Substances 0.000 description 9
- 229910052786 argon Inorganic materials 0.000 description 9
- 230000000712 assembly Effects 0.000 description 9
- 238000000429 assembly Methods 0.000 description 9
- OAKJQQAXSVQMHS-UHFFFAOYSA-N Hydrazine Chemical compound NN OAKJQQAXSVQMHS-UHFFFAOYSA-N 0.000 description 8
- 238000007772 electroless plating Methods 0.000 description 8
- 239000003344 environmental pollutant Substances 0.000 description 8
- 238000011049 filling Methods 0.000 description 8
- 230000006911 nucleation Effects 0.000 description 8
- 238000010899 nucleation Methods 0.000 description 8
- 231100000719 pollutant Toxicity 0.000 description 8
- 230000015572 biosynthetic process Effects 0.000 description 7
- 239000012707 chemical precursor Substances 0.000 description 7
- 238000005137 deposition process Methods 0.000 description 7
- 229910000856 hastalloy Inorganic materials 0.000 description 7
- 229910001026 inconel Inorganic materials 0.000 description 7
- 125000000999 tert-butyl group Chemical group [H]C([H])([H])C(*)(C([H])([H])[H])C([H])([H])[H] 0.000 description 7
- MNWRORMXBIWXCI-UHFFFAOYSA-N tetrakis(dimethylamido)titanium Chemical compound CN(C)[Ti](N(C)C)(N(C)C)N(C)C MNWRORMXBIWXCI-UHFFFAOYSA-N 0.000 description 7
- CURLTUGMZLYLDI-UHFFFAOYSA-N Carbon dioxide Chemical compound O=C=O CURLTUGMZLYLDI-UHFFFAOYSA-N 0.000 description 6
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 description 6
- 230000005540 biological transmission Effects 0.000 description 6
- 230000005284 excitation Effects 0.000 description 6
- 150000002431 hydrogen Chemical class 0.000 description 6
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 description 5
- 125000004429 atom Chemical group 0.000 description 5
- 238000005516 engineering process Methods 0.000 description 5
- 229910052734 helium Inorganic materials 0.000 description 5
- 239000001307 helium Substances 0.000 description 5
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 5
- 229910052754 neon Inorganic materials 0.000 description 5
- GKAOGPIIYCISHV-UHFFFAOYSA-N neon atom Chemical compound [Ne] GKAOGPIIYCISHV-UHFFFAOYSA-N 0.000 description 5
- 229910000077 silane Inorganic materials 0.000 description 5
- 239000000126 substance Substances 0.000 description 5
- 238000000108 ultra-filtration Methods 0.000 description 5
- MHMBUJVKUFAYFM-UHFFFAOYSA-N C(C)N(C)[Ta] Chemical compound C(C)N(C)[Ta] MHMBUJVKUFAYFM-UHFFFAOYSA-N 0.000 description 4
- OTSOGXNIABDRQR-UHFFFAOYSA-N C(C)N(CC)[Ta] Chemical compound C(C)N(CC)[Ta] OTSOGXNIABDRQR-UHFFFAOYSA-N 0.000 description 4
- KVKAPJGOOSOFDJ-UHFFFAOYSA-N CN(C)[Ta] Chemical compound CN(C)[Ta] KVKAPJGOOSOFDJ-UHFFFAOYSA-N 0.000 description 4
- ZLOKVAIRQVQRGC-UHFFFAOYSA-N CN(C)[Ti] Chemical compound CN(C)[Ti] ZLOKVAIRQVQRGC-UHFFFAOYSA-N 0.000 description 4
- 229910052581 Si3N4 Inorganic materials 0.000 description 4
- 238000000137 annealing Methods 0.000 description 4
- 239000003638 chemical reducing agent Substances 0.000 description 4
- 239000000470 constituent Substances 0.000 description 4
- 230000002950 deficient Effects 0.000 description 4
- 238000002474 experimental method Methods 0.000 description 4
- 239000007788 liquid Substances 0.000 description 4
- 125000001147 pentyl group Chemical group C(CCCC)* 0.000 description 4
- 238000009832 plasma treatment Methods 0.000 description 4
- 238000000746 purification Methods 0.000 description 4
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 description 4
- LYCAIKOWRPUZTN-UHFFFAOYSA-N Ethylene glycol Chemical compound OCCO LYCAIKOWRPUZTN-UHFFFAOYSA-N 0.000 description 3
- KJTLSVCANCCWHF-UHFFFAOYSA-N Ruthenium Chemical compound [Ru] KJTLSVCANCCWHF-UHFFFAOYSA-N 0.000 description 3
- 229910000831 Steel Inorganic materials 0.000 description 3
- UGACIEPFGXRWCH-UHFFFAOYSA-N [Si].[Ti] Chemical compound [Si].[Ti] UGACIEPFGXRWCH-UHFFFAOYSA-N 0.000 description 3
- 229910002092 carbon dioxide Inorganic materials 0.000 description 3
- 239000001569 carbon dioxide Substances 0.000 description 3
- 239000000460 chlorine Substances 0.000 description 3
- 238000004140 cleaning Methods 0.000 description 3
- 230000001143 conditioned effect Effects 0.000 description 3
- 125000001495 ethyl group Chemical group [H]C([H])([H])C([H])([H])* 0.000 description 3
- 230000001105 regulatory effect Effects 0.000 description 3
- 229910052707 ruthenium Inorganic materials 0.000 description 3
- 238000007789 sealing Methods 0.000 description 3
- 239000010959 steel Substances 0.000 description 3
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 2
- 239000005046 Chlorosilane Substances 0.000 description 2
- LFQSCWFLJHTTHZ-UHFFFAOYSA-N Ethanol Chemical compound CCO LFQSCWFLJHTTHZ-UHFFFAOYSA-N 0.000 description 2
- 229910001069 Ti alloy Inorganic materials 0.000 description 2
- 238000010521 absorption reaction Methods 0.000 description 2
- 229910000085 borane Inorganic materials 0.000 description 2
- 229910052799 carbon Inorganic materials 0.000 description 2
- KOPOQZFJUQMUML-UHFFFAOYSA-N chlorosilane Chemical compound Cl[SiH3] KOPOQZFJUQMUML-UHFFFAOYSA-N 0.000 description 2
- 239000004020 conductor Substances 0.000 description 2
- 238000001816 cooling Methods 0.000 description 2
- ZOCHARZZJNPSEU-UHFFFAOYSA-N diboron Chemical compound B#B ZOCHARZZJNPSEU-UHFFFAOYSA-N 0.000 description 2
- MROCJMGDEKINLD-UHFFFAOYSA-N dichlorosilane Chemical compound Cl[SiH2]Cl MROCJMGDEKINLD-UHFFFAOYSA-N 0.000 description 2
- 239000003989 dielectric material Substances 0.000 description 2
- UBHZUDXTHNMNLD-UHFFFAOYSA-N dimethylsilane Chemical compound C[SiH2]C UBHZUDXTHNMNLD-UHFFFAOYSA-N 0.000 description 2
- PZPGRFITIJYNEJ-UHFFFAOYSA-N disilane Chemical compound [SiH3][SiH3] PZPGRFITIJYNEJ-UHFFFAOYSA-N 0.000 description 2
- 238000005530 etching Methods 0.000 description 2
- KCWYOFZQRFCIIE-UHFFFAOYSA-N ethylsilane Chemical compound CC[SiH3] KCWYOFZQRFCIIE-UHFFFAOYSA-N 0.000 description 2
- 238000010438 heat treatment Methods 0.000 description 2
- 238000012423 maintenance Methods 0.000 description 2
- UIUXUFNYAYAMOE-UHFFFAOYSA-N methylsilane Chemical compound [SiH3]C UIUXUFNYAYAMOE-UHFFFAOYSA-N 0.000 description 2
- 150000004767 nitrides Chemical class 0.000 description 2
- 238000000059 patterning Methods 0.000 description 2
- 230000000737 periodic effect Effects 0.000 description 2
- 230000009257 reactivity Effects 0.000 description 2
- 239000004065 semiconductor Substances 0.000 description 2
- 238000003860 storage Methods 0.000 description 2
- UORVGPXVDQYIDP-UHFFFAOYSA-N trihydridoboron Substances B UORVGPXVDQYIDP-UHFFFAOYSA-N 0.000 description 2
- 238000001947 vapour-phase growth Methods 0.000 description 2
- 239000011701 zinc Substances 0.000 description 2
- DIIIISSCIXVANO-UHFFFAOYSA-N 1,2-Dimethylhydrazine Chemical compound CNNC DIIIISSCIXVANO-UHFFFAOYSA-N 0.000 description 1
- JBRZTFJDHDCESZ-UHFFFAOYSA-N AsGa Chemical compound [As]#[Ga] JBRZTFJDHDCESZ-UHFFFAOYSA-N 0.000 description 1
- GMNCNDKLAZDHRJ-UHFFFAOYSA-N C(C)N(C)[W] Chemical compound C(C)N(C)[W] GMNCNDKLAZDHRJ-UHFFFAOYSA-N 0.000 description 1
- YMDJRROUOWZFPN-UHFFFAOYSA-N C(C)N(CC)[W] Chemical compound C(C)N(CC)[W] YMDJRROUOWZFPN-UHFFFAOYSA-N 0.000 description 1
- PTDGQDJPIFTKJL-UHFFFAOYSA-N CN(C)[W] Chemical compound CN(C)[W] PTDGQDJPIFTKJL-UHFFFAOYSA-N 0.000 description 1
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 description 1
- OTMSDBZUPAUEDD-UHFFFAOYSA-N Ethane Chemical compound CC OTMSDBZUPAUEDD-UHFFFAOYSA-N 0.000 description 1
- 229910001218 Gallium arsenide Inorganic materials 0.000 description 1
- 101100425947 Mus musculus Tnfrsf13b gene Proteins 0.000 description 1
- TZRXHJWUDPFEEY-UHFFFAOYSA-N Pentaerythritol Tetranitrate Chemical compound [O-][N+](=O)OCC(CO[N+]([O-])=O)(CO[N+]([O-])=O)CO[N+]([O-])=O TZRXHJWUDPFEEY-UHFFFAOYSA-N 0.000 description 1
- 229910000676 Si alloy Inorganic materials 0.000 description 1
- 229910000577 Silicon-germanium Inorganic materials 0.000 description 1
- HCHKCACWOHOZIP-UHFFFAOYSA-N Zinc Chemical compound [Zn] HCHKCACWOHOZIP-UHFFFAOYSA-N 0.000 description 1
- 239000000853 adhesive Substances 0.000 description 1
- 230000001070 adhesive effect Effects 0.000 description 1
- 239000003570 air Substances 0.000 description 1
- 230000006399 behavior Effects 0.000 description 1
- 230000033228 biological regulation Effects 0.000 description 1
- 239000001273 butane Substances 0.000 description 1
- 230000008859 change Effects 0.000 description 1
- 239000003153 chemical reaction reagent Substances 0.000 description 1
- 229910052801 chlorine Inorganic materials 0.000 description 1
- 229910017052 cobalt Inorganic materials 0.000 description 1
- 239000010941 cobalt Substances 0.000 description 1
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 description 1
- 230000001276 controlling effect Effects 0.000 description 1
- 229910021419 crystalline silicon Inorganic materials 0.000 description 1
- 125000004122 cyclic group Chemical group 0.000 description 1
- 238000013461 design Methods 0.000 description 1
- MTHSVFCYNBDYFN-UHFFFAOYSA-N diethylene glycol Chemical compound OCCOCCO MTHSVFCYNBDYFN-UHFFFAOYSA-N 0.000 description 1
- 238000004821 distillation Methods 0.000 description 1
- 238000009826 distribution Methods 0.000 description 1
- 230000002708 enhancing effect Effects 0.000 description 1
- AIGRXSNSLVJMEA-FQEVSTJZSA-N ethoxy-(4-nitrophenoxy)-phenyl-sulfanylidene-$l^{5}-phosphane Chemical compound O([P@@](=S)(OCC)C=1C=CC=CC=1)C1=CC=C([N+]([O-])=O)C=C1 AIGRXSNSLVJMEA-FQEVSTJZSA-N 0.000 description 1
- 229910052732 germanium Inorganic materials 0.000 description 1
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 1
- 229910052735 hafnium Inorganic materials 0.000 description 1
- VBJZVLUMGGDVMO-UHFFFAOYSA-N hafnium atom Chemical compound [Hf] VBJZVLUMGGDVMO-UHFFFAOYSA-N 0.000 description 1
- 230000026030 halogenation Effects 0.000 description 1
- 238000005658 halogenation reaction Methods 0.000 description 1
- 230000033444 hydroxylation Effects 0.000 description 1
- 238000005805 hydroxylation reaction Methods 0.000 description 1
- 239000012535 impurity Substances 0.000 description 1
- 230000006698 induction Effects 0.000 description 1
- 239000012212 insulator Substances 0.000 description 1
- 238000003754 machining Methods 0.000 description 1
- 239000011572 manganese Substances 0.000 description 1
- WPBNNNQJVZRUHP-UHFFFAOYSA-L manganese(2+);methyl n-[[2-(methoxycarbonylcarbamothioylamino)phenyl]carbamothioyl]carbamate;n-[2-(sulfidocarbothioylamino)ethyl]carbamodithioate Chemical compound [Mn+2].[S-]C(=S)NCCNC([S-])=S.COC(=O)NC(=S)NC1=CC=CC=C1NC(=S)NC(=O)OC WPBNNNQJVZRUHP-UHFFFAOYSA-L 0.000 description 1
- 238000004519 manufacturing process Methods 0.000 description 1
- 239000012528 membrane Substances 0.000 description 1
- 229910001092 metal group alloy Inorganic materials 0.000 description 1
- 238000012544 monitoring process Methods 0.000 description 1
- HDZGCSFEDULWCS-UHFFFAOYSA-N monomethylhydrazine Chemical compound CNN HDZGCSFEDULWCS-UHFFFAOYSA-N 0.000 description 1
- IJDNQMDRQITEOD-UHFFFAOYSA-N n-butane Chemical compound CCCC IJDNQMDRQITEOD-UHFFFAOYSA-N 0.000 description 1
- OFBQJSOFQDEBGM-UHFFFAOYSA-N n-pentane Natural products CCCCC OFBQJSOFQDEBGM-UHFFFAOYSA-N 0.000 description 1
- QJGQUHMNIGDVPM-UHFFFAOYSA-N nitrogen group Chemical group [N] QJGQUHMNIGDVPM-UHFFFAOYSA-N 0.000 description 1
- 239000003921 oil Substances 0.000 description 1
- 239000003960 organic solvent Substances 0.000 description 1
- 230000003647 oxidation Effects 0.000 description 1
- 238000007254 oxidation reaction Methods 0.000 description 1
- 239000012071 phase Substances 0.000 description 1
- HKOOXMFOFWEVGF-UHFFFAOYSA-N phenylhydrazine Chemical compound NNC1=CC=CC=C1 HKOOXMFOFWEVGF-UHFFFAOYSA-N 0.000 description 1
- 229940067157 phenylhydrazine Drugs 0.000 description 1
- 238000005498 polishing Methods 0.000 description 1
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 1
- 229920005591 polysilicon Polymers 0.000 description 1
- 238000007781 pre-processing Methods 0.000 description 1
- 238000004886 process control Methods 0.000 description 1
- 230000009467 reduction Effects 0.000 description 1
- 238000006722 reduction reaction Methods 0.000 description 1
- 238000012163 sequencing technique Methods 0.000 description 1
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 1
- 239000002356 single layer Substances 0.000 description 1
- 238000000859 sublimation Methods 0.000 description 1
- 230000008022 sublimation Effects 0.000 description 1
- XJDNKRIXUMDJCW-UHFFFAOYSA-J titanium tetrachloride Chemical compound Cl[Ti](Cl)(Cl)Cl XJDNKRIXUMDJCW-UHFFFAOYSA-J 0.000 description 1
- 238000012546 transfer Methods 0.000 description 1
- LALRXNPLTWZJIJ-UHFFFAOYSA-N triethylborane Chemical group CCB(CC)CC LALRXNPLTWZJIJ-UHFFFAOYSA-N 0.000 description 1
- SEDZOYHHAIAQIW-UHFFFAOYSA-N trimethylsilyl azide Chemical compound C[Si](C)(C)N=[N+]=[N-] SEDZOYHHAIAQIW-UHFFFAOYSA-N 0.000 description 1
- NXHILIPIEUBEPD-UHFFFAOYSA-H tungsten hexafluoride Chemical compound F[W](F)(F)(F)(F)F NXHILIPIEUBEPD-UHFFFAOYSA-H 0.000 description 1
- 229910052725 zinc Inorganic materials 0.000 description 1
Images
Classifications
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/4412—Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45527—Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
- C23C16/45536—Use of plasma, radiation or electromagnetic fields
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45544—Atomic layer deposition [ALD] characterized by the apparatus
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45563—Gas nozzles
- C23C16/45565—Shower nozzles
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45563—Gas nozzles
- C23C16/4558—Perforated rings
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/3244—Gas supply means
- H01J37/32449—Gas control, e.g. control of the gas flow
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10T—TECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
- Y10T137/00—Fluid handling
- Y10T137/8593—Systems
- Y10T137/87265—Dividing into parallel flow paths with recombining
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Materials Engineering (AREA)
- Mechanical Engineering (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- Physics & Mathematics (AREA)
- Plasma & Fusion (AREA)
- Analytical Chemistry (AREA)
- Electromagnetism (AREA)
- Chemical Vapour Deposition (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Electrodes Of Semiconductors (AREA)
Abstract
本发明的实施例提供了用于例如等离子体增强ALD(PE-ALD)的原子层沉积(ALD)的设备。在一个实施例中,提供了莲喷头组件,其包括莲喷头板,该莲喷头板具有上表面、下表面、从莲喷头板的中心向外边缘延伸的半径,与上表面和下表面流体相通的第一多个孔和与上表面和下表面流体相通的第二多个孔,第一多个孔位于第一区域内,第一区域从莲喷头板的中心延伸到莲喷头板的半径的约25%,并且每个孔具有小于0.1英寸的直径,第二多个孔位于第二区域内,第二区域从莲喷头板的半径的约25%延伸到大约莲喷头板的外边缘,并且每个孔具有大于0.1英寸的直径。
Description
技术领域
本发明的具体实施例大体上涉及用于沉积材料的设备和方法,更具体地涉及配置为在等离子体增强过程期间沉积材料的原子层沉积室。
背景技术
在半导体处理领域中,平板显示器处理或其它电子器件处理、气象沉积过程在将材料沉积在基底上时起重要作用。随着电子器件的几何尺寸不断减小以及器件的密集度不断提高,特征的尺寸和高宽比变得越来越有挑战性,例如,特征具有0.07μm的尺寸和10或更大的高宽比。因此,对材料进行保形沉积以形成这些器件变得越来越重要。
尽管传统的化学气象沉积(CVD)已成功用于小到0.15μm的几何尺寸和高宽比的器件,然而更具挑战性的器件几何尺寸需要其它的沉积技术。一种倍受关注的技术是原子层沉积(ALD)。在ALD过程中,反应气体被连续地引入含有基底的沉积室。一般地,第一反应物被脉冲引入沉积室并被吸收到基底表面上。第二反应物被脉冲引入沉积室中并与第一反应物反应以形成沉积材料。一般在各反应气体的传输之间执行净化步骤。净化步骤可以对运载气体连续净化,或在反应气体的传输之间脉冲净化。热诱导ALD过程是最普通的ALD技术,使用热量使两种反应物之间产生化学反应。尽管热ALD过程有效地用于沉积一些材料,但是该过程通常具有低沉积率。因此,加工产量可能缩到不能接受的水平。沉积率在更高的沉积温度下可以增大,但是许多化学先驱物,特别是金属有机化合物,在高温下会分解。
等离子体增强ALD(PE-ALD)可以用于形成各种材料。在一些PE-ALD过程的示例中,材料可以由与热ALD过程相同的化学先驱物形成,但是以更高的沉积率和更低的温度。尽管存在一些不同的技术,但是一般地,PE-ALD过程提供的是将反应气体和反应等离子体相继地引入含有基底的沉积室中。第一反应气体被脉冲引入沉积室中并被吸收到基底表面上。之后,反应等离子体被脉冲引入沉积室并与第一反应气体反应以形成沉积材料。类似热ALD过程,可以在各反应物的传输之间进行净化步骤。尽管PE-ALD过程由于等离子体内反应基的高度反应而克服了热ALD过程的一些缺点,但是PE-ALD过程还具有许多局限性。PE-ALD过程可能对基底造成等离子体损伤(例如,刻蚀),可能与一些化学先驱物不相容,并且需要额外的硬件。
因此,需要一种用于通过气象沉积技术(例如PE-ALD过程)将材料沉积在基底上的设备和过程。
发明内容
本发明的具体实施例提供了用于在原子层沉积(ALD)过程(例如热ALD过程或等离子体增强ALD(PE-ALD)过程)期间在基底上沉积材料的设备和方法。在一些实施例中,用于PE-ALD过程的沉积室包括:基底支撑,包含接收基底的表面,并且被置于室体内;室盖组件,与室体连接;以及处理区域,设置在接收基底的表面和莲喷头板的下表面之间。
在一个实施例中,室盖组件具有入口管道组件,包括:环形通道,其包围中心通道,其中,所述中心通道延伸通过所述入口管道组件;以及注入孔,从所述环形通道延伸通过所述中心通道的侧壁并延伸到所述中心通道。室盖组件还包括:莲喷头组件,包括:莲喷头板,其设置在所述入口管道组件下方;水箱,其设置在所述入口管道组件和所述莲喷头组件之间;以及远程等离子体***(RPS),其设置在所述入口管道组件上方并与之连接,并且与所述中心通道流体相通。
所述入口管道组件可以包含或由铝或铝合金制成。在一些实施例中,入口管道组件包括铝或铝合金,铝合金包括镁和硅。
在一些实施例中,所述注入孔包括第一多个注入孔,所述第一多个注入孔朝向或基本上朝向所述中心通道的中心轴延伸。所述注入孔包括第二多个注入孔,所述第二多个注入孔通常以不同于第一多个注入孔的角度延伸。在一些示例中,第二多个注入孔相切地朝向或基本上相切地朝向所述中心通道的侧壁。第二多个注入孔可以沿中心通道的侧壁设置,并且设置在第一多个注入孔和莲喷头组件之间。一般地,第二多个注入孔可以离开或基本上离开中心通道而延伸。在一个示例中,第一多个注入孔含有三个或更多的注入孔。在另一个示例中,第二注入孔含有三个或更多的注入孔。每个注入孔具有从约0.06英寸至约0.12英寸的范围内的直径。
实施例提供的室还可以包括气体管道组件,与入口管道组件连接并流体相通。气体管道组件可以具有第一导管,与环形通道连接并流体相通。阀组件可以与第一导管连接并流体相通。在一个示例中,阀组件可以包含质量流量控制计(MFC)来进行ALD沉积过程。气体管道组件还可以具有第二导管,与环形通道连接并流体相通。或者,气体管道组件可以具有第二导管,与RPS连接并流体相通。RPS通常布置在中心通道的上端,同时莲喷头组件布置在中心通道的下端。
在另一个实施例中,入口管道组件包括:环形通道,其包围中心通道,其中,所述中心通道延伸通过所述入口管道组件;以及注入孔,从所述环形通道延伸通过所述中心通道的侧壁并延伸到所述中心通道。所述注入孔包括第一多个注入孔,所述第一多个注入孔朝向或基本上朝向所述中心通道的中心轴延伸,并且所述注入孔包括第二多个注入孔,所述第二多个注入孔相切地朝向或基本上相切地朝向所述中心通道的侧壁延伸。在一个示例中,第一多个注入孔含有三个或更多的注入孔,第二注入孔含有三个或更多的注入孔。入口管道组件可以包括或由铝或铝合金制成,铝合金包括镁和硅。
在另一个实施例中,用于气相沉积过程的莲喷头组件包括:莲喷头板,其具有上表面、下表面和从所述莲喷头板的中心向外边缘延伸的半径;第一多个孔,与所述上表面和所述下表面流体相通,所述第一多个孔位于第一区域内,所述第一区域从所述莲喷头板的中心延伸到所述莲喷头板的半径的约25%,并且每个孔具有小于0.1英寸的直径;以及第二多个孔,与所述上表面和所述下表面流体相通,所述第二多个孔位于第二区域内,所述第二区域从所述莲喷头板的半径的约25%延伸到大约所述莲喷头板的外边缘,并且每个孔具有大于0.1英寸的直径。
在一些示例中,第一多个孔的直径约0.09英寸或更小。第二多个孔的直径约0.11英寸或更大,例如约0.12英寸或更大,例如约0.13英寸或更大。在一些示例中,莲喷头板具有朝向外边缘径向延伸的增大的孔密度。在一些实施例中,莲喷头板包括或由以下材料构成:铝、铝合金、电镀铝金属、不锈钢、镍、镍合金、镀镍铝、镀镍金属、铬、铁、及其合金、衍生物或化合物。在一些示例中,莲喷头板包括铝合金,并且铝合金还包括镁和硅。在另一个实施例中,莲喷头组件包含的莲喷头板包括或由以下材料构成:石英、陶瓷、熔凝石英、蓝宝石、热解亚硝酸硼(PBN)材料、玻璃、硅酸盐材料、硅土材料、氧化铝材料、氧化锆材料、及其合金、衍生物或化合物。在一个示例中莲喷头板包括石英。
在其它实施例中,莲喷头板在莲喷头板的中心含有较低的孔密度并且在莲喷头板的边缘含有较高的孔密度。这些较低/较高的孔密度有助于将更多的氮等离子体或氮基导向莲喷头板的边缘,用于在气体入口位置中心位置时实现更地的一致性。此外,从莲喷头板的中心至边缘从小到大逐渐改变孔的尺寸。一方面,莲喷头板可以包含直径在从约0.08英寸至约0.13英寸的范围内的孔。孔的直径减小了氮基的再结合,改善了膜特性。
在另一个实施例中,提供了一种在基底上沉积材料的方法,包括将基底顺序地暴露到钛先驱物气体和氮等离子体以在沉积室内的ALD过程期间在基底上形成氮化钛材料。在一些示例中,钛先驱物气体含有四(二甲氨基)钛,并且氮等离子体由RPS产生。沉积室包含室盖组件,其与室体连接。室盖组件具有入口管道组件,包括:环形通道,其包围中心通道。所述中心通道延伸通过所述入口管道组件。
在一个实施例中,ALD过程包括:使钛先驱物气体流入入口管道组件内的环形通道;使钛先驱物气体从环形管道经过多个注入孔流入中心通道,注入孔从环形通道延伸通过中心通道的侧壁并延伸到中心通道;使钛先驱物气体流过中心通道、流过与室盖组件连接的莲喷头板组件,并且在基底上吸收四(二甲氨基)钛层。ALD过程的方法还提供:用RPS通过激发含有氮(N2)的等处理气体产生氮等离子体,使氮等离子体通过中心通道、通过莲喷头组件并朝向基底,并将四(二甲氨基)钛层暴露到氮等离子体以在基底上形成氮化钛材料。
在一些实施例中,方法提供了使钛先驱物气体从第一多个注入孔直接朝向或基本上朝向中心通道的中心轴。在其它实施例中,方法提供了使钛先驱物气体从第二多个注入孔相切地朝向或大体上相切地朝向中心通道的侧壁延伸。或者,方法提供了使钛先驱物气体从第二多个注入孔通过以形成从中心通道通过的钛先驱物气体环形气流。在几个实施例中,钛先驱物气体或氮等离子体的环形气流形式可以是以下流型,例如涡流型、螺旋流型、盘旋流型、漩涡流型、旋转流型、扭曲流型、盘绕流型、螺丝流型、卷绕流型、纷乱流型或其衍生的流型。在一些示例中,第一多个注入孔含有三个或更多的注入孔并且/或第二多个注入孔含有三个或更多的注入孔。
附图说明
通过参考附图所示的本发明的具体实施例,可以对以上简要概述的本发明进行更具体的描述,以详细了解本发明的以上引用特征的方式。
然而,应注意到,附图仅说明此发明的典型具体实施例,因此不应被视为限制其范围,因为本发明可允许其它同等有效的具体实施例。
图1示出了本发明的实施例中描述的入口管道组件;
图2A-2C示出了本发明的实施例中描述的上入口管道;
图3A-3D示出了本发明的实施例中描述的下入口管道;
图4A-4B示出了本发明的实施例中描述的部分下入口管道的示意图;
图5A示出了本发明的实施例中描述的代替入口管道组件;
图5B示出了本发明的实施例中描述的另一个代替入口管道组件;
图6A-6D示出了本发明的实施例中描述的莲喷头组件的示意图;
图7A示出了本发明的实施例中描述的具有一种莲喷头板的莲喷头组件的示意图;
图7B-7E示出了本发明的实施例中描述的各种其它莲喷头板的示意图;
图8A-8C示出了本发明的实施例中描述的水箱的示意图;
图9A-9D示出了本发明的实施例中描述的泵环的示意图;
图10A-10B示出了本发明的实施例中描述的泵环组件的示意图;
图11A示出了本发明的实施例中描述的室体组件的部分示意图;
图11B示出了本发明的实施例中描述的替代室体组件的部分示意图;
图12A-12B示出了本发明的实施例中描述的室盖板的示意图;
图13A-13E示出了本发明的实施例中描述的气体管道组件的示意图;
图14示出了本发明的实施例中描述的室盖组件的示意图;
图15示出了本发明的实施例中描述的另一个室盖组件的示意图;
图16A-16B示出了本发明的实施例中描述的室盖组件的示意图;
图17示出了本发明的实施例中描述的ALD室组件的示意图;
图18A-18B示出了本发明的实施例中描述的气体净化组件的示意图;并且
图19示出了本发明的实施例中描述的含有各种包括ALD室的沉积室的处理***的示意图。
具体实施方式
本发明的实施例提供了一种配置为在原子层沉积(ALD)过程中形成材料的设备,例如热ALD过程或等离子体增强ALD(PE-ALD)过程。本发明的其它实施例提供用于形成各种材料(例如氮化钛)的ALD和PE-ALD过程。在一些实施例中,处理***或室配置为在PE-ALD过程期间将基底暴露到一系列气体和等离子体。在一个实施例中,沉积室配置为使用用于激发等离子体的远程等离子体***(RPS)执行PE-ALD过程。在另一个实施例中,沉积室配置为执行热ALD过程。
图1示出了入口管道组件100,可以用在如本文所述的热ALD或等离子体增强ALD***、室和过程中,或通过它们使用。入口管道组件100包括上入口管道110和下入口管道130。上入口管道110和下入口管道130每个可以独立地包含金属或由此形成,该金属例如铝、铝合金、电镀铝金属、钢、不锈钢、镍、镍合金(例如INCONEL或HASTELLOY)、镀镍铝、镀镍金属、铬、铁、及其合金、衍生物或化合物。在一个实施例中,上入口管道110和下入口管道130两者包含或由铝或铝合金形成,例如含有镁和硅的铝合金,如铝6061。
铝合金可以包含硅、镁、铁、铜和其它元素,例如锰、铬、锌或钛。在一些实施例中,铝合金可以具有按重量的约95%至约99%范围内的铝的浓度、约0.8%至约1.2%范围内的镁的浓度、约0.4%至约0.8%范围内的硅的浓度、约0.15%至约0.40%范围内的铜的浓度和约0.2%至约0.70%范围内的铁的浓度。在一个示例中,铝合金可以按重量具有以下的成分:Mg(1.00%以下),Si(0.60%),Cu(0.30%),Fe(0.7%或以下),Mn(0.15%),Cr(0.20%),Zn(0.25%或以下),Ti(0.15%或以下)和Al(余下的)。在另一个示例中,铝合金可以是铝6061。
在图2A-2C中进一步示出上入口管道110,在图3A-3D中进一步示出下入口管道130。上入口管道110可以设置在下入口管道130顶部并部分位于其上方。上入口管道110的下表面112和114可以分别设置在下入口管道130的上表面132和134上。上表面132包含槽133,上表面134包含槽135。槽133和135各可以包含O形环,用于在下表面112和上表面132之间以及下表面114和上表面134之间形成密封。环形通道140形成在上入口管道110和下入口管道130之间。环形通道140环绕或包围中心通道150,中心通道150形成为通过上入口管道110和下入口管道130。中心通道150包括上入口管道110内的通道125和下入口管道130内的通道145。中心通道150可以具有沿中心轴152保持适当相容的内径。在一个实施例中,对于适于处理300mm的直径的基底的中心通道150的内径在约0.2英寸至约2英寸的范围内,优选地,从约1英寸至约1.8英寸,更优选地,从约1.2英寸至约1.5英寸,例如约1.4英寸。
上入口管道110包括入口120和出口121,它们与中心轴152对齐并且与中心通道150流体相通。远程等离子体***(RPS)可以流体相通地连接到入口120。上入口管道110包括入口122,从上入口管道110的外侧壁115通过上入口管道110延伸到环形通道140中。在一个示例中,上入口管道110还可以包含出口121处的锥形表面111。
在一个实施例中,下入口管道130包含注入孔136和注入孔138。两套注入孔136和138延伸通过下入口管道130的侧壁139并提供环形通道140和中心通道150之间的流体相通。图4A-4B提供了示出注入孔136和138的一部分下入口管道130的示意图,如本文的一个实施例所述。在一些实施例中,注入孔136可以直接朝向或基本上朝向延伸通过中心通道150的中间的中心轴152,如图4A所示。注入孔138相切地朝向或基本上相切地朝向中心通道150的侧壁151,如图4B所示。
在实施例中,有至少一个注入孔136和至少一个注入孔138。如图4A-4B所示,下入口管道130包括用于注入孔136的三个孔和用于注入孔138的三个孔。在其它实施例中,下入口管道130可以包含1,2,3,4,5,6或更多个注入孔136,并且独立地包含1,2,3,4,5,6或更多个注入孔138。注入孔136和138可以独立地具有范围在约0.02英寸至约0.5英寸内的直径,优选地,从约0.04英寸至约0.4英寸,更优选地,从约0.05英寸至约0.2英寸,更优选地,从约0.06英寸至约0.12英寸,更优选地,从约0.07英寸至约0.11英寸。在一个示例中,注入孔136具有约0.089英寸的直径,注入孔138具有约0.100英寸的直径。
注入孔138可以定位为相切地朝向或大体上相切地朝向中心通道150的侧壁151。来自每个注入孔138的气流在中心通道150内结合以形成具有环绕方向的气流。注入孔136可以定位为直接朝向或基本上朝向中心轴152。来自每个注入孔136的气流在中心轴152或靠近中心轴152处在中心通道150内结合。结合的气流形成向中心通道150下方延伸的向下气流,朝向注入孔138所形成的。来自注入孔136的向下的气流和来自注入孔138的环绕气流结合以形成向中心通道150下方延伸的吹扫旋涡气流,沿着并经过下入口管道130的圆角131,朝向莲喷头。尽管不知道流过中心通道150的吹扫旋涡气流的确切几何形状,但是相信处理气体或等离子体可以与具有涡流型、螺旋流型、盘旋流型、漩涡流型、旋转流型、扭曲流型、盘绕流型、螺丝流型、卷绕流型、纷乱流型或其衍生的流型的环绕气流一起前进。
在几个替代实施例中,图5A示出了入口管道组件500a并且图5B示出了入口管道组件500b,可以用在如本文所述的热ALD或等离子体增强ALD***、室和过程中,或通过它们使用。入口管道组件500a和500b包括上入口管道510和下入口管道530。上入口管道510可以设置在下入口管道530顶部并部分位于其上方。上入口管道510的下表面512可以设置在下入口管道530的上表面532上。上表面532包含槽533。槽533可以包含O形环,用于在下表面512和上表面532之间形成密封。并且,对于入口管道组件500a,上入口管道510的侧面514可以设置为抵靠下入口管道530的侧面534。侧壁534包含槽535。槽535可以包含O形环,用于在侧面514和侧面534之间形成密封。
环形通道540形成在上入口管道510和下入口管道530之间。环形通道540环绕或包围中心通道550,中心通道550形成为通过上入口管道510和下入口管道530。中心通道550包括上入口管道510内的通道525和下入口管道530内的通道545。
在另一个实施例中,上入口管道510包含注入孔536和注入孔538。两套注入孔536和538延伸通过上入口管道510的侧壁539并提供环形通道540和中心通道550之间的流体相通。图5A提供了示出注入孔536和538的一部分下入口管道530的示意图,如本文的一个实施例所述。在一些实施例中,注入孔536可以直接朝向或基本上朝向延伸通过中心通道550的中间的中心轴。注入孔538相切地朝向或基本上相切地朝向中心通道550的侧壁551。
中心通道550包括上入口管道510内的通道525和下入口管道530内的通道545。中心通道550可以具有沿中心轴552保持适当相容的内径。在一个实施例中,对于适于处理300mm的直径的基底的中心通道550的内径在约0.2英寸至约2英寸的范围内,优选地,从约1英寸至约1.8英寸,更优选地,从约1.2英寸至约1.5英寸,例如约1.4英寸。
上入口管道510包括入口520和出口521,它们与中心轴552对齐并且与中心通道550流体相通。远程等离子体***(RPS)可以流体相通地连接到入口520。上入口管道510包括入口522,从上入口管道510的外侧壁515通过上入口管道510延伸到环形通道540中。在一个示例中,上入口管道510还可以包含出口521处的锥形表面511。
上入口管道510包括入口520,与中心轴552对齐并且与中心通道550流体相通。远程等离子体***(RPS)可以流体相通地连接到入口520。上入口管道510包括入口522,从上入口管道510的外侧壁515通过上入口管道510延伸到环形通道540中。在一个示例中,上入口管道510还可以包含锥形表面511。
上入口管道510和下入口管道530每个可以独立地包含金属或由此形成,该金属例如铝、铝合金、电镀铝金属、钢、不锈钢、镍、镍合金(例如INCONEL或HASTELLOY)、镀镍铝、镀镍金属、铬、铁、及其合金、衍生物或化合物。在一个实施例中,上入口管道510和下入口管道530两者单独地包含或由铝或铝合金形成。在一些示例中,铝合金含有镁和硅,如铝6061。
在实施例中,有至少一个注入孔536和至少一个注入孔538。下入口管道530包括三个注入孔536和三个注入孔538。在其它实施例中,下入口管道530可以包含1,2,3,4,5,6或更多个注入孔536,并且独立地包含1,2,3,4,5,6或更多个注入孔538。注入孔536和538可以独立地具有范围在约0.02英寸至约0.5英寸内的直径,优选地,从约0.04英寸至约0.4英寸,更优选地,从约0.05英寸至约0.2英寸,更优选地,从约0.06英寸至约0.12英寸,更优选地,从约0.07英寸至约0.11英寸。在一个示例中,注入孔536具有约0.089英寸的直径,注入孔538具有约0.100英寸的直径。
注入孔538可以定位为相切地朝向或大体上相切地朝向中心通道550的侧壁551。来自每个注入孔538的气流在中心通道550内结合以形成具有环绕方向的气流。注入孔536可以定位为直接朝向或基本上朝向中心轴552。来自每个注入孔536的气流在中心轴552或靠近中心轴552处在中心通道550内结合。结合的气流形成向中心通道550下方延伸的向下气流,朝向注入孔538所形成的环绕气流。来自注入孔536的向下的气流和来自注入孔538的环绕气流结合以形成向中心通道550下方延伸的吹扫旋涡气流,沿着并经过下入口管道530的圆角531,朝向莲喷头。尽管不知道流过中心通道550的吹扫旋涡气流的确切几何形状,但是相信处理气体或等离子体可以与具有涡流型、螺旋流型、盘旋流型、漩涡流型、旋转流型、扭曲流型、盘绕流型、螺丝流型、卷绕流型、纷乱流型或其衍生的流型的环绕气流一起前进。
图6A-6D和7A示出了包含莲喷头板602的莲喷头组件600,莲喷头板602具有孔620。图7B-7E示出了可以在莲喷头组件600中使用的莲喷头板602。孔620从莲喷头板602的上表面610至下表面612延伸通过莲喷头板602。在一个实施例中,莲喷头组件600包含设置在内环604上的莲喷头板602,内环604设置在外环606上。莲喷头板602、内环604和外环606可以是单个件或分离的可孤立的件或部分,可孤立的件或部分一起形成莲喷头组件600。例如,莲喷头板602、内环604和外环606可以是一起形成莲喷头组件600的一个件、两个件或多个件。在一些实施例中,莲喷头组件600、莲喷头板602、内环604和/或外环606可以单独地各包含金属或由此形成,该金属例如铝、铝合金、电镀铝金属、钢、不锈钢、镍、镍合金(例如INCONEL或HASTELLOY)、镀镍铝、镀镍金属、铬、铁、及其合金、衍生物或化合物。在一个实施例中,莲喷头组件600和/或莲喷头板602单独地包含或由铝或铝合金形成。在一些示例中,铝合金含有镁和硅,如铝6061。
在代替实施例中,莲喷头组件600和/或莲喷头板602可以包含以下材料或由此形成,该材料例如石英、陶瓷、熔凝石英、蓝宝石、热解亚硝酸硼(PBN)材料、玻璃、硅酸盐材料、硅土材料、氧化铝材料、氧化锆材料、及其合金、衍生物或化合物。在一个实施例中,莲喷头组件600和/或莲喷头板602包含或由石英形成。在一个示例中,上表面610和下表面612可以被表面粗糙(例如,机械加工)到具有至少约300微英寸或更大的平均粗糙度(Ra)。
在一个实施例中,莲喷头组件600具有朝向外边缘径向延伸的增大的孔密度。莲喷头板602的上表面610接收用于通过孔620分配到处理区域中的处理气体或等离子体。孔620从上表面610到下表面612通过莲喷头板602,并且提供从其中通过的流体相通。孔620可以具有不同的尺寸,并且在整个上表面610和下表面612上以多种形式被包含。多个孔620的每个孔可以具有从约0.05英寸至约0.16英寸范围内的直径,优选地,从约0.07英寸至约0.14英寸,更优选地,从约0.08英寸至约0.13英寸。在一个实施例中,莲喷头板602具有至少约300个孔,例如从约320个孔至约500个孔。在一个示例中,莲喷头板602包含约350个孔。
在另一个实施例中,莲喷头组件600或莲喷头板602可以具有多环的孔620并且可以具有直径不同的孔620,如图7A-7E所示。莲喷头组件600或莲喷头板602可以具有15环的孔620(图7A-7D)或更少的环,如8环(图7E)。图7B示出了径向朝向莲喷头板602的外边缘延伸的环A-O。径向朝向莲喷头板602的外边缘,孔620的直径可以增加,莲喷头板602的全部孔密度也一样。
在一些实施例中,莲喷头组件600或莲喷头板602包含与上表面610和下表面612流体相通的第一多个孔620。第一多个孔620位于第一区域内,第一区域从莲喷头板602的中心延伸到莲喷头板602的半径的约25%,并且每个孔具有小于0.1英寸的直径。莲喷头组件600包含与上表面610和下表面612流体相通的第二多个孔。第二多个孔620位于第二区域内,第二区域从莲喷头板602的半径的约25%延伸到大约莲喷头组件600的外边缘,并且每个孔具有大于0.1英寸的直径。在一些示例中,第一多个孔的直径约0.09英寸或更小。在其它示例中,第二多个孔的直径约0.11英寸或更大,优选地,约0.12英寸或更大,更优选地,约0.13英寸或更大。
图8A-8C示出了用于通过将热量从沉积室组件转移来调节温度的水箱800,沉积室盖组件例如室盖组件1400或1500。水箱800可被布置在莲喷头组件600的顶部上。水箱800包括通过体802的中心通道,例如开口820。体802的内侧上表面803和外侧上表面都环绕开口820。水箱800的下表面806面向室盖组件1400内的莲喷头组件600。开口820适于接收可以位于突出部分表面814上的入口管道组件100。水箱800可以包含金属或由此形成,该金属例如铝、铝合金(例如铝镁硅合金,如铝6061)、电镀铝金属、不锈钢、镍、镍合金(例如INCONEL或HASTELLOY)、镀镍铝、镀镍金属、铬、铁、及其合金、衍生物或化合物。在一个示例中,水箱800可以包含或由铝或铝合金形成。
水箱800将热量从室盖组件1400或1500转移,例如从莲喷头组件600。水箱800的内侧上表面803包含与通道830流体相通的入口810和出口812。在沉积过程中,初始温度下的流体通过入口810被给到水箱800中。流体在沿通道830前进的同时吸收热量。较高温度的流体通过出口812从水箱800中转移。
流体可以是液态、气态或超临界的状态,并且可以以及时的方式吸收和发散热量。水箱800中所用的液体包括水、油、酒精、乙二醇、乙二醇醚、其它有机溶剂、超临界流体(例如,CO2)、其衍生物或其混合物。气体可以包括氮气、氩气、空气、氢氟烃化合物(HFC)或其组合。优选地,向水箱800提供水或水/酒精混合物。
入口喷嘴811可以外加入口810并与其流体相通,出口喷嘴813可以外加出口812并与其流体相通,如图14所示。入口810可以适于接收入口喷嘴811,入口喷嘴811连接到与流体源流体相通的线路(例如,软管)。类似地,出口812可以适于接收出口喷嘴813,出口喷嘴813连接到与流体返回流体相通的线路。流体源和流体返回可以是本身的冷却***或独立的冷却***。流体线路可以是管、软管或导管。
在一个实施例中,流体在约-20℃至约40℃的温度范围内被给到800中,优选地,从约0℃至约20℃。温度、流速和流体成分可以相应地被调节以在将水箱800保持在预定温度的同时从包括莲喷头组件600的室盖组件1400转移适当量的热量。水箱800可以被保持在约0℃至约100℃的范围内的预定温度,优选地,从约18℃至约65℃,更优选地,从约20℃至约50℃。在代替实施例中,通道830可以具有各种不同的几何并且可以用于维持预定的温度。通道830可以包括局部环、单环、多环或在周围含有分支或支线。
图9A-9D示出了本文实施例中使用的抽吸环900。抽吸环900包含上环902、中环904和下环906。抽吸环900的上表面912是上环902的外表面,抽吸环900的下表面914是下环906的外表面。抽吸环900包括中心通道,例如开口920,从其中延伸通过并由侧壁908包围。上环902、中环904和下环906环绕开口920。抽吸环900还包括布置在中环904和下环906之间的开口922。
抽吸环900包含上环902和中环904之间的多个孔910。孔910从开口920并通过侧壁908和抽吸环900提供流体相通。抽吸环900可以包含约50个孔至约100个孔,例如约72个孔。孔910可以具有约0.1英寸至约0.5英寸范围内的直径,优选地,0.25英寸至约0.40英寸。抽吸环900包含金属或由此形成,该金属例如铝、铝合金(例如铝镁硅合金,如铝6061)、电镀铝金属、不锈钢、镍、镍合金(例如INCONEL或HASTELLOY)、镀镍铝、镀镍金属、铬、铁、及其合金、衍生物或化合物。
图10A-10B和图11B示出了含有外罩环950的抽吸环组件940,外罩环950环绕抽吸环900,如本文的一些实施例所描述。通道952形成在抽吸环组件940内,在抽吸环900和外罩环950之间,并且通过孔910与开口920流体相通。外罩环950可以包含金属或由此形成,该金属例如铝、铝合金(例如铝镁硅合金,如铝6061)、电镀铝金属、不锈钢、镍、镍合金(例如INCONEL或HASTELLOY)、镀镍铝、镀镍金属、铬、铁、及其合金、衍生物或化合物。
图11A示出了室体组件1100,图11B示出了室体组件1150,两者都可以被包含在室盖组件1400和1500中,如本文的实施例所描述。如在一个实施例中所描述,图11A示出了室体组件1100内的室体990。室体组件1100包含边缘环980,包围或环绕基底支撑960。基底支撑960包含加热器962,可以用于加热基底支撑960、设置在其上的基底和周围的处理区域。在另一个实施例中,室体组件1150还包含抽吸环组件940,抽吸环组件940包括包围或环绕抽吸环900的外罩环950,如图11B所示。
图12A-12B示出了室盖板1000,如本文中一些实施例描述的。室盖板1000的上表面1004在图12A中示出,而室盖板1000的下表面1006在图12B中示出。莲喷头组件600或其它喷头可以设置在室盖板1000的开口1020内,如图14-16A所示。室盖板1000可以包含金属或由此形成,该金属例如铝、铝合金(例如铝镁硅合金,如铝6061)、电镀铝金属、不锈钢、镍、镍合金(例如INCONEL或HASTELLOY)、镀镍铝、镀镍金属、铬、铁、及其合金、衍生物或化合物。
图13A-13E示出了气体管道组件1300,气体管道组件1300可以包含在室盖组件1400和1500内如本文中一些实施例描述的。气体管道组件1300包含至少一个气体导管,但是实际包含两个、三个或更多的气体导管。图13A-13E示出了延伸通过气体管道组件1300的管道外壳1308的气体导管1302和1304。气体导管1302从入口1310通过管道外壳1308延伸到出口板1314处的出口1312。气体导管1304从入口1320通过管道外壳1308延伸到出口板1314处的出口1322。入口1310可以外加包含第一化学先驱物的第一先驱源并与其流体相通,而入口1320可以外加包含第二化学先驱物的第二先驱源并与其流体相通。
在一个实施例中,图14和16A示意地示出含有远程等离子体***(RPS)1405的室盖组件1400,远程等离子体***1405位于入口管道组件100上并与中心通道150流体相通。中心通道150的下入口管道130包含注入孔136和注入孔138,注入孔136和138延伸通过下入口管道130的侧壁139并提供环形通道140和中心通道150之间的流体相通。在一些实施例中,注入孔136可以直接朝向或基本上朝向延伸通过中心通道150的中间的中心轴152。注入孔138相切地朝向或基本上相切地朝向中心通道150的侧壁151。如图16B示出了室盖组件1400上方的盖组件封盖1406。
在另一个实施例中,图15示意地示出含有RPS 1405的室盖组件1500,RPS 1405位于入口管道组件500b上并与中心通道550流体相通。中心通道550的上入口管道510包含注入孔536和注入孔538,注入孔536和538提供环形通道540和中心通道550之间的流体相通。在一些实施例中,注入孔536可以直接朝向或基本上朝向延伸通过中心通道550的中间的中心轴552。注入孔538相切地朝向或基本上相切地朝向中心通道550的侧壁551。
可以与室盖组件1400和1500结合使用的等离子体***和室体组件是TXZCVD,可以从位于Santa Clara,California的Applied Materials,Inc.获得的室。等离子体***、ALD室和沉积室的进一步的公开在共同指定的美国专利5,846,332、6,079,356和6,106,625中描述,在此通过全文引用引入,以对等离子体发生器、等离子体室、ALD室体、基底支撑或底座、以及室内衬提供进一步的公开。
在另一个实施例中,图17示出了ALD室组件1700,包含装有RPS1405的室盖组件1400。图18A-18B示出了气体净化组件1800,可以与含有室盖组件1400的ALD室组件1700一起使用。气体净化组件1800包含真空泵***1810,真空泵***1810包含多个阀组件1830。
气体源(未示出)通过导管***向室盖组件1400或1500与/或ALD室组件1700提供先驱气体、运载气体或净化气体。在一个实施例中,气体源可以外加气体管道组件1300并与其流体相通。气体源可以直接或间接连接到化学物供应或气体供应。化学物或气体供应包括罐、安瓿、水浴蒸馏瓶或用于存储、传递或形成化学先驱物的另一种容器。化学物或气体供应还可以来自自带源。连接到控制单元1750的阀组件1720和1722以及阀组件1730对从气体源至气体管道组件1300的气流进行了适当的控制和调节。气体管道组件1300将处理气体引入ALD室组件1700并可以选择地被加热以防止气体管道组件1300的导管或线路内任何气体的体积缩小。
每个阀组件1720和1722可以具有隔膜和阀座。隔膜分别可以偏置打开或关闭,并可以被激励而关闭或打开。隔膜可以由空气作用被激励或由电作用被激励。由空气作用被激励的阀的示例可以从Fujikin和Veriflow获得,由电作用被激励的阀的示例可以从Fujikin获得。控制单元1750可以连接到阀组件1720和1722以控制阀的隔膜的激励。由空气作用被激励的阀可以以低至约0.020秒的时间周期提供气体脉冲。由电作用被激励的阀可以以低至约0.005秒的时间周期提供气体脉冲。一般地,由空气作用和由电作用被激励的阀可以以高至约3秒的时间周期提供气体脉冲。尽管用于气体脉冲的更高的时间周期是可以的,典型的ALD过程使用ALD阀以产生气体脉冲,同时ALD阀被打开约5秒或更少的时间间隔,优选地约3秒或更少,更优选地,约2秒或更少。在一个实施例中,ALD阀在约0.005秒至约3秒范围内时间间隔进行脉冲,优选地从约0.02秒至约2秒,更优选地,从约0.05秒至约1秒。由电作用被激励的阀一般需要使用连接在阀和控制单元1750之间的驱动器。在另一个实施例中,每个阀组件1720和1722可以包含质量流量控制计(MFC)以控制气体的散布、气体流速和其它与ALD脉冲序列相关的。
ALD设备内的先驱物或气体传递***用于存储和分配化学先驱物、载体气体、净化气体或其组合。传递***可以包括阀(例如,ALD阀或MFC)、导管、蓄液器、安瓿和水浴瓶、加热与/或控制单元***,可以与气体管道组件1300、室盖组件1400或1500、ALD室组件1700、与/或处理***1900一起使用。在一个示例中,传递***可以包含连接到控制单元1750的阀组件1720和1722以及气体源。用于ALD处理***的传递***在共同指定的2005年5月12日递交并公开为US 2005-0271812的美国No.11/127,753、2005年4月29日递交并公开为US 2005-0252449的现被放弃的美国No.11/119,388、2002年10月25日递交并公开为US 2003-0121608的美国No.10/281,079和2003年11月3日递交并公开为US2005-009859的现被放弃的美国No.10/700,328中被描述,通过引用引入其全部内容。
例如程序化的个人计算机、网站计算机等的控制单元1750可以连接到ALD室组件1700以控制处理条件。例如,控制单元1750可以配置为在基底处理顺序的不同阶段中通过阀组件1720和1722控制来自气体源的各种处理气体和净化气体的流动。示意地,控制单元1750包括中央处理单元(CPU)、支撑电路和含有相关控制软件的存储器。
软件程序按照需要可以被存储在存储器中或被位于远程的源(例如,计算机或服务器)执行。软件程序被执行以开始程序处方或顺序。软件程序在被执行时将一般用途的计算机转化为在室处理期间控室操作的具体处理计算机。例如,软件程序可以用于在根据本文的实施例的处理顺序的执行期间通过阀组件1720和1722精确地控制气源的激励。或者,软件程序可以在硬件中被执行,作为应用具体电路或其它种硬件执行或软件或硬件的结合。
控制单元1750可以是一般用途计算机处理器的任意形式,可以用在用于控制各种室和子处理器的工业背景中。CPU可以使用任意适当的存储器,例如随机存取存储器、只读存储器、软磁盘机、紧致盘驱动、硬盘或本地或远程的其它任意形式的数字存储器。各种支持电路可以连接到CPU,用于支持ALD室组件1700。控制单元1750可以连接到邻近单独的室组件的另一个控制器,例如阀组件1720和1722的可编程逻辑控制器。控制单元1750和ALD室组件1700的各种其它组件之间双向通信通过共同称为信号总线的众多信号电缆被处理。除了对来自气体源的处理气体和净化气体的控制,阀组件1720和1722以及任意可编程逻辑控制器、控制单元1750可以配置为用于对制造过程期间的其它行为进行自动控制。控制单元1750、真空泵***1810和支持控制器(包括温度监控和对起模顶杆的控制(未示出))可以与用于RPS 1405的等离子体发生器控制器连接并对其进行控制。
图19示出了含有用于将材料沉积和移到基底上的各种沉积室的处理***1900。在一个示例中,处理***1900包含两个沉积室1940和1942,例如配置为形态氮化钛的ALD室,如本文中所述。在一些实施例中,载荷锁1912a和1912b可以位于界面1914上。处理***1900包含位于平台1902上的转移机器人1910a、1910b。沉积室1916a、1916b、1920、1922、1924、1926、1930、1940和1942可以放置在平台1902的周边周围并与平台1902连接。
在几个示例中,沉积室1916a和1916b每个独立地可以是加热室或退火室,沉积室1920和1922每个独立地可以是抽气室、真空室或退火室,沉积室1924和1926每个独立地可以是预清洗室、等离子体室、升华室或退火室(例如,SICONI预清洗室)。沉积室1930、1940和/或1942每个独立地可以是例如CVD、ALD或PVD室的沉积室。在一个示例中,沉积室1930可以是ESIPTi室并用于沉积含钛材料(例如,金属钛、氮化钛或其它钛合金)。在另一个示例中,沉积室1940和1942每个独立地可以是TXZALD-TiN室并用于在ALD过程中沉积含钛材料(例如,金属钛、氮化钛或其它钛合金)。
氮化钛PE-ALD过程
本发明的实施例提供了用于通过气相沉积过程在基底上沉积各种材料(例如,氮化钛)的方法,例如原子层沉积(ALD)或等离子体增强ALD(PE-ALD)。在一个方面中,过程具有很小的初始延迟或没有初始延迟,并且在形成钛材料(例如金属钛、氮化钛、氮化硅钛或其衍生物)的同时保持快速的沉积率。
在一个实施例中,可以与本文中描述的PE-ALD过程一起使用的钛先驱物包括四(二甲氨基)钛(TDMAT),四(二胺基乙酯)钛(TDEAT)、四氯化钛(TiCI4)及其衍生物。本文描述的PE-ALD过程包括将具有氮先驱物和氮等离子体或其它离子化的反应等离子体的基底顺序地暴露。
在一个示例中,含有TDMAT的处理气体从注入孔136和138通过环形通道140被脉冲到入口122中,并且进入中心通道150中,氮等离子体从入口120相继地从RPS脉冲到中心通道150中。含有TDMAT的处理气体和氮等离子体两者相继地脉冲到并通过莲喷头组件600。其后,基底相继地暴露到处理气体和氮等离子体。
在一个实施例中,氮化钛材料可以在PE-ALD过程期间形成,PE-ALD过程在提供钛先驱物和等离子体的连续的脉冲的同时包含恒流的反应物气体。在另一个实施例中,钛材料可以在另一个PE-ALD过程期间形成,PE-ALD过程在提供钛先驱物(例如,TDMAT)和反应物等离子体(例如,氮等离子体)的连续的脉冲。在这两个实施例中,反应物通常在过程中离子化。PE-ALD过程规定等离子体从沉积室在外部产生,例如通过远程等离子体发生器(RPS)***。在PE-ALD过程期间,等离子体可以由微波(MW)频率发生器或无线电频率(RF)发生器产生。在另一个实施例中,钛材料可以在热ALD过程期间形成,热ALD过程提供钛先驱物和反应物的连续脉冲。
室盖组件1400或1500可以在本文实施例描述的ALD过程中使用并可以外加本文描述的各种ALD室体。其它ALD室也可以在本文描述的一些实施例中使用,并且可以从位于Santa Clara的Applied Materials,Inc.获得。对ALD室的详细描述可以在共同指定的美国专利No.6,916,398和6,878,206以及共同指定的2002年10月25日递交并公开为US 2003-0121608的美国No.10/281,079中得到,通过全文引用包含于此。在另一个实施例中,配置为在ALD模式以及传统CVD模式两者下操作的室可以用于沉积钛材料,如共同指定的美国专利No.7,204,886中描述的,通过全文引用包含于此。
在一些实施例中,在本文描述的几个ALD过程期间,沉积室可以在约0.01Torr至约80Torr范围内的压力下被加压,优选地从约0.1Torr至约10Torr,更优选地,从约0.5Torr至约2Torr。并且,在本文描述的几个ALD过程期间,室或基底可以被加热到低于约500℃的温度,优选地,约400℃或更低,例如在约200℃至约400℃的范围内,更优选地,从约340℃至约370℃,例如约360℃。在PE-ALD过程期间,等离子体可以被外部源激发,例如远程等离子体发生器或远程等离子体***(RPS)。等离子体可以由微波(MW)发生器或无线电频率(RF)发生器产生。例如,等离子体发生器可以被设定为具有约1千瓦(kW)至约40kW范围内的功率输出,优选地,从约2kW至约20kW,更优选地,从4kW至约10kW。
基底例如可以是具有互相连接形式的硅基底,互相连接形式由其上形成的一个或多个电介质材料层限定。在一个示例中,基底上包含粘接层,而在另一个示例中,基底包含电介质表面。沉积室例如调节温度和压力,受到调节以提高基底上处理气体的吸收来方便钛先驱物和反应物气体的反应。
在一个实施例中,在整个ALD周期中基底均可以暴露到试剂气体。基底可以暴露到钛先驱物气体,使载体气体(例如,氮或氩)通过钛先驱物安瓿来形成钛先驱物气体。安瓿可以根据处理期间使用的钛先驱物被加热。在一个示例中,含有TDMAT的安瓿可以被加热到约25℃至约80℃的范围内。钛先驱物气体通常具有约100seem至约2,000seem范围内的流速,优选地,从约200seem至约1,000seem,更优选地,从约300seem至约700seem,例如约500seem。钛先驱物气体和反应物气体可以结合以形成沉积气体。反应物气体通常具有约100seem至约3,000seem的流速,优选地,从约200seem至约2,000seem,更优选地,从约500seem至约1,500seem。在一个示例中,氮等离子体用作为具有约1,500seem的流速的反应物气体。基底可以被暴露到钛先驱物气体或含有钛先驱物和反应物气体的沉积气体达约0.1秒至约8秒的范围内的时段,优选地,从约1秒至约5秒,更优选地,从约2秒至约4秒。一旦钛先驱物层被吸收在基底上,钛先驱物气体的流动可以停止。钛先驱物层可以是不连续的层、连续的层或甚至多层。
基底和室在钛先驱物气体的流动停止后可以被暴露到净化步骤。反应物气体的流速在净化步骤期间可以被保持或从之前的步骤进行调节。优选地,反应物气体的流动从之后的步骤被保持。可选地,净化气体可以以从约100seem至约2,000seem的流速被引入沉积室中,优选地,从约200seem至约1,000seem,更优选地,从约300seem至约700seem,例如约500seem。净化步骤将沉积室内的过量的钛先驱物和其它污染物移出。净化步骤可以被执行从约0.1秒至约8秒范围内的时段,优选地,从约1秒至约5秒,更优选地,从约2秒至约4秒。运载气体、净化气体和处理气体可以包含氮、氢、氨、氩、氖、氦或其组合。在优选实施例中,运载气体包含氮。
以下,反应物气体的流动可以在激发等离子之前被调节或被保持。基底可以被暴露到等离子体达从约0.1秒至约20秒范围内的时段,优选地,从约1秒至约10秒,更优选地,从约2秒至约8秒。以下,等离子体激励被关闭。在一个示例中,反应物可以是氨、氮、氢或其组合以形成氨等离子体、氮等离子体、氢等离子体或组合等离子体。反应物等离子体与基底上吸收的钛先驱物反应以在其上形成钛材料。在一个示例中,反应物等离子体用作为还原剂以形成金属钛。然而,可以使用各种反应物以形成具有很宽的组成范围的钛材料。在一个示例中,含硼的还原化合物(例如,乙硼烷)被用于形成含有硼化物的钛材料。在另一个示例中,含硅的还原化合物(例如,硅烷)被用于形成含有硅酸盐的钛材料。
沉积室被暴露到第二净化步骤,以移除之前的步骤中过量的先驱物或污染物。反应物气体的流速在净化步骤期间可以被保持或从之前的步骤进行调节。优选的净化气体可以以从约100seem至约2,000seem的流速被引入沉积室中,优选地,从约200seem至约1,000seem,更优选地,从约300seem至约700seem,例如约500seem。第二净化步骤可以被执行从约0.1秒至约8秒范围内的时段,优选地,从约1秒至约5秒,更优选地,从约2秒至约4秒。
ALD周期可以被重复,直到在基底上沉积预定厚度的钛材料。钛材料可以被沉积为具有小于1,000厚度,优选地,小于500更优选地,从约10至约100例如约30本文描述的过程可以以至少0.15/周期的速度沉积钛材料,优选地,至少0.25/周期,更优选地,至少0.35/周期或更快。在另一个实施例中,本文描述的过程克服了现有技术关于成核现象延迟的缺陷。在许多(如果不是大多数)沉积钛材料的实验中没有可检测的成核现象延迟。
在另一个实施例中,钛材料可以在另一个PE-ALD过程期间形成,该PE-ALD过程将基底连续地暴露到钛先驱物和活性反应物(例如,反应物等离子体)脉冲。在这里,基底可以暴露到通过使载气通过包含钛先驱物的安瓿而形成的钛先驱物气体。钛先驱物气体通常具有约100seem至约2,000seem范围内的流速,优选地,从约200seem至约1,000seem,更优选地,从约300seem至约700seem,例如约500seem。基底可以被暴露到钛先驱物气体或含有钛先驱物和反应物气体的沉积气体达约0.1秒至约8秒的范围内的时段,优选地,从约1秒至约5秒,更优选地,从约2秒至约4秒。一旦钛先驱物层被吸收在基底上,钛先驱物气体的流动可以停止。钛先驱物层可以是不连续的层、连续的层或甚至多层。
随后,基底和室被暴露到净化步骤。可以使净化气体在净化步骤期间进入沉积室。一方面,净化气体是反应物气体,例如氨、氮或氢。另一方面,净化气体可以不同于反应物气体。例如,反应物气体可以是氨,净化气体可以是氮、氢或氩。净化气体可以具有约100seem至约2,000seem的范围内的流速,优选地,从约200seem至约1,000seem,更优选地,从约300seem至约700seem,例如约500seem。净化步骤将沉积室内的过量的钛先驱物和其它污染物移出。净化步骤可以被执行从约0.1秒至约8秒范围内的时段,优选地,从约1秒至约5秒,更优选地,从约2秒至约4秒。运载气体、净化气体和处理气体可以包含氮、氢、氨、氩、氖、氦或其组合。
基底和其上吸收的钛先驱物可以在ALD过程的下一个步骤被暴露到反应物气体。可选地,可以在反应物气体进行沉积室的同时给予运载气体。反应物气体可以被激励以形成等离子体。反应物气体通常具有约100seem至约3,000seem的流速,优选地,从约200seem至约2,000seem,更优选地,从约500seem至约1,500seem。在一个示例中,氨用作为具有约1,500seem的流速的反应物气体。基底可以被暴露到等离子体达约0.1秒至约20秒的范围内的时段,优选地,从约1秒至约10秒,更优选地,从约2秒至约8秒。以下,等离子体激励被关闭。在一个示例中,反应物可以是氨、氮、氢或其组合,而等离子体可以是氨等离子体、氮等离子体、氢等离子体或组合。反应物等离子体与基底上吸收的钛先驱物反应以在其上形成钛材料。优选地,反应物等离子体用作为还原剂以形成金属钛。然而,可以使用各种反应物以形成具有很宽的组成范围的钛材料,如本文所述。
沉积室可以被暴露到第二净化步骤,以从沉积室移除过量的先驱物或污染物。如果反应物气体被用作为净化气体,反应物气流可以在之前的步骤停止并在净化步骤期间开始。或者,可以使与反应物气体不同的净化气体进入沉积室。反应物气体或净化气体可以以从约100seem至约2,000seem的范围内的流速,优选地,从约200seem至约1,000seem,更优选地,从约300seem至约700seem,例如约500seem。第二净化步骤可以被执行从约0.1秒至约8秒范围内的时段,优选地,从约1秒至约5秒,更优选地,从约2秒至约4秒。
ALD周期可以被重复,直到在基底上沉积预定厚度的钛材料。钛材料可以被沉积为具有小于1,000厚度,优选地,小于500更优选地,从约10至约100例如约30本文描述的过程可以以至少0.15/周期的速度沉积钛材料,优选地,至少0.25/周期,更优选地,至少0.35/周期或更快。在另一个实施例中,本文描述的过程克服了现有技术关于成核现象延迟的缺陷。在许多(如果不是大多数)沉积钛材料的实验中没有可检测的成核现象延迟。
在热ALD过程和PE-ALD过程期间钛先驱物和反应物可以被连续地引入沉积室中。由本文的过程形成的钛材料包括金属钛、氮化钛、氮化硅钛或其衍生物。用于形成钛材料的适当的反应物可以是氮先驱物或还原气体并且包括氮(例如,N2或原子N)、氢(例如,H2或原子H)、氨NH3),、肼(N2H4)、硅烷(SiH4)、乙硅烷(Si2H6)、丙硅烷(Si3H8)、丁硅烷(Si4Hi0)、二甲基甲硅烷(SiC2H8)、甲基硅烷(SiCH6)、乙基硅烷(SiC2H8)、氯代硅烷(ClSiH3)、二氯代硅烷(Cl2SiH2)、六氯代硅烷(Si2Cl6)、硼烷(BH3)、乙硼烷(B2H6)、三乙硼烷(Et3B)、其衍生物、其等离子体或其组合。
钛先驱物的脉冲的时间间隔根据一些因素改变,例如所采用的沉积室、与其连接的真空***的容量以及ALD过程期间使用的反应物的挥发性/反应性。例如,(1)大体积沉积室可能导致更长的时间以稳定处理条件,例如载体/净化气流和温度,需要更长的脉冲时间;(2)处理气体更低的流速也可能导致更长的时间以稳定处理条件,需要更长脉冲时间;以及(3)处理气体更快地从沉积室排出的更低的室压力装置,需要更长脉冲时间。一般地,处理条件被有利地选择使得钛先驱物的脉冲提供足够量的先驱物使得至少单层钛先驱物在基底上被吸收。以后,留在室中的过量的钛先驱物可以结合真空***通过恒量的运载气流从沉积室移出。
钛先驱物和反应物气体的脉冲的每个的时间间隔具有相同的持续时间。即,钛先驱物的脉冲的持续时间可以与反应物气体的脉冲的持续时间一致。对于这样的实施例,钛先驱物(例如,TDMAT)的脉冲的时间间隔(T1)等于反应物气体(例如,氮等离子体)的脉冲的时间间隔(T2)。
或者,钛先驱物和反应物气体的脉冲的每个的时间间隔具有不同的持续时间。即,钛先驱物的脉冲的持续时间可以比反应物气体的脉冲的持续时间更短或更长。对于这样的实施例,钛先驱物的脉冲的时间间隔(T1)不同于反应物气体的脉冲的时间间隔(T2)。
此外,钛先驱物和反应物气体的脉冲的每个之间的非脉冲时段具有相同的持续时间。即,钛先驱物的每个脉冲之间的非脉冲时段的持续时间可以与反应物气体的每个脉冲之间的非脉冲时段的持续时间一致。对于这样的实施例,钛先驱物的脉冲之间的非脉冲的时间间隔(T3)等于反应物气体的脉冲之间的非脉冲的时间间隔(T4)。在非脉冲的时间段中,仅恒定的运载气流被提供到沉积室。
或者,钛先驱物和反应物气体的脉冲的每个之间的非脉冲时段具有不同的持续时间。即,钛先驱物的每个脉冲之间的非脉冲时段的持续时间可以比反应物气体的每个脉冲之间的非脉冲时段的持续时间更短或更长。对于这样的实施例,钛先驱物的脉冲之间的非脉冲的时间间隔(T3)不同于反应物气体的脉冲之间的非脉冲的时间间隔(T4)。在非脉冲的时间段中,仅恒定的运载气流被提供到沉积室。
此外,钛先驱物、反应物气体的脉冲的每个以其之间的非脉冲时段的时间间隔对于每个沉积周期具有相同的持续时间。对于这样的实施例,钛先驱物的时间间隔(T1)、反应物气体的时间间隔(T2)、钛先驱物的脉冲之间的非脉冲的时间间隔(T3)、反应物气体的脉冲之间的非脉冲的时间间隔(T4)的每个对于每个沉积周期具有相同的值。例如,在第一沉积周期(C1)中的钛先驱物的脉冲的时间间隔(T1)具有与随后的沉积周期(C2…Cn)中的钛先驱物的脉冲的时间间隔(T1)相同的持续时间。类似地,在第一沉积周期(C1)中的反应物气体的每个脉冲以及钛先驱物和反应物气体的脉冲之间的非脉冲的时段的持续时间分别与随后的沉积周期(C2…Cn)中的反应物气体的每个脉冲以及钛先驱物和反应物气体的脉冲之间的非脉冲的时段的持续时间相同。
或者,钛先驱物、反应物气体的至少一个脉冲以其之间的非脉冲时段的时间间隔对于钛材料沉积过程的一个或多个沉积周期具有不同的持续时间。对于这样的实施例,一个或多个钛先驱物的脉冲的时间间隔(T1)、反应物气体的脉冲的时间间隔(T2)、钛先驱物的脉冲之间的非脉冲的时间间隔(T3)、反应物气体的脉冲之间的非脉冲的时间间隔(T4)的每个对于钛材料沉积过程的一个或多个沉积周期具有不同的值。例如,在第一沉积周期(C1)中的钛先驱物的脉冲的时间间隔(T1)比随后的沉积周期(C2…Cn)中的钛先驱物的脉冲的一个或多个时间间隔(T1)更短或更长。类似地,在第一沉积周期(C1)中的反应物气体的每个脉冲以及钛先驱物和反应物气体的脉冲之间的非脉冲的时段的持续时间可以与随后的沉积周期(C2…Cn)中的反应物气体的每个脉冲以及钛先驱物和反应物气体的脉冲之间的非脉冲的时段的持续时间相同或不同。
在一些实施例中,恒定的运载气体或净化气体可以被提供到沉积室,沉积室被脉冲和非脉冲的交替的周期调制,其中脉冲的周期在与载体/净化气流一起的钛先驱物和反应物气体之间交替,同时非脉冲的周期仅包括载体/净化气流。
如本文所述的PE-ALD室可以用于形成许多材料,包括:钽、氮化钽、钛、氮化钛、钌、钨、氮化钨、钌、钴、铪、其硅酸盐、其氧化物、其衍生物、其组合、及其它材料。
在一个示例中,铜籽晶(seed)层可以通过CVD过程形成在氮化钛材料上,并且之后通过ECP过程体铜被沉积以对互连进行充填。在另一个示例中,铜籽晶层可以通过PVD过程形成在氮化钛材料上,并且之后通过ECP过程体铜被沉积以对互连进行充填。在另一个示例中,铜籽晶层可以通过无电镀的过程形成在氮化钛材料上,并且之后通过ECP过程体铜被沉积以对互连进行充填。在另一个示例中,通过ECP过程或无电镀过程体铜充填直接沉积到用作为铜籽晶层的氮化钛材料。
在另一个示例中,钨籽晶层可以通过PE-ALD过程形成在氮化钛材料上,并且之后通过CVD过程或脉冲CVD过程体钨被沉积以对互连进行充填。在另一个示例中,钨籽晶层可以通过PVD过程形成在氮化钛材料上,并且之后通过CVD过程或脉冲CVD过程体钨被沉积以对互连进行充填。在另一个示例中,钨籽晶层可以通过PE-ALD过程形成在氮化钛材料上,并且之后通过ECP过程体钨被沉积以对互连进行充填。在另一个示例中,通过CVD过程或脉冲CVD过程体钨充填直接沉积到用作为籽晶层的氮化钛材料。
几个综合顺序被执行以在互联内形成氮化钛材料。在一个示例中,后来的步骤如下:a)对基底进行预清洁;b)沉积阻挡层(例如,TiN的ALD);c)通过PE-ALD沉积氮化钛;以及d)在用ECP对体铜进行沉积后通过无电镀、ECP或PVD进行铜籽晶的沉积。在另一个示例中,后来的步骤如下:a)沉积阻挡层(例如,TiN的PE-ALD);b)冲压穿过的步骤;c)通过PE-ALD沉积氮化钛;以及d)在用ECP对体铜进行沉积后通过无电镀、ECP或PVD进行铜籽晶的沉积。在另一个示例中,后来的步骤如下:a)通过PE-ALD沉积氮化钛;b)冲压穿过的步骤;c)通过PE-ALD沉积氮化钛;以及d)在用无电镀、ECP或PVD对体铜进行沉积后通过无电镀、ECP或PVD进行铜籽晶的沉积。在另一个示例中,后来的步骤如下:a)通过PE-ALD沉积氮化钛;b)冲压穿过的步骤;c)通过PE-ALD沉积氮化钛;以及d)通过无电镀或ECP对铜进行沉积。在另一个示例中,后来的步骤如下:a)对基底进行预清洁;b)通过PE-ALD沉积氮化钛;c)在用ECP对体铜进行沉积后通过无电镀、ECP或PVD进行铜籽晶的沉积。在另一个示例中,后来的步骤如下:a)沉积阻挡层(例如,TiN的PE-ALD);b)通过PE-ALD沉积氮化钛;c)冲压穿过的步骤;d)通过PE-ALD沉积氮化钛;以及e)在用ECP对体铜进行沉积后通过无电镀、ECP或PVD进行铜籽晶的沉积。在另一个示例中,后来的步骤如下:a)沉积阻挡层(例如,TiN的PE-ALD);b)冲压穿过的步骤;c)冲压穿过的步骤(例如,TiN的PE-ALD);d)通过PE-ALD沉积氮化钛;以及d)通过无电镀、ECP或PVD进行铜籽晶的沉积;以及e)用ECP对体铜进行沉积。在一个示例中,后来的步骤如下:a)对基底进行预清洁;b)沉积阻挡层(例如,TiN的ALD);c)通过PE-ALD沉积氮化钛;以及d)用ECP对体铜进行沉积。
在一些实施例中,由本文描述的PE-ALD过程形成的氮化钛材料具有很少或没有氯的浓度或杂质。在一个示例中,钛先驱物气体含有TDMAT并且氮等离子体由氮(N2)形成。基底可以被加热到从约340℃至约370℃范围内的温度。等离子体可以以约4kW至约10kW范围内的功率被施加。氮气可以具有从约200seem至约2,000seem范围内的流速。沉积室的内部压力可以在从约500mTorr至约2Torr的范围内。
预清洁步骤包括清洁或净化过孔的方法,例如将过孔的底部处的剩余物(例如,碳)移去或将铜氧化物还原为铜金属。冲压穿过的步骤包括将材料(例如,阻挡层)从过孔的底部移除以暴露导体层,例如铜。冲压穿过的步骤的进一步的公开在共同指定的美国专利No.6,498,091中更详细地描述,在此通过引用引入其全文。冲压穿过的步骤可以在沉积室中进行,例如阻挡室或净化室。在本发明的实施例中,净化步骤和冲压穿过的步骤可以用于氮化钛阻挡层。对整体结合的方法的进一步公开在共同指定的美国专利No.7,049,226中更详细地描述,在此通过引用引入其全文。
本文所述的在PE-ALD过程期间形成的氮化钛材料一般具有小于约2,000μΩ-cm的薄层电阻,优选地小于约1,000μΩ-cm,更优选地,小于约500μΩ-cm。
本文使用的“基底表面”指的是形成在基底(加工过程中在其上执行膜处理)上的任意的基底或材料表面。例如,其上可以执行处理的基底表面包括以下材料,例如硅、二氧化碳、应变硅、绝缘体上硅(SOI)、碳掺杂二氧化碳、氮化硅、掺杂硅、锗、砷化镓、玻璃、蓝宝石和根据应用的其它的材料,如金属、金属氮化物、金属合金及其它的导体金属。阻挡层、基底表面上的金属或金属氮化物包括钛、氮化钛、氮化钨、钽、氮化钽,其硅酸盐、其衍生物或其组合物。基底可以具有不同尺寸,例如200mm或300mm直径的硅片,以及矩形或正方形。除非另外说明,本文描述的实施例和示例优选地在200mm直径或300mm直径的基底上进行,更优选地,300mm的直径。本文描述的一些实施例的过程可以用于在许多基底和表面上沉积氮化钛和其它钛材料(例如,金属钛或钛氮化硅)。本发明的实施例在其上有用的基底包括但不限于半导体硅片,例如晶体硅(例如Si<100>或Si<111>)、二氧化碳、应变硅、锗化硅、掺杂或不掺杂的多晶硅、掺杂或不掺杂的硅晶片及图案化或非图案化的硅片。基底可以暴露到预处理过程以抛光、刻蚀、还原、氧化、羟化、退火与/或烘烤基底表面。
本文使用的“原子层沉积(ALD)”或“循环沉积”指的是连续地引入两种或以上的反应成分以在基底表面上沉积一层材料。两种、三种或更多的反应成分可以被选择地引入沉积室的反应区域或处理区域。反应成分可以是以下状态:气体、等离子体、蒸气、液体或对于气相沉积过程有用的其它状态。通常,各反应成分被分开达一定时间延迟以允许每种成分粘附并/或在基底表面上反应。在一个方面,第一先驱物或成分A被脉冲到反应区域后有第一时间延迟。接下来,第二先驱物或成分B被脉冲到反应区域后有第二时间延迟。成分A和成分B反应以形成沉积材料。在每个时间延迟期间,净化气体被引入沉积室以对反应区域进行净化,或从反应区域移除任何残留反应物或副产物。或者,净化气体可以连续地通过沉积过程,使得反应化合物的脉冲间时间延迟期间仅流过净化气体。反应化合物被交替地脉冲,直到沉积材料的理想的膜厚被形成在基底表面上。在任何情形下,脉冲成分A、净化气体以及脉冲成分B、净化气体的ALD过程是一个周期。周期可以从成分A或成分B开始并持续周期的各顺序,直到获得具有理想厚度的膜。在另一个实施例中,含有成分A的第一先驱物、含有成分B的第二先驱物和集成成分C的第三先驱物每个分别被脉冲到沉积室中。或者,第一先驱物的脉冲可以在时间上与第二先驱物的脉冲重叠,同时第三先驱物的脉冲在时间上不与第一先驱物或第二先驱物的脉冲重叠。
本文使用的“处理气体”指的是一种气体、多种气体、含有等离子体的气体、气体与/或等离子体的组合。处理气体可以包含用于气相沉积过程的至少一种反应物化合物。反应化合物或反应物在气相沉积过程中可以是以下状态:气体、等离子体、蒸气或液体。并且,过程可以包含净化气体或运载气体并不包含反应化合物。
其它ALD过程
本发明的实施例提供了用于通过利用本文描述的PE-ALD室和过程用热ALD过程或PE-ALD过程在基底上沉积各种材料(例如,含钽或钨的材料)的方法。在一个示例中,通过在PE-ALD过程中将基底连续地暴露到钽先驱物和等离子体使氮化钽被沉积。在另一个示例中,通过在PE-ALD过程中将基底连续地暴露到钨先驱物和等离子体使氮化钨被沉积。在其它示例中,通过在PE-ALD过程中将基底连续地暴露到钽先驱物或钨先驱物和等离子体使金属钽和金属钨被沉积。
在本文描述的气相沉积过程中有用的钽先驱物包括五(二甲氨基)钽(PDMAT或Ta(NMe2)5)、五(乙基甲基氨基)钽(PEMAT或Ta[N(Et)Me]5)、五(二乙基氨基)钽(PDEAT或Ta(NEt2)5)、乙基酰亚胺基-三(二甲氨基)钽((EtN)Ta(NMe2)3)、乙基酰亚胺基-三(二乙基氨基)钽((EtN)Ta(NEt2)3)、乙基酰亚胺基-三(乙基甲基氨基)钽((EtN)Ta[N(Et)Me]3)、叔丁基酰亚氨基-三(二甲氨基)钽(TBTDMT或(tBuN)Ta(NMe2)3)、叔丁基酰亚氨基-三(二乙基氨基)钽(TBTDET或(tBuN)Ta(NEt2)3)、叔丁基酰亚氨基-三(乙基甲基氨基)钽(TBTEAT或(tBuN)Ta[N(Et)Me]3)、叔戊基酰亚胺基-三(二甲氨基)钽(TAIMATA或(tAmylN)Ta(NMe2)3,其中tAmyl是叔戊基基团(C5H11-或CH3CH2C(CHs)2-)、叔戊基酰亚胺基-三(二乙基氨基)钽(TAIEATA或(tAmylN)Ta(NEt2)3)、叔戊基酰亚胺基-三(乙基甲基氨基)钽(TAIMATA或(tAmylN)Ta([N(Et)Me]3)、五卤化钽(例如TaF5或TaCI5)、其衍生物或其组合物。
在本文描述的气相沉积过程中有用的钨先驱物包括二(叔丁基酰亚胺基)二(叔丁基氨基)钨((tBuN)2W(N(H)tBu)2)、二(叔丁基酰亚胺基)二(二甲氨基)钨((tBuN)2W(NMe2)2)、二(叔丁基酰亚胺基)二(二乙基氨基)钨((tBuN)2W(NEt2)2)、二(叔丁基酰亚胺基)二(乙基甲基氨基)钨((tBuN)2W(NEtMe)2)、六氟化钨、其衍生物或其组合物。
在本文描述的气相沉积过程中用于形成含金属的材料的氮先驱物包括氮(例如等离子体、N2或原子N)、氨(NH3)、肼(N2H4)、甲基肼(Me(H)NNH2)、二甲基肼(Me2NNH2或Me(H)NN(H)Me)、叔丁基肼(tBu(H)NNH2)、苯肼(C6H5(H)NNH2)、氮等离子体源(例如N、N2、N2/H2、NH3或N2H4等离子体)、2,2′-偶氮叔丁烷(tBuNNtBu)、叠氮化合物源(例如叠氮乙烷(EtN3)、叠氮三甲基硅烷(Me3SiN3))、其衍生物或其组合物。
且于形成含金属的材料的适当的反应物可以是还原气体并包括氢(例如H2或原子H)、原子N、氨(NH3)、肼(N2H4)、硅烷(SiH4)、乙硅烷(Si2H6)、丙硅烷(Si3H8)、丁硅烷(Si4Hi0)、二甲基甲硅烷(SiC2H8)、甲基硅烷(SiCH6)、乙基硅烷(SiC2H8)、氯硅烷(CISiH3)、二氯硅烷(CI2SiH2)、六氯乙硅烷(Si2CI6)、硼烷(BH3)、乙硼烷(B2H6)、三硼烷、四硼烷、戊硼烷、三乙基硼(Et3B)、其衍生物、其等离子体或其组合物。
运载气体、净化气体和处理气体可以包含氮、氢、氨、氩、氖、氦或其组合。等离子体可以由含有这些气体中的任何被激励。优选地,在本文描述的气相沉积过程期间可以用于形成含金属材料的等离子体先驱物气体包括氮、氢、氨、氩或其组合。在一个示例中,等离子体含有氮和氢。在另一个示例中,等离子体含有氮和氨。在另一个示例中,等离子体含有氨和氢。
可以在本文描述的热ALD过程和PE-ALD过程期间形成的含金属的材料包括钽、氮化钽、钨、氮化钨、钛、氮化钛、其合金、其衍生物或其组合。在一个实施例中,含金属的材料可以在PE-ALD过程期间形成,PE-ALD过程在提供金属先驱物和等离子体的连续的脉冲的同时包含恒流的反应物气体。在另一个实施例中,含金属的材料可以在另一个PE-ALD过程期间形成,PE-ALD过程在提供金属先驱物和反应物等离子体的连续的脉冲。在这两个实施例中,反应物通常在过程中离子化。实施例规定等离子体可以从沉积室在外部产生,例如通过远程等离子体发生器(RPS)***。在PE-ALD过程期间,等离子体可以由微波(MW)频率发生器或无线电频率(RF)发生器产生。等离子体可以在RPS***内被激励并被传递到沉积室中。在许多实施例中,等离子体可以通过入口管道组件的中心通道、通过莲喷头组件被传递到沉积室中。
RF发生器可以设定在从约100KHz至约1.6MHz范围内的频率。在一个示例中,具有13.56MHz的频率的RF发生器可以被设定为具有从约100瓦至约1000瓦范围内的功率输出,优选地,从约250瓦至约600瓦,更优选地,从约300瓦至约500瓦。在一个示例中,具有400KHz的频率的RF发生器可以被设定为具有从约200瓦至约2000瓦范围内的功率输出,优选地,从约500瓦至约1500瓦。基底的表面可以暴露到具有从约0.01瓦/cm2至约10.0瓦/cm2的范围内的单位表面功率值的等离子体,优选地,从约0.05瓦/cm2至约6.0瓦/cm2。
在ALD过程期间,沉积室可以在约0.1Torr至约80Torr范围内的压力下被加压,优选地从约0.5Torr至约10Torr,更优选地,从约1Torr至约5Torr。并且,在室或基底可以被加热到低于约500℃的温度,优选地,在约100℃至约450℃的范围内,更优选地,从约150℃至约400℃,例如约300℃。在另一个实施例中,含金属的材料可以在提供连续的金属先驱物和反应物脉冲的热ALD过程期间形成。
基底例如可以是具有互相连接形式的硅基底,互相连接形式由其上形成的一个或多个电介质材料层限定。在一个示例中,基底上包含阻挡层,而在另一个示例中,基底包含电介质表面。沉积室例如调节温度和压力,受到调节以提高基底上处理气体的吸收来方便金属先驱物和反应物气体的反应。
在一个实施例中,基底可以通过整个ALD周期被暴露到反应物气体。基底可以暴露到金属先驱物气体,使载体气体(例如,氮或氩)通过金属先驱物安瓿来形成金属先驱物气体。安瓿可以根据处理期间使用的金属先驱物被加热。金属先驱物气体通常具有约100seem至约2,000seem范围内的流速,优选地,从约200seem至约1,000seem,更优选地,从约300seem至约700seem,例如约500seem。金属先驱物气体和反应物气体可以结合以形成沉积气体。反应物气体通常具有约100seem至约3,000seem的流速,优选地,从约200seem至约2,000seem,更优选地,从约500seem至约1,500seem。在一个示例中,氨用作为具有约1,500seem的流速的反应物气体。基底可以被暴露到金属先驱物气体或含有金属先驱物和反应物气体的沉积气体达约0.1秒至约8秒的范围内的时段,优选地,从约1秒至约5秒,更优选地,从约2秒至约4秒。一旦钛先驱物层被吸收在基底上,钛先驱物气体的流动可以停止。金属先驱物层可以是不连续的层、连续的层或甚至多层。
基底和沉积室在金属先驱物气体的流动停止后可以被暴露到净化步骤。反应物气体的流速在净化步骤期间可以被保持或从之前的步骤进行调节。优选地,反应物气体的流动从之后的步骤被保持。可选地,净化气体可以以从约100seem至约2,000seem的流速被引入沉积室中,优选地,从约200seem至约1,000seem,更优选地,从约300seem至约700seem,例如约500seem。净化步骤将沉积室内的过量的金属先驱物和其它污染物移出。净化步骤可以被执行从约0.1秒至约8秒范围内的时段,优选地,从约1秒至约5秒,更优选地,从约2秒至约4秒。运载气体、净化气体和处理气体可以包含氮、氢、氨、氩、氖、氦或其组合。在优选实施例中,运载气体包含氮。
以下,反应物气体的流动可以在激发等离子之前被调节或被保持。基底可以被暴露到等离子体达从约0.1秒至约20秒范围内的时段,优选地,从约1秒至约10秒,更优选地,从约2秒至约8秒。以下,等离子体激励被关闭。在一个示例中,反应物可以是氨、氮、氢或其组合以形成氨等离子体、氮等离子体、氢等离子体或组合等离子体。反应物等离子体与基底上吸收的金属先驱物反应以在其上形成含金属的材料。在一个示例中,反应物等离子体用作为还原剂以形成金属钌、钽、钨、钛或其合金。然而,可以使用各种反应物以形成具有很宽的组成范围的含金属的材料。在一个示例中,含硼的还原化合物(例如,乙硼烷)被用于形成含有硼化物的含金属的材料。在另一个示例中,含硅的还原化合物(例如,硅烷)被用于形成含有硅酸盐的含金属的材料。
沉积室被暴露到第二净化步骤,以移除之前的步骤中过量的先驱物或污染物。反应物气体的流速在净化步骤期间可以被保持或从之前的步骤进行调节。优选的净化气体可以以从约100seem至约2,000seem的流速被引入沉积室中,优选地,从约200seem至约1,000seem,更优选地,从约300seem至约700seem,例如约500seem。第二净化步骤可以被执行从约0.1秒至约8秒范围内的时段,优选地,从约1秒至约5秒,更优选地,从约2秒至约4秒。
ALD周期可以被重复,直到在基底上沉积预定厚度的含金属的材料。含金属的材料可以被沉积为具有小于1,000厚度,优选地,小于500更优选地,从约10至约100例如约30本文描述的过程可以以至少0.15/周期的速度沉积含金属的材料,优选地,至少0.25/周期,更优选地,至少0.35/周期或更快。在另一个实施例中,本文描述的过程克服了现有技术关于成核现象延迟的缺陷。在许多(如果不是大多数)沉积含金属的材料的实验中没有可检测的成核现象延迟。
在另一个实施例中,含金属的材料可以在另一个PE-ALD过程期间形成,该PE-ALD过程将基底连续地暴露到金属先驱物和活性反应物,例如,反应物等离子体。基底可以暴露到金属先驱物气体,金属先驱物气体由运载气体通过含有金属先驱物的安瓿形成,如本文所述。金属先驱物气体通常具有约100seem至约2,000seem范围内的流速,优选地,从约200seem至约1,000seem,更优选地,从约300seem至约700seem,例如约500seem。基底可以被暴露到金属先驱物和反应物气体的沉积气体达约0.1秒至约8秒的范围内的时段,优选地,从约1秒至约5秒,更优选地,从约2秒至约4秒。一旦金属先驱物层被吸收在基底上,金属先驱物气体的流动可以停止。金属先驱物层可以是不连续的层、连续的层或甚至多层。
随后,基底和室被暴露到净化步骤。可以使净化气体在净化步骤期间进入沉积室。一方面,净化气体是反应物气体,例如氨、氮或氢。另一方面,净化气体可以不同于反应物气体。例如,反应物气体可以是氨,净化气体可以是氮、氢或氩。净化气体可以具有约100seem至约2,000seem的范围内的流速,优选地,从约200seem至约1,000seem,更优选地,从约300seem至约700seem,例如约500seem。净化步骤将沉积室内的过量的金属先驱物和其它污染物移出。净化步骤可以被执行从约0.1秒至约8秒范围内的时段,优选地,从约1秒至约5秒,更优选地,从约2秒至约4秒。运载气体、净化气体和处理气体可以包含氮、氢、氨、氩、氖、氦或其组合。
基底和其上吸收的金属先驱物可以在ALD过程的下一个步骤被暴露到反应物气体。可选地,可以在反应物气体进行沉积室的同时给予运载气体。反应物气体可以被RPS激励以形成等离子体。反应物气体通常具有约100seem至约3,000seem的流速,优选地,从约200seem至约2,000seem,更优选地,从约500seem至约1,500seem。在一个示例中,氨用作为具有约1,500seem的流速的反应物气体。基底可以被暴露到等离子体达约0.1秒至约20秒的范围内的时段,优选地,从约1秒至约10秒,更优选地,从约2秒至约8秒。以下,等离子体激励被关闭。在一个示例中,反应物可以是氨、氮、氢或其组合,而等离子体可以是氨等离子体、氮等离子体、氢等离子体或组合。反应物等离子体与基底上吸收的金属先驱物反应以在其上形成含金属的材料。优选地,反应物等离子体用作为还原剂或氮源以形成钌、钽、钨、钛或其合金的金属层或氮层。然而,可以使用各种反应物以形成具有很宽的组成范围的含金属的材料,如本文所述。
沉积室可以被暴露到第二净化步骤,以从沉积室移除过量的先驱物或污染物。如果反应物气体被用作为净化气体,反应物气流可以在之前的步骤停止并在净化步骤期间开始。或者,可以使与反应物气体不同的净化气体进入沉积室。反应物气体或净化气体可以以从约100seem至约2,000seem的范围内的流速,优选地,从约200seem至约1,000seem,更优选地,从约300seem至约700seem,例如约500seem。第二净化步骤可以被执行从约0.1秒至约8秒范围内的时段,优选地,从约1秒至约5秒,更优选地,从约2秒至约4秒。
ALD周期可以被重复,直到在基底上沉积预定厚度的含金属的材料。含金属的材料可以被沉积为具有小于1,000厚度,优选地,小于500更优选地,从约10至约100例如约30本文描述的过程可以以至少0.15/周期的速度沉积含金属的材料,优选地,至少0.25/周期,更优选地,至少0.35/周期或更快。在另一个实施例中,本文描述的过程克服了现有技术关于成核现象延迟的缺陷。在许多(如果不是大多数)沉积钛材料的实验中没有可检测的成核现象延迟。
金属先驱物的脉冲的时间间隔根据一些因素改变,例如所采用的沉积室、与其连接的真空***的容量以及ALD过程期间使用的反应物的挥发性/反应性。例如,(1)大体积沉积室可能导致更长的时间以稳定处理条件,例如载体/净化气流和温度,需要更长的脉冲时间;(2)处理气体更低的流速也可能导致更长的时间以稳定处理条件,需要更长脉冲时间;以及(3)处理气体更快地从沉积室排出的更低的室压力装置,需要更长脉冲时间。一般地,处理条件被有利地选择使得金属先驱物的脉冲提供足够量的先驱物使得至少单层金属先驱物在基底上被吸收。以后,留在室中的过量的金属先驱物可以结合真空***通过恒量的运载气流从沉积室移出。
金属先驱物和反应物气体的脉冲的每个的时间间隔具有相同的持续时间。即,金属先驱物的脉冲的持续时间可以与反应物气体的脉冲的持续时间一致。对于这样的实施例,金属先驱物的脉冲的时间间隔(T1)等于反应物气体的脉冲的时间间隔(T2)。
或者,金属先驱物和反应物气体的脉冲的每个的时间间隔具有不同的持续时间。即,金属先驱物的脉冲的持续时间可以比反应物气体的脉冲的持续时间更短或更长。对于这样的实施例,金属先驱物的脉冲的时间间隔(T1)不同于反应物气体的脉冲的时间间隔(T2)。
此外,金属先驱物和反应物气体的脉冲的每个之间的非脉冲时段具有相同的持续时间。即,金属先驱物的每个脉冲之间的非脉冲时段的持续时间可以与反应物气体的每个脉冲之间的非脉冲时段的持续时间一致。对于这样的实施例,金属先驱物的脉冲之间的非脉冲的时间间隔(T3)等于反应物气体的脉冲之间的非脉冲的时间间隔(T4)。在非脉冲的时间段中,仅恒定的运载气流被提供到沉积室。
或者,金属先驱物和反应物气体的脉冲的每个之间的非脉冲时段具有不同的持续时间。即,金属先驱物的每个脉冲之间的非脉冲时段的持续时间可以比反应物气体的每个脉冲之间的非脉冲时段的持续时间更短或更长。对于这样的实施例,金属先驱物的脉冲之间的非脉冲的时间间隔(T3)不同于反应物气体的脉冲之间的非脉冲的时间间隔(T4)。在非脉冲的时间段中,仅恒定的运载气流被提供到沉积室。
此外,金属先驱物、反应物气体的脉冲的每个以其之间的非脉冲时段的时间间隔对于每个沉积周期具有相同的持续时间。对于这样的实施例,金属先驱物的时间间隔(T1)、反应物气体的时间间隔(T2)、金属先驱物的脉冲之间的非脉冲的时间间隔(T3)、反应物气体的脉冲之间的非脉冲的时间间隔(T4)的每个对于每个沉积周期具有相同的值。例如,在第一沉积周期(C1)中的金属先驱物的脉冲的时间间隔(T1)具有与随后的沉积周期(C2…Cn)中的金属先驱物的脉冲的时间间隔(T1)相同的持续时间。类似地,在第一沉积周期(C1)中的反应物气体的每个脉冲以及金属先驱物和反应物气体的脉冲之间的非脉冲的时段的持续时间分别与随后的沉积周期(C2…Cn)中的反应物气体的每个脉冲以及金属先驱物和反应物气体的脉冲之间的非脉冲的时段的持续时间相同。
或者,金属先驱物、反应物气体的至少一个脉冲以其之间的非脉冲时段的时间间隔对于金属材料沉积过程的一个或多个沉积周期具有不同的持续时间。对于这样的实施例,一个或多个金属先驱物的脉冲的时间间隔(T1)、反应物气体的脉冲的时间间隔(T2)、金属先驱物的脉冲之间的非脉冲的时间间隔(T3)、反应物气体的脉冲之间的非脉冲的时间间隔(T4)的每个对于沉积过程的一个或多个沉积周期具有不同的值。例如,在第一沉积周期(C1)中的金属先驱物的脉冲的时间间隔(T1)比随后的沉积周期(C2…Cn)中的金属先驱物的脉冲的一个或多个时间间隔(T1)更短或更长。类似地,在第一沉积周期(C1)中的反应物气体的每个脉冲以及金属先驱物和反应物气体的脉冲之间的非脉冲的时段的持续时间可以与随后的沉积周期(C2…Cn)中的反应物气体的每个脉冲以及金属先驱物和反应物气体的脉冲之间的非脉冲的时段的持续时间相同或不同。
在一些实施例中,恒定的运载气体或净化气体可以被提供到沉积室,沉积室被脉冲和非脉冲的交替的周期调制,其中脉冲的周期在与载体/净化气流一起的金属先驱物和反应物气体之间交替,同时非脉冲的周期仅包括载体/净化气流。
尽管上文是关于本发明的具体实施例,可设计本发明的其它及进一步具体实施例而不脱离其基本范畴,且其范畴通过权利要求来确定。
Claims (15)
1.一种用于气相沉积过程的莲喷头组件,包括:
莲喷头板,其具有上表面、下表面和从所述莲喷头板的中心向外边缘延伸的半径;
第一多个孔,与所述上表面和所述下表面流体相通,所述第一多个孔位于第一区域内,所述第一区域从所述莲喷头板的中心延伸到所述莲喷头板的半径的约25%,并且每个孔具有小于0.1英寸的直径;以及
第二多个孔,与所述上表面和所述下表面流体相通,所述第二多个孔位于第二区域内,所述第二区域从所述莲喷头板的半径的约25%延伸到大约所述莲喷头板的外边缘,并且每个孔具有大于0.1英寸的直径。
2.根据权利要求1所述的莲喷头组件,其中,所述第一多个孔的直径约0.09英寸或更小。
3.根据权利要求2所述的莲喷头组件,其中,所述第二多个孔的直径约0.11英寸或更大。
4.根据权利要求1所述的莲喷头组件,其中,所述莲喷头板具有朝向外边缘径向延伸的增大的孔密度。
5.根据权利要求1所述的莲喷头组件,其中,所述莲喷头板包括由以下材料构成的组中选取的材料:铝、铝合金、电镀铝金属、不锈钢、镍、镍合金、镀镍铝、镀镍金属、铬、铁、及其合金、衍生物或化合物。
6.根据权利要求5所述的莲喷头组件,其中,所述莲喷头板包括铝或铝合金。
7.根据权利要求6所述的莲喷头组件,其中,所述莲喷头板包括铝合金,并且铝合金还包括镁和硅。
8.根据权利要求1所述的莲喷头组件,其中,所述莲喷头板包括由以下材料构成的组中选取的材料:石英、陶瓷、熔凝石英、蓝宝石、热解亚硝酸硼(PBN)材料、玻璃、硅酸盐材料、硅土材料、氧化铝材料、氧化锆材料、及其合金、衍生物或化合物。
9.根据权利要求8所述的莲喷头组件,其中,所述莲喷头板包括石英。
10.一种入口管道组件,包括:
环形通道,其包围中心通道,其中,所述中心通道延伸通过所述入口管道组件;以及
注入孔,从所述环形通道延伸通过所述中心通道的侧壁并延伸到所述中心通道,其中,所述注入孔包括第一多个注入孔,所述第一多个注入孔朝向或基本上朝向所述中心通道的中心轴延伸,并且所述注入孔包括第二多个注入孔,所述第二多个注入孔相切地朝向或基本上相切地朝向所述中心通道的侧壁延伸。
11.根据权利要求10所述的入口管道组件,其中,所述第一多个注入孔包括三个或更多的注入孔,并且所述第二多个注入孔包括三个或更多的注入孔。
12.根据权利要求10所述的入口管道组件,其中,所述入口管道组件包括铝或铝合金。
13.根据权利要求12所述的入口管道组件,其中,所述入口管道组件包括铝合金,并且铝合金还包括镁和硅。
14.根据权利要求10所述的入口管道组件,其中,每个注入孔具有从约0.06英寸至约0.12英寸的范围内的直径。
15.一种用于等离子体增强原子层沉积过程的室,包括:
基底支撑,包含接收基底的表面,并且被置于室体内;
室盖组件,与室体连接并且包括:
入口管道组件,包括:环形通道,其包围中心通道,其中,所述中心通道延伸通过所述入口管道组件,所述入口管道组件还包括:注入孔,从所述环形通道延伸通过所述中心通道的侧壁并延伸到所述中心通道;
莲喷头组件,包括:莲喷头板,其设置在所述入口管道组件下方;
水箱,其设置在所述入口管道组件和所述莲喷头组件之间;以及
远程等离子体***,其设置在所述入口管道组件上方并与之连接,并且与所述中心通道流体相通;以及
处理区域,设置在所述接收基底的表面和所述莲喷头板的下表面之间。
Priority Applications (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
CN201310367635.0A CN103531448B (zh) | 2008-07-03 | 2009-07-02 | 用于原子层沉积的设备 |
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US7832108P | 2008-07-03 | 2008-07-03 | |
US61/078,321 | 2008-07-03 | ||
PCT/US2009/049578 WO2010003093A2 (en) | 2008-07-03 | 2009-07-02 | Apparatuses for atomic layer deposition |
Related Child Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN201310367635.0A Division CN103531448B (zh) | 2008-07-03 | 2009-07-02 | 用于原子层沉积的设备 |
Publications (2)
Publication Number | Publication Date |
---|---|
CN102084461A true CN102084461A (zh) | 2011-06-01 |
CN102084461B CN102084461B (zh) | 2013-08-14 |
Family
ID=41464590
Family Applications (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN200980126061XA Active CN102084461B (zh) | 2008-07-03 | 2009-07-02 | 用于原子层沉积的设备 |
CN201310367635.0A Active CN103531448B (zh) | 2008-07-03 | 2009-07-02 | 用于原子层沉积的设备 |
Family Applications After (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN201310367635.0A Active CN103531448B (zh) | 2008-07-03 | 2009-07-02 | 用于原子层沉积的设备 |
Country Status (6)
Country | Link |
---|---|
US (4) | US8291857B2 (zh) |
EP (2) | EP2913842A3 (zh) |
JP (2) | JP5675605B2 (zh) |
KR (4) | KR101862334B1 (zh) |
CN (2) | CN102084461B (zh) |
WO (1) | WO2010003093A2 (zh) |
Cited By (10)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN103614705A (zh) * | 2013-11-19 | 2014-03-05 | 华中科技大学 | 一种用于大型非平整表面沉积的装置及方法 |
CN107058974A (zh) * | 2011-10-28 | 2017-08-18 | 应用材料公司 | 气体分散设备 |
CN107532297A (zh) * | 2015-04-22 | 2018-01-02 | 应用材料公司 | 具有漏斗状气体分散通道及气体分配板的原子层沉积腔室 |
CN109797379A (zh) * | 2017-11-16 | 2019-05-24 | 三星电子株式会社 | 包括上喷头和下喷头的沉积设备 |
CN110050333A (zh) * | 2016-12-08 | 2019-07-23 | 应用材料公司 | 时间性原子层沉积处理腔室 |
CN111066133A (zh) * | 2017-08-11 | 2020-04-24 | 应用材料公司 | 用于改善热化学气相沉积(cvd)均匀性的设备和方法 |
CN111321391A (zh) * | 2018-12-13 | 2020-06-23 | 夏泰鑫半导体(青岛)有限公司 | 用于半导体制造的喷头 |
CN111394714A (zh) * | 2020-04-21 | 2020-07-10 | 重庆臻宝实业有限公司 | 化学沉积气体布散结构及其装置 |
CN111799143A (zh) * | 2019-04-09 | 2020-10-20 | 应用材料公司 | 半导体处理腔室多阶段混合设备 |
US11345998B2 (en) | 2017-11-16 | 2022-05-31 | Samsung Electronics Co., Ltd. | Deposition apparatus including upper shower head and lower shower head |
Families Citing this family (454)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US9157152B2 (en) * | 2007-03-29 | 2015-10-13 | Tokyo Electron Limited | Vapor deposition system |
US8291857B2 (en) | 2008-07-03 | 2012-10-23 | Applied Materials, Inc. | Apparatuses and methods for atomic layer deposition |
US20100025370A1 (en) * | 2008-08-04 | 2010-02-04 | Applied Materials, Inc. | Reactive gas distributor, reactive gas treatment system, and reactive gas treatment method |
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
AU2010213360B2 (en) * | 2009-02-13 | 2013-11-28 | Gallium Enterprises Pty Ltd | Plasma deposition |
US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
US8382939B2 (en) * | 2009-07-13 | 2013-02-26 | Applied Materials, Inc. | Plasma processing chamber with enhanced gas delivery |
US8802201B2 (en) | 2009-08-14 | 2014-08-12 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
JP5668294B2 (ja) * | 2010-02-23 | 2015-02-12 | 凸版印刷株式会社 | ガスバリアフィルムおよびその製造方法 |
EP2545197B1 (en) * | 2010-03-12 | 2020-12-16 | Applied Materials, Inc. | Atomic layer deposition chamber with multi inject |
US9004006B2 (en) | 2010-04-28 | 2015-04-14 | Applied Materials, Inc. | Process chamber lid design with built-in plasma source for short lifetime species |
US8652573B2 (en) * | 2010-07-15 | 2014-02-18 | Asm International N.V. | Method of CVD-depositing a film having a substantially uniform film thickness |
WO2012039833A2 (en) | 2010-09-24 | 2012-03-29 | Applied Materials, Inc. | Low temperature silicon carbide deposition process |
US8440571B2 (en) | 2010-11-03 | 2013-05-14 | Applied Materials, Inc. | Methods for deposition of silicon carbide and silicon carbonitride films |
GB201021870D0 (en) * | 2010-12-23 | 2011-02-02 | Element Six Ltd | A microwave plasma reactor for manufacturing synthetic diamond material |
US8562785B2 (en) * | 2011-05-31 | 2013-10-22 | Lam Research Corporation | Gas distribution showerhead for inductively coupled plasma etch reactor |
US9312155B2 (en) | 2011-06-06 | 2016-04-12 | Asm Japan K.K. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
US10364496B2 (en) | 2011-06-27 | 2019-07-30 | Asm Ip Holding B.V. | Dual section module having shared and unshared mass flow controllers |
US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
US9188989B1 (en) | 2011-08-20 | 2015-11-17 | Daniel T. Mudd | Flow node to deliver process gas using a remote pressure measurement device |
US9958302B2 (en) | 2011-08-20 | 2018-05-01 | Reno Technologies, Inc. | Flow control system, method, and apparatus |
US9448564B2 (en) | 2013-02-15 | 2016-09-20 | Reno Technologies, Inc. | Gas delivery system for outputting fast square waves of process gas during semiconductor processing |
US8575033B2 (en) | 2011-09-13 | 2013-11-05 | Applied Materials, Inc. | Carbosilane precursors for low temperature film deposition |
TW201319299A (zh) | 2011-09-13 | 2013-05-16 | Applied Materials Inc | 用於低溫電漿輔助沉積的活化矽前驅物 |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
US9574268B1 (en) | 2011-10-28 | 2017-02-21 | Asm America, Inc. | Pulsed valve manifold for atomic layer deposition |
JP2013124392A (ja) * | 2011-12-14 | 2013-06-24 | Tokyo Electron Ltd | 成膜装置 |
KR101805582B1 (ko) | 2011-12-21 | 2017-12-07 | 주식회사 원익아이피에스 | 가스분사장치 및 기판 처리 장치 |
US9388492B2 (en) | 2011-12-27 | 2016-07-12 | Asm America, Inc. | Vapor flow control apparatus for atomic layer deposition |
US8791014B2 (en) * | 2012-03-16 | 2014-07-29 | Globalfoundries Inc. | Methods of forming copper-based conductive structures on semiconductor devices |
WO2013155432A2 (en) * | 2012-04-12 | 2013-10-17 | Veeco Instruments, Inc. | Plasma enhanced atomic layer deposition method |
US9447499B2 (en) | 2012-06-22 | 2016-09-20 | Novellus Systems, Inc. | Dual plenum, axi-symmetric showerhead with edge-to-center gas delivery |
US9388494B2 (en) | 2012-06-25 | 2016-07-12 | Novellus Systems, Inc. | Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region |
US10233541B2 (en) | 2012-06-29 | 2019-03-19 | Applied Materials, Inc. | Deposition of films containing alkaline earth metals |
US9659799B2 (en) | 2012-08-28 | 2017-05-23 | Asm Ip Holding B.V. | Systems and methods for dynamic semiconductor process scheduling |
WO2014040002A2 (en) | 2012-09-10 | 2014-03-13 | Mudd Daniel T | Pressure based mass flow controller |
US9021985B2 (en) | 2012-09-12 | 2015-05-05 | Asm Ip Holdings B.V. | Process gas management for an inductively-coupled plasma deposition reactor |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
US10316409B2 (en) | 2012-12-21 | 2019-06-11 | Novellus Systems, Inc. | Radical source design for remote plasma atomic layer deposition |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9589770B2 (en) | 2013-03-08 | 2017-03-07 | Asm Ip Holding B.V. | Method and systems for in-situ formation of intermediate reactive species |
US9484191B2 (en) | 2013-03-08 | 2016-11-01 | Asm Ip Holding B.V. | Pulsed remote plasma method and system |
US9255326B2 (en) | 2013-03-12 | 2016-02-09 | Novellus Systems, Inc. | Systems and methods for remote plasma atomic layer deposition |
JP2016519207A (ja) * | 2013-03-15 | 2016-06-30 | レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード | タングステン含有皮膜の堆積のためのビス(アルキルイミド)−ビス(アルキルアミド)タングステン分子 |
KR102156795B1 (ko) * | 2013-05-15 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 증착 장치 |
US20150000597A1 (en) * | 2013-07-01 | 2015-01-01 | Applied Materials, Inc. | Reduced zinc showerhead |
US9677176B2 (en) | 2013-07-03 | 2017-06-13 | Novellus Systems, Inc. | Multi-plenum, dual-temperature showerhead |
US9698454B1 (en) * | 2013-07-09 | 2017-07-04 | Calabazas Creek Research, Inc. | High power RF window deposition apparatus, method, and device |
US9837250B2 (en) * | 2013-08-30 | 2017-12-05 | Applied Materials, Inc. | Hot wall reactor with cooled vacuum containment |
US9240412B2 (en) | 2013-09-27 | 2016-01-19 | Asm Ip Holding B.V. | Semiconductor structure and device and methods of forming same using selective epitaxial process |
US9657397B2 (en) * | 2013-12-31 | 2017-05-23 | Lam Research Ag | Apparatus for treating surfaces of wafer-shaped articles |
US9597701B2 (en) * | 2013-12-31 | 2017-03-21 | Lam Research Ag | Apparatus for treating surfaces of wafer-shaped articles |
US10683571B2 (en) * | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
US9741575B2 (en) * | 2014-03-10 | 2017-08-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | CVD apparatus with gas delivery ring |
US10167557B2 (en) | 2014-03-18 | 2019-01-01 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
US9177858B1 (en) | 2014-05-08 | 2015-11-03 | GlobalFoundries, Inc. | Methods for fabricating integrated circuits including barrier layers for interconnect structures |
KR101576637B1 (ko) * | 2014-07-15 | 2015-12-10 | 주식회사 유진테크 | 고종횡비를 가지는 오목부 상에 절연막을 증착하는 방법 |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US20160033070A1 (en) * | 2014-08-01 | 2016-02-04 | Applied Materials, Inc. | Recursive pumping member |
US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
US9657845B2 (en) | 2014-10-07 | 2017-05-23 | Asm Ip Holding B.V. | Variable conductance gas distribution apparatus and method |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US9920844B2 (en) | 2014-11-26 | 2018-03-20 | Lam Research Corporation | Valve manifold deadleg elimination via reentrant flow path |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US9631276B2 (en) * | 2014-11-26 | 2017-04-25 | Lam Research Corporation | Systems and methods enabling low defect processing via controlled separation and delivery of chemicals during atomic layer deposition |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
KR102263121B1 (ko) | 2014-12-22 | 2021-06-09 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 및 그 제조 방법 |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US10529542B2 (en) | 2015-03-11 | 2020-01-07 | Asm Ip Holdings B.V. | Cross-flow reactor and method |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US10023959B2 (en) | 2015-05-26 | 2018-07-17 | Lam Research Corporation | Anti-transient showerhead |
US20160362782A1 (en) * | 2015-06-15 | 2016-12-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gas dispenser and deposition apparatus using the same |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
US10083836B2 (en) | 2015-07-24 | 2018-09-25 | Asm Ip Holding B.V. | Formation of boron-doped titanium metal films with high work function |
US10745808B2 (en) * | 2015-07-24 | 2020-08-18 | Versum Materials Us, Llc | Methods for depositing Group 13 metal or metalloid nitride films |
JP6485270B2 (ja) * | 2015-07-28 | 2019-03-20 | 三菱マテリアル株式会社 | プラズマ処理装置用電極板 |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
US9960072B2 (en) | 2015-09-29 | 2018-05-01 | Asm Ip Holding B.V. | Variable adjustment for precise matching of multiple chamber cavity housings |
CN105296955A (zh) * | 2015-10-16 | 2016-02-03 | 北京印刷学院 | 一种等离子体增强原子层沉积铜薄膜的方法 |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
KR102462931B1 (ko) | 2015-10-30 | 2022-11-04 | 삼성전자주식회사 | 가스 공급 유닛 및 기판 처리 장치 |
US10322384B2 (en) | 2015-11-09 | 2019-06-18 | Asm Ip Holding B.V. | Counter flow mixer for process chamber |
US10550010B2 (en) | 2015-12-11 | 2020-02-04 | Uchicago Argonne, Llc | Oleophilic foams for oil spill mitigation |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US10468251B2 (en) | 2016-02-19 | 2019-11-05 | Asm Ip Holding B.V. | Method for forming spacers using silicon nitride film for spacer-defined multiple patterning |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10501866B2 (en) | 2016-03-09 | 2019-12-10 | Asm Ip Holding B.V. | Gas distribution apparatus for improved film uniformity in an epitaxial system |
US9758868B1 (en) | 2016-03-10 | 2017-09-12 | Lam Research Corporation | Plasma suppression behind a showerhead through the use of increased pressure |
US10571430B2 (en) | 2016-03-14 | 2020-02-25 | Veeco Instruments Inc. | Gas concentration sensors and systems |
US10343920B2 (en) | 2016-03-18 | 2019-07-09 | Asm Ip Holding B.V. | Aligned carbon nanotubes |
US9892913B2 (en) | 2016-03-24 | 2018-02-13 | Asm Ip Holding B.V. | Radial and thickness control via biased multi-port injection settings |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
US10032628B2 (en) | 2016-05-02 | 2018-07-24 | Asm Ip Holding B.V. | Source/drain performance through conformal solid state doping |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
KR102592471B1 (ko) | 2016-05-17 | 2023-10-20 | 에이에스엠 아이피 홀딩 비.브이. | 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법 |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
US10662527B2 (en) | 2016-06-01 | 2020-05-26 | Asm Ip Holding B.V. | Manifolds for uniform vapor deposition |
KR102553629B1 (ko) * | 2016-06-17 | 2023-07-11 | 삼성전자주식회사 | 플라즈마 처리 장치 |
US10388509B2 (en) | 2016-06-28 | 2019-08-20 | Asm Ip Holding B.V. | Formation of epitaxial layers via dislocation filtering |
US10838437B2 (en) | 2018-02-22 | 2020-11-17 | Ichor Systems, Inc. | Apparatus for splitting flow of process gas and method of operating same |
US10303189B2 (en) | 2016-06-30 | 2019-05-28 | Reno Technologies, Inc. | Flow control system, method, and apparatus |
US10679880B2 (en) | 2016-09-27 | 2020-06-09 | Ichor Systems, Inc. | Method of achieving improved transient response in apparatus for controlling flow and system for accomplishing same |
US11144075B2 (en) | 2016-06-30 | 2021-10-12 | Ichor Systems, Inc. | Flow control system, method, and apparatus |
WO2018009882A1 (en) | 2016-07-08 | 2018-01-11 | Uchicago Argonne, Llc | Functionalized foams |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US9859157B1 (en) | 2016-07-14 | 2018-01-02 | International Business Machines Corporation | Method for forming improved liner layer and semiconductor device including the same |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
KR102354490B1 (ko) | 2016-07-27 | 2022-01-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US10395919B2 (en) | 2016-07-28 | 2019-08-27 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
KR102532607B1 (ko) | 2016-07-28 | 2023-05-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 가공 장치 및 그 동작 방법 |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US10546729B2 (en) * | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US10410943B2 (en) | 2016-10-13 | 2019-09-10 | Asm Ip Holding B.V. | Method for passivating a surface of a semiconductor and related systems |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10435790B2 (en) | 2016-11-01 | 2019-10-08 | Asm Ip Holding B.V. | Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap |
US10643904B2 (en) | 2016-11-01 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for forming a semiconductor device and related semiconductor device structures |
US10134757B2 (en) | 2016-11-07 | 2018-11-20 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
US9962672B1 (en) | 2016-11-09 | 2018-05-08 | Rec Silicon Inc | Reactor component placement inside liner wall |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
KR102546317B1 (ko) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
US10340135B2 (en) | 2016-11-28 | 2019-07-02 | Asm Ip Holding B.V. | Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride |
KR20180068582A (ko) | 2016-12-14 | 2018-06-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10604841B2 (en) | 2016-12-14 | 2020-03-31 | Lam Research Corporation | Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
KR20180070971A (ko) | 2016-12-19 | 2018-06-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11694911B2 (en) * | 2016-12-20 | 2023-07-04 | Lam Research Corporation | Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10663337B2 (en) | 2016-12-30 | 2020-05-26 | Ichor Systems, Inc. | Apparatus for controlling flow and method of calibrating same |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
TWI649446B (zh) * | 2017-03-15 | 2019-02-01 | 漢民科技股份有限公司 | 應用於半導體設備之可拆卸式噴氣裝置 |
US10283353B2 (en) | 2017-03-29 | 2019-05-07 | Asm Ip Holding B.V. | Method of reforming insulating film deposited on substrate with recess pattern |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
KR102457289B1 (ko) | 2017-04-25 | 2022-10-21 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
JP6937604B2 (ja) * | 2017-04-26 | 2021-09-22 | 東京エレクトロン株式会社 | タングステン膜を形成する方法 |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US10446393B2 (en) | 2017-05-08 | 2019-10-15 | Asm Ip Holding B.V. | Methods for forming silicon-containing epitaxial layers and related semiconductor device structures |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US10504742B2 (en) | 2017-05-31 | 2019-12-10 | Asm Ip Holding B.V. | Method of atomic layer etching using hydrogen plasma |
US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
TW201908511A (zh) * | 2017-07-13 | 2019-03-01 | 美商應用材料股份有限公司 | 用於沉積鎢成核層的方法及設備 |
KR20190009245A (ko) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물 |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US10605530B2 (en) | 2017-07-26 | 2020-03-31 | Asm Ip Holding B.V. | Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace |
US10312055B2 (en) | 2017-07-26 | 2019-06-04 | Asm Ip Holding B.V. | Method of depositing film by PEALD using negative bias |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
US20190048467A1 (en) * | 2017-08-10 | 2019-02-14 | Applied Materials, Inc. | Showerhead and process chamber incorporating same |
US11896935B2 (en) * | 2017-08-17 | 2024-02-13 | Uchicago Argonne, Llc | Filtration membranes |
US10236177B1 (en) | 2017-08-22 | 2019-03-19 | ASM IP Holding B.V.. | Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures |
CN109427578A (zh) * | 2017-08-24 | 2019-03-05 | 中芯国际集成电路制造(上海)有限公司 | 半导体结构及其形成方法 |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
KR102491945B1 (ko) | 2017-08-30 | 2023-01-26 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
KR102401446B1 (ko) | 2017-08-31 | 2022-05-24 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10607895B2 (en) | 2017-09-18 | 2020-03-31 | Asm Ip Holdings B.V. | Method for forming a semiconductor device structure comprising a gate fill metal |
KR102630301B1 (ko) | 2017-09-21 | 2024-01-29 | 에이에스엠 아이피 홀딩 비.브이. | 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치 |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
US10529543B2 (en) * | 2017-11-15 | 2020-01-07 | Taiwan Semiconductor Manufacturing Co., Ltd. | Etch process with rotatable shower head |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
KR102443047B1 (ko) | 2017-11-16 | 2022-09-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 방법 및 그에 의해 제조된 장치 |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
TWI779134B (zh) | 2017-11-27 | 2022-10-01 | 荷蘭商Asm智慧財產控股私人有限公司 | 用於儲存晶圓匣的儲存裝置及批爐總成 |
JP7206265B2 (ja) | 2017-11-27 | 2023-01-17 | エーエスエム アイピー ホールディング ビー.ブイ. | クリーン・ミニエンバイロメントを備える装置 |
KR101943375B1 (ko) * | 2017-11-30 | 2019-01-30 | 주식회사 원익아이피에스 | 가스분사장치 및 기판 처리 장치 |
US10290508B1 (en) | 2017-12-05 | 2019-05-14 | Asm Ip Holding B.V. | Method for forming vertical spacers for spacer-defined patterning |
WO2019113478A1 (en) | 2017-12-08 | 2019-06-13 | Lam Research Corporation | Integrated showerhead with improved hole pattern for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US11149350B2 (en) * | 2018-01-10 | 2021-10-19 | Asm Ip Holding B.V. | Shower plate structure for supplying carrier and dry gas |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
CN111630203A (zh) | 2018-01-19 | 2020-09-04 | Asm Ip私人控股有限公司 | 通过等离子体辅助沉积来沉积间隙填充层的方法 |
TWI799494B (zh) | 2018-01-19 | 2023-04-21 | 荷蘭商Asm 智慧財產控股公司 | 沈積方法 |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US10535516B2 (en) | 2018-02-01 | 2020-01-14 | Asm Ip Holdings B.V. | Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
KR102657269B1 (ko) | 2018-02-14 | 2024-04-16 | 에이에스엠 아이피 홀딩 비.브이. | 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법 |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
KR102636427B1 (ko) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 장치 |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
TWI716818B (zh) | 2018-02-28 | 2021-01-21 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
KR102646467B1 (ko) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조 |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US10510536B2 (en) | 2018-03-29 | 2019-12-17 | Asm Ip Holding B.V. | Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber |
KR102501472B1 (ko) | 2018-03-30 | 2023-02-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US11661654B2 (en) | 2018-04-18 | 2023-05-30 | Lam Research Corporation | Substrate processing systems including gas delivery system with reduced dead legs |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
KR20190128558A (ko) | 2018-05-08 | 2019-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조 |
US12025484B2 (en) | 2018-05-08 | 2024-07-02 | Asm Ip Holding B.V. | Thin film forming method |
KR20190129718A (ko) | 2018-05-11 | 2019-11-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조 |
US12012559B2 (en) | 2018-05-11 | 2024-06-18 | Uchicago Argonne, Llc | Janus membranes via atomic layer deposition |
KR102596988B1 (ko) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 그에 의해 제조된 장치 |
US11590456B2 (en) | 2018-05-31 | 2023-02-28 | Uchicago Argonne, Llc | Systems and methods for oleophobic composite membranes |
CN108807127B (zh) * | 2018-06-01 | 2020-03-31 | 北京北方华创微电子装备有限公司 | 上电极组件、反应腔室以及原子层沉积设备 |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
US11270899B2 (en) | 2018-06-04 | 2022-03-08 | Asm Ip Holding B.V. | Wafer handling chamber with moisture reduction |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
KR102568797B1 (ko) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 시스템 |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
TWI819010B (zh) | 2018-06-27 | 2023-10-21 | 荷蘭商Asm Ip私人控股有限公司 | 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法 |
WO2020003000A1 (en) | 2018-06-27 | 2020-01-02 | Asm Ip Holding B.V. | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
TWI751420B (zh) | 2018-06-29 | 2022-01-01 | 荷蘭商Asm知識產權私人控股有限公司 | 薄膜沉積方法 |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
US10483099B1 (en) | 2018-07-26 | 2019-11-19 | Asm Ip Holding B.V. | Method for forming thermally stable organosilicon polymer film |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US11351478B2 (en) | 2018-09-06 | 2022-06-07 | Uchicago Argonne, Llc | Oil skimmer with oleophilic coating |
KR20200030162A (ko) | 2018-09-11 | 2020-03-20 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
CN110970344A (zh) | 2018-10-01 | 2020-04-07 | Asm Ip控股有限公司 | 衬底保持设备、包含所述设备的***及其使用方法 |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102592699B1 (ko) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치 |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
KR102605121B1 (ko) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
KR102546322B1 (ko) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US10381219B1 (en) | 2018-10-25 | 2019-08-13 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR20200051105A (ko) | 2018-11-02 | 2020-05-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 기판 처리 장치 |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
KR102636428B1 (ko) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치를 세정하는 방법 |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
JP7504584B2 (ja) | 2018-12-14 | 2024-06-24 | エーエスエム・アイピー・ホールディング・ベー・フェー | 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム |
KR102610827B1 (ko) * | 2018-12-20 | 2023-12-07 | 어플라이드 머티어리얼스, 인코포레이티드 | 개선된 가스 유동을 처리 챔버의 처리 용적에 공급하기 위한 방법 및 장치 |
US11721527B2 (en) * | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
TWI819180B (zh) | 2019-01-17 | 2023-10-21 | 荷蘭商Asm 智慧財產控股公司 | 藉由循環沈積製程於基板上形成含過渡金屬膜之方法 |
KR20200091543A (ko) | 2019-01-22 | 2020-07-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
CN111524788B (zh) | 2019-02-01 | 2023-11-24 | Asm Ip私人控股有限公司 | 氧化硅的拓扑选择性膜形成的方法 |
JP2020136678A (ja) | 2019-02-20 | 2020-08-31 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基材表面内に形成された凹部を充填するための方法および装置 |
US11482533B2 (en) | 2019-02-20 | 2022-10-25 | Asm Ip Holding B.V. | Apparatus and methods for plug fill deposition in 3-D NAND applications |
KR102626263B1 (ko) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치 |
JP7509548B2 (ja) | 2019-02-20 | 2024-07-02 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基材表面内に形成された凹部を充填するための周期的堆積方法および装置 |
JP2020133004A (ja) | 2019-02-22 | 2020-08-31 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基材を処理するための基材処理装置および方法 |
KR20200108242A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체 |
KR20200108248A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOCN 층을 포함한 구조체 및 이의 형성 방법 |
KR20200108243A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOC 층을 포함한 구조체 및 이의 형성 방법 |
US11492701B2 (en) | 2019-03-19 | 2022-11-08 | Asm Ip Holding B.V. | Reactor manifolds |
JP2020167398A (ja) | 2019-03-28 | 2020-10-08 | エーエスエム・アイピー・ホールディング・ベー・フェー | ドアオープナーおよびドアオープナーが提供される基材処理装置 |
KR20200116855A (ko) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자를 제조하는 방법 |
KR20200123380A (ko) | 2019-04-19 | 2020-10-29 | 에이에스엠 아이피 홀딩 비.브이. | 층 형성 방법 및 장치 |
US11548798B2 (en) | 2019-04-23 | 2023-01-10 | Uchicago Argonne, Llc | Compressible foam electrode |
KR20200125453A (ko) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 기상 반응기 시스템 및 이를 사용하는 방법 |
KR20200130121A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 딥 튜브가 있는 화학물질 공급원 용기 |
KR20200130118A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 비정질 탄소 중합체 막을 개질하는 방법 |
KR20200130652A (ko) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조 |
JP2020188254A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
JP2020188255A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
KR20200141002A (ko) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법 |
KR20200143254A (ko) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조 |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
KR20210005515A (ko) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법 |
JP7499079B2 (ja) | 2019-07-09 | 2024-06-13 | エーエスエム・アイピー・ホールディング・ベー・フェー | 同軸導波管を用いたプラズマ装置、基板処理方法 |
CN112216646A (zh) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | 基板支撑组件及包括其的基板处理装置 |
KR20210010307A (ko) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210010816A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 라디칼 보조 점화 플라즈마 시스템 및 방법 |
KR20210010820A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 게르마늄 구조를 형성하는 방법 |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
TWI839544B (zh) | 2019-07-19 | 2024-04-21 | 荷蘭商Asm Ip私人控股有限公司 | 形成形貌受控的非晶碳聚合物膜之方法 |
TW202113936A (zh) | 2019-07-29 | 2021-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法 |
CN112309899A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112309900A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
KR20210018759A (ko) | 2019-08-05 | 2021-02-18 | 에이에스엠 아이피 홀딩 비.브이. | 화학물질 공급원 용기를 위한 액체 레벨 센서 |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
JP2021031769A (ja) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | 成膜原料混合ガス生成装置及び成膜装置 |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
USD949319S1 (en) * | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
KR20210024423A (ko) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 홀을 구비한 구조체를 형성하기 위한 방법 |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
US11859284B2 (en) * | 2019-08-23 | 2024-01-02 | Taiwan Semiconductor Manufacturing Company Ltd. | Shower head structure and plasma processing apparatus using the same |
KR20210024420A (ko) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법 |
KR20210029090A (ko) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | 희생 캡핑 층을 이용한 선택적 증착 방법 |
KR20210029663A (ko) | 2019-09-05 | 2021-03-16 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN112593212B (zh) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法 |
CN112635282A (zh) | 2019-10-08 | 2021-04-09 | Asm Ip私人控股有限公司 | 具有连接板的基板处理装置、基板处理方法 |
KR20210042810A (ko) | 2019-10-08 | 2021-04-20 | 에이에스엠 아이피 홀딩 비.브이. | 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법 |
KR102359908B1 (ko) * | 2019-10-08 | 2022-02-09 | 주식회사 유진테크 | 박막 증착장치 및 박막 증착방법 |
KR20210043460A (ko) | 2019-10-10 | 2021-04-21 | 에이에스엠 아이피 홀딩 비.브이. | 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체 |
US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
TWI834919B (zh) | 2019-10-16 | 2024-03-11 | 荷蘭商Asm Ip私人控股有限公司 | 氧化矽之拓撲選擇性膜形成之方法 |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (ko) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | 막을 선택적으로 에칭하기 위한 장치 및 방법 |
KR20210048408A (ko) | 2019-10-22 | 2021-05-03 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 증착 반응기 매니폴드 |
KR20210050453A (ko) | 2019-10-25 | 2021-05-07 | 에이에스엠 아이피 홀딩 비.브이. | 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
US11587802B2 (en) * | 2019-10-30 | 2023-02-21 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor fabrication tool having gas manifold assembled by jig |
KR20210054983A (ko) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템 |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (ko) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템 |
US11450529B2 (en) | 2019-11-26 | 2022-09-20 | Asm Ip Holding B.V. | Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface |
CN112951697A (zh) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885693A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885692A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
JP2021090042A (ja) | 2019-12-02 | 2021-06-10 | エーエスエム アイピー ホールディング ビー.ブイ. | 基板処理装置、基板処理方法 |
KR20210070898A (ko) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
CN112992667A (zh) | 2019-12-17 | 2021-06-18 | Asm Ip私人控股有限公司 | 形成氮化钒层的方法和包括氮化钒层的结构 |
US11527403B2 (en) | 2019-12-19 | 2022-12-13 | Asm Ip Holding B.V. | Methods for filling a gap feature on a substrate surface and related semiconductor structures |
TW202140135A (zh) | 2020-01-06 | 2021-11-01 | 荷蘭商Asm Ip私人控股有限公司 | 氣體供應總成以及閥板總成 |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
KR102675856B1 (ko) | 2020-01-20 | 2024-06-17 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 및 박막 표면 개질 방법 |
US20210238746A1 (en) | 2020-02-03 | 2021-08-05 | Applied Materials, Inc. | Showerhead assembly |
TW202130846A (zh) | 2020-02-03 | 2021-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成包括釩或銦層的結構之方法 |
KR20210100010A (ko) | 2020-02-04 | 2021-08-13 | 에이에스엠 아이피 홀딩 비.브이. | 대형 물품의 투과율 측정을 위한 방법 및 장치 |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
TW202146715A (zh) | 2020-02-17 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於生長磷摻雜矽層之方法及其系統 |
TW202203344A (zh) | 2020-02-28 | 2022-01-16 | 荷蘭商Asm Ip控股公司 | 專用於零件清潔的系統 |
KR20210116249A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법 |
KR20210116240A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 조절성 접합부를 갖는 기판 핸들링 장치 |
CN113394086A (zh) | 2020-03-12 | 2021-09-14 | Asm Ip私人控股有限公司 | 用于制造具有目标拓扑轮廓的层结构的方法 |
KR20210124042A (ko) | 2020-04-02 | 2021-10-14 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 |
TW202146689A (zh) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | 阻障層形成方法及半導體裝置的製造方法 |
TW202145344A (zh) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於選擇性蝕刻氧化矽膜之設備及方法 |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
KR20210132600A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템 |
TW202146831A (zh) | 2020-04-24 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法 |
TW202140831A (zh) | 2020-04-24 | 2021-11-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含氮化釩層及包含該層的結構之方法 |
KR20210134226A (ko) | 2020-04-29 | 2021-11-09 | 에이에스엠 아이피 홀딩 비.브이. | 고체 소스 전구체 용기 |
KR20210134869A (ko) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Foup 핸들러를 이용한 foup의 빠른 교환 |
US11646226B2 (en) * | 2020-05-11 | 2023-05-09 | Applied Materials, Inc. | Method of tuning film properties of metal nitride using plasma |
KR20210141379A (ko) | 2020-05-13 | 2021-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 레이저 정렬 고정구 |
TW202147383A (zh) | 2020-05-19 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備 |
KR20210145078A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법 |
TW202200837A (zh) | 2020-05-22 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基材上形成薄膜之反應系統 |
TW202201602A (zh) | 2020-05-29 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
US20210395883A1 (en) * | 2020-06-22 | 2021-12-23 | Tokyo Electron Limited | System and Method for Thermally Cracking Ammonia |
TW202218133A (zh) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含矽層之方法 |
TW202217953A (zh) | 2020-06-30 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
KR20220006455A (ko) | 2020-07-08 | 2022-01-17 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
JP7071445B2 (ja) * | 2020-07-13 | 2022-05-19 | アプライド マテリアルズ インコーポレイテッド | 半導体処理チャンバマルチステージミキシング装置 |
TW202219628A (zh) | 2020-07-17 | 2022-05-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於光微影之結構與方法 |
TW202204662A (zh) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於沉積鉬層之方法及系統 |
KR20220027026A (ko) | 2020-08-26 | 2022-03-07 | 에이에스엠 아이피 홀딩 비.브이. | 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템 |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
TW202229613A (zh) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 於階梯式結構上沉積材料的方法 |
US20220122819A1 (en) * | 2020-10-15 | 2022-04-21 | Applied Materials, Inc. | Semiconductor chamber components for back diffusion control |
TW202217037A (zh) | 2020-10-22 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 沉積釩金屬的方法、結構、裝置及沉積總成 |
TW202223136A (zh) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基板上形成層之方法、及半導體處理系統 |
TW202235649A (zh) | 2020-11-24 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 填充間隙之方法與相關之系統及裝置 |
KR20220076343A (ko) | 2020-11-30 | 2022-06-08 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터 |
CN114639631A (zh) | 2020-12-16 | 2022-06-17 | Asm Ip私人控股有限公司 | 跳动和摆动测量固定装置 |
TW202231903A (zh) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成 |
WO2022186971A1 (en) | 2021-03-03 | 2022-09-09 | Ichor Systems, Inc. | Fluid flow control system comprising a manifold assembly |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
US20230175118A1 (en) * | 2021-12-06 | 2023-06-08 | The Regents Of The University Of California, A California | Methods of forming low resistivity titanium nitride thin film in horizontal vias and related devices |
CN114990528B (zh) * | 2022-05-16 | 2023-11-03 | 武汉理工大学 | 一种改善cvd设备腔内温度场的装置及方法 |
Citations (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2004247538A (ja) * | 2003-02-14 | 2004-09-02 | Hitachi Kokusai Electric Inc | 基板処理装置 |
CN1696768A (zh) * | 2004-05-12 | 2005-11-16 | 应用材料股份有限公司 | 采用气体扩散板通道设计的等离子体均匀度控制 |
Family Cites Families (133)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US607976A (en) * | 1898-07-26 | Cooking-stove | ||
US3524630A (en) * | 1968-07-01 | 1970-08-18 | Texaco Development Corp | Scrubbing nozzle for removing unconverted carbon particles from gas |
US4612077A (en) * | 1985-07-29 | 1986-09-16 | The Perkin-Elmer Corporation | Electrode for plasma etching system |
JPS6245029A (ja) * | 1985-08-22 | 1987-02-27 | Matsushita Electric Ind Co Ltd | 薄膜製造装置および薄膜除去装置 |
US4911102A (en) * | 1987-01-31 | 1990-03-27 | Toyoda Gosei Co., Ltd. | Process of vapor growth of gallium nitride and its apparatus |
JPS63227011A (ja) * | 1987-03-17 | 1988-09-21 | Fujitsu Ltd | 化学気相成長装置 |
US4780169A (en) * | 1987-05-11 | 1988-10-25 | Tegal Corporation | Non-uniform gas inlet for dry etching apparatus |
JPH01149964A (ja) | 1987-12-04 | 1989-06-13 | Furukawa Electric Co Ltd:The | プラズマcvd装置用シャワー電極 |
US5229081A (en) * | 1988-02-12 | 1993-07-20 | Regal Joint Co., Ltd. | Apparatus for semiconductor process including photo-excitation process |
JPH0387372A (ja) * | 1988-07-22 | 1991-04-12 | Canon Inc | 堆積膜形成方法 |
JPH02198138A (ja) * | 1989-01-27 | 1990-08-06 | Nec Corp | 平行平板型ドライエッチング装置の電極板 |
CA2016970A1 (en) * | 1990-05-16 | 1991-11-16 | Prasad N. Gadgil | Inverted diffusion stagnation point flow reactor for vapor deposition of thin films |
US5225366A (en) * | 1990-06-22 | 1993-07-06 | The United States Of America As Represented By The Secretary Of The Navy | Apparatus for and a method of growing thin films of elemental semiconductors |
JPH06204181A (ja) * | 1992-12-29 | 1994-07-22 | Ibiden Co Ltd | プラズマエッチング用電極板 |
US5453124A (en) * | 1992-12-30 | 1995-09-26 | Texas Instruments Incorporated | Programmable multizone gas injector for single-wafer semiconductor processing equipment |
JPH08306632A (ja) * | 1995-04-27 | 1996-11-22 | Shin Etsu Handotai Co Ltd | 気相エピタキシャル成長装置 |
US5846332A (en) | 1996-07-12 | 1998-12-08 | Applied Materials, Inc. | Thermally floating pedestal collar in a chemical vapor deposition chamber |
AUPO129096A0 (en) * | 1996-07-26 | 1996-08-22 | Boc Gases Australia Limited | Oxygen dissolver for pipelines or pipe outlets |
US5951771A (en) * | 1996-09-30 | 1999-09-14 | Celestech, Inc. | Plasma jet system |
US6444037B1 (en) * | 1996-11-13 | 2002-09-03 | Applied Materials, Inc. | Chamber liner for high temperature processing chamber |
US5935334A (en) * | 1996-11-13 | 1999-08-10 | Applied Materials, Inc. | Substrate processing apparatus with bottom-mounted remote plasma system |
US5879574A (en) * | 1996-11-13 | 1999-03-09 | Applied Materials, Inc. | Systems and methods for detecting end of chamber clean in a thermal (non-plasma) process |
US5873781A (en) * | 1996-11-14 | 1999-02-23 | Bally Gaming International, Inc. | Gaming machine having truly random results |
EP0854210B1 (en) * | 1996-12-19 | 2002-03-27 | Toshiba Ceramics Co., Ltd. | Vapor deposition apparatus for forming thin film |
US5887117A (en) * | 1997-01-02 | 1999-03-23 | Sharp Kabushiki Kaisha | Flash evaporator |
US5902404A (en) * | 1997-03-04 | 1999-05-11 | Applied Materials, Inc. | Resonant chamber applicator for remote plasma source |
US6029602A (en) * | 1997-04-22 | 2000-02-29 | Applied Materials, Inc. | Apparatus and method for efficient and compact remote microwave plasma generation |
US5846330A (en) * | 1997-06-26 | 1998-12-08 | Celestech, Inc. | Gas injection disc assembly for CVD applications |
US6024799A (en) * | 1997-07-11 | 2000-02-15 | Applied Materials, Inc. | Chemical vapor deposition manifold |
US6135053A (en) * | 1997-07-16 | 2000-10-24 | Canon Kabushiki Kaisha | Apparatus for forming a deposited film by plasma chemical vapor deposition |
US6258170B1 (en) * | 1997-09-11 | 2001-07-10 | Applied Materials, Inc. | Vaporization and deposition apparatus |
US6106625A (en) | 1997-12-02 | 2000-08-22 | Applied Materials, Inc. | Reactor useful for chemical vapor deposition of titanium nitride |
US6079356A (en) | 1997-12-02 | 2000-06-27 | Applied Materials, Inc. | Reactor optimized for chemical vapor deposition of titanium |
US6050506A (en) * | 1998-02-13 | 2000-04-18 | Applied Materials, Inc. | Pattern of apertures in a showerhead for chemical vapor deposition |
US6305314B1 (en) * | 1999-03-11 | 2001-10-23 | Genvs, Inc. | Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition |
US6245192B1 (en) * | 1999-06-30 | 2001-06-12 | Lam Research Corporation | Gas distribution apparatus for semiconductor processing |
KR20010035563A (ko) | 1999-10-01 | 2001-05-07 | 윤종용 | 반도체 소자 제조용 플라즈마 식각 장치 |
KR100733237B1 (ko) * | 1999-10-13 | 2007-06-27 | 동경 엘렉트론 주식회사 | 처리 장치 |
US6521046B2 (en) * | 2000-02-04 | 2003-02-18 | Kabushiki Kaisha Kobe Seiko Sho | Chamber material made of Al alloy and heater block |
US6444039B1 (en) | 2000-03-07 | 2002-09-03 | Simplus Systems Corporation | Three-dimensional showerhead apparatus |
US6329297B1 (en) * | 2000-04-21 | 2001-12-11 | Applied Materials, Inc. | Dilute remote plasma clean |
US6603269B1 (en) * | 2000-06-13 | 2003-08-05 | Applied Materials, Inc. | Resonant chamber applicator for remote plasma source |
JP3578398B2 (ja) | 2000-06-22 | 2004-10-20 | 古河スカイ株式会社 | 成膜用ガス分散プレート及びその製造方法 |
US6506254B1 (en) * | 2000-06-30 | 2003-01-14 | Lam Research Corporation | Semiconductor processing equipment having improved particle performance |
US6450117B1 (en) * | 2000-08-07 | 2002-09-17 | Applied Materials, Inc. | Directing a flow of gas in a substrate processing chamber |
US6428847B1 (en) * | 2000-10-16 | 2002-08-06 | Primaxx, Inc. | Vortex based CVD reactor |
US20040028810A1 (en) * | 2000-10-16 | 2004-02-12 | Primaxx, Inc. | Chemical vapor deposition reactor and method for utilizing vapor vortex |
US6498091B1 (en) | 2000-11-01 | 2002-12-24 | Applied Materials, Inc. | Method of using a barrier sputter reactor to remove an underlying barrier layer |
JP2007184611A (ja) * | 2001-01-22 | 2007-07-19 | Tokyo Electron Ltd | プラズマ処理装置およびプラズマ処理方法 |
WO2002058125A1 (fr) | 2001-01-22 | 2002-07-25 | Tokyo Electron Limited | Dispositif et procede de traitement au plasma |
US6878206B2 (en) | 2001-07-16 | 2005-04-12 | Applied Materials, Inc. | Lid assembly for a processing system to facilitate sequential deposition techniques |
US20030019428A1 (en) * | 2001-04-28 | 2003-01-30 | Applied Materials, Inc. | Chemical vapor deposition chamber |
US6596643B2 (en) | 2001-05-07 | 2003-07-22 | Applied Materials, Inc. | CVD TiSiN barrier for copper integration |
US6849545B2 (en) | 2001-06-20 | 2005-02-01 | Applied Materials, Inc. | System and method to form a composite film stack utilizing sequential deposition techniques |
WO2003030224A2 (en) * | 2001-07-25 | 2003-04-10 | Applied Materials, Inc. | Barrier formation using novel sputter-deposition method |
US6756318B2 (en) * | 2001-09-10 | 2004-06-29 | Tegal Corporation | Nanolayer thick film processing system and method |
US20030049931A1 (en) | 2001-09-19 | 2003-03-13 | Applied Materials, Inc. | Formation of refractory metal nitrides using chemisorption techniques |
US6607976B2 (en) | 2001-09-25 | 2003-08-19 | Applied Materials, Inc. | Copper interconnect barrier layer structure and formation method |
US7049226B2 (en) * | 2001-09-26 | 2006-05-23 | Applied Materials, Inc. | Integration of ALD tantalum nitride for copper metallization |
US20030072884A1 (en) | 2001-10-15 | 2003-04-17 | Applied Materials, Inc. | Method of titanium and titanium nitride layer deposition |
US7780785B2 (en) * | 2001-10-26 | 2010-08-24 | Applied Materials, Inc. | Gas delivery apparatus for atomic layer deposition |
US20080102203A1 (en) * | 2001-10-26 | 2008-05-01 | Dien-Yeh Wu | Vortex chamber lids for atomic layer deposition |
US6916398B2 (en) | 2001-10-26 | 2005-07-12 | Applied Materials, Inc. | Gas delivery apparatus and method for atomic layer deposition |
US7780789B2 (en) * | 2001-10-26 | 2010-08-24 | Applied Materials, Inc. | Vortex chamber lids for atomic layer deposition |
US20080102208A1 (en) * | 2001-10-26 | 2008-05-01 | Dien-Yeh Wu | Vortex chamber lids for atomic layer deposition |
US7017514B1 (en) * | 2001-12-03 | 2006-03-28 | Novellus Systems, Inc. | Method and apparatus for plasma optimization in water processing |
US6773507B2 (en) * | 2001-12-06 | 2004-08-10 | Applied Materials, Inc. | Apparatus and method for fast-cycle atomic layer deposition |
US7081271B2 (en) * | 2001-12-07 | 2006-07-25 | Applied Materials, Inc. | Cyclical deposition of refractory metal silicon nitride |
US20030116087A1 (en) | 2001-12-21 | 2003-06-26 | Nguyen Anh N. | Chamber hardware design for titanium nitride atomic layer deposition |
US6986471B1 (en) * | 2002-01-08 | 2006-01-17 | Flame Spray Industries, Inc. | Rotary plasma spray method and apparatus for applying a coating utilizing particle kinetics |
US6793733B2 (en) * | 2002-01-25 | 2004-09-21 | Applied Materials Inc. | Gas distribution showerhead |
US6911391B2 (en) | 2002-01-26 | 2005-06-28 | Applied Materials, Inc. | Integration of titanium and titanium nitride layers |
US6866746B2 (en) * | 2002-01-26 | 2005-03-15 | Applied Materials, Inc. | Clamshell and small volume chamber with fixed substrate support |
US6998014B2 (en) * | 2002-01-26 | 2006-02-14 | Applied Materials, Inc. | Apparatus and method for plasma assisted deposition |
US6767007B2 (en) * | 2002-03-25 | 2004-07-27 | Homer C. Luman | Direct injection contact apparatus for severe services |
US6720027B2 (en) | 2002-04-08 | 2004-04-13 | Applied Materials, Inc. | Cyclical deposition of a variable content titanium silicon nitride layer |
US6875271B2 (en) | 2002-04-09 | 2005-04-05 | Applied Materials, Inc. | Simultaneous cyclical deposition in different processing regions |
JP2003324072A (ja) * | 2002-05-07 | 2003-11-14 | Nec Electronics Corp | 半導体製造装置 |
US20030213560A1 (en) * | 2002-05-16 | 2003-11-20 | Yaxin Wang | Tandem wafer processing system and process |
US7041335B2 (en) * | 2002-06-04 | 2006-05-09 | Applied Materials, Inc. | Titanium tantalum nitride silicide layer |
US6821347B2 (en) | 2002-07-08 | 2004-11-23 | Micron Technology, Inc. | Apparatus and method for depositing materials onto microelectronic workpieces |
US7161110B2 (en) * | 2002-07-08 | 2007-01-09 | Czt, Inc. | Melting and vaporizing apparatus and method |
US6838125B2 (en) | 2002-07-10 | 2005-01-04 | Applied Materials, Inc. | Method of film deposition using activated precursor gases |
US20040009336A1 (en) | 2002-07-11 | 2004-01-15 | Applied Materials, Inc. | Titanium silicon nitride (TISIN) barrier layer for copper diffusion |
US20040013803A1 (en) | 2002-07-16 | 2004-01-22 | Applied Materials, Inc. | Formation of titanium nitride films using a cyclical deposition process |
US7066194B2 (en) * | 2002-07-19 | 2006-06-27 | Applied Materials, Inc. | Valve design and configuration for fast delivery system |
JP3725100B2 (ja) * | 2002-07-31 | 2005-12-07 | アプライド マテリアルズ インコーポレイテッド | 成膜方法 |
US6784096B2 (en) * | 2002-09-11 | 2004-08-31 | Applied Materials, Inc. | Methods and apparatus for forming barrier layers in high aspect ratio vias |
US20040069227A1 (en) * | 2002-10-09 | 2004-04-15 | Applied Materials, Inc. | Processing chamber configured for uniform gas flow |
EP1420080A3 (en) * | 2002-11-14 | 2005-11-09 | Applied Materials, Inc. | Apparatus and method for hybrid chemical deposition processes |
KR100500246B1 (ko) | 2003-04-09 | 2005-07-11 | 삼성전자주식회사 | 가스공급장치 |
JP2007523994A (ja) | 2003-06-18 | 2007-08-23 | アプライド マテリアルズ インコーポレイテッド | バリヤ物質の原子層堆積 |
US7132428B2 (en) | 2003-07-03 | 2006-11-07 | Aventis Pharmaceuticals Inc. | Pyrazoloisoquinoline derivative as kinase inhibitors for the treatment of various disorders |
JP4312063B2 (ja) * | 2004-01-21 | 2009-08-12 | 日本エー・エス・エム株式会社 | 薄膜製造装置及びその方法 |
US20050230350A1 (en) * | 2004-02-26 | 2005-10-20 | Applied Materials, Inc. | In-situ dry clean chamber for front end of line fabrication |
US8083853B2 (en) | 2004-05-12 | 2011-12-27 | Applied Materials, Inc. | Plasma uniformity control by gas diffuser hole design |
US20050252449A1 (en) * | 2004-05-12 | 2005-11-17 | Nguyen Son T | Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system |
US7622005B2 (en) * | 2004-05-26 | 2009-11-24 | Applied Materials, Inc. | Uniformity control for low flow process and chamber to chamber matching |
US7572337B2 (en) * | 2004-05-26 | 2009-08-11 | Applied Materials, Inc. | Blocker plate bypass to distribute gases in a chemical vapor deposition system |
US7582181B2 (en) * | 2004-09-30 | 2009-09-01 | Tokyo Electron Limited | Method and system for controlling a velocity field of a supercritical fluid in a processing system |
US20060130966A1 (en) * | 2004-12-20 | 2006-06-22 | Darko Babic | Method and system for flowing a supercritical fluid in a high pressure processing system |
US20060162661A1 (en) * | 2005-01-22 | 2006-07-27 | Applied Materials, Inc. | Mixing energized and non-energized gases for silicon nitride deposition |
US7265048B2 (en) * | 2005-03-01 | 2007-09-04 | Applied Materials, Inc. | Reduction of copper dewetting by transition metal deposition |
TWI331770B (en) * | 2005-11-04 | 2010-10-11 | Applied Materials Inc | Apparatus for plasma-enhanced atomic layer deposition |
WO2007075509A2 (en) * | 2005-12-23 | 2007-07-05 | Mks Instruments, Inc. | Methods and apparatus for downstream dissociation of gases |
US20070221129A1 (en) * | 2006-03-21 | 2007-09-27 | Atto Co., Ltd | Apparatus for depositing atomic layer using gas separation type showerhead |
US20070281106A1 (en) * | 2006-05-30 | 2007-12-06 | Applied Materials, Inc. | Process chamber for dielectric gapfill |
CN101326629B (zh) * | 2006-05-30 | 2011-05-25 | 应用材料股份有限公司 | 填充介电质间隙的制程室 |
US20070289534A1 (en) * | 2006-05-30 | 2007-12-20 | Applied Materials, Inc. | Process chamber for dielectric gapfill |
US20070277734A1 (en) * | 2006-05-30 | 2007-12-06 | Applied Materials, Inc. | Process chamber for dielectric gapfill |
US8100081B1 (en) * | 2006-06-30 | 2012-01-24 | Novellus Systems, Inc. | Edge removal of films using externally generated plasma species |
JP5157101B2 (ja) * | 2006-08-04 | 2013-03-06 | 東京エレクトロン株式会社 | ガス供給装置及び基板処理装置 |
US20080063798A1 (en) * | 2006-08-30 | 2008-03-13 | Kher Shreyas S | Precursors and hardware for cvd and ald |
US7521379B2 (en) | 2006-10-09 | 2009-04-21 | Applied Materials, Inc. | Deposition and densification process for titanium nitride barrier layers |
US8715455B2 (en) * | 2007-02-06 | 2014-05-06 | Tokyo Electron Limited | Multi-zone gas distribution system for a treatment system |
KR101119627B1 (ko) * | 2007-03-29 | 2012-03-07 | 도쿄엘렉트론가부시키가이샤 | 플라즈마 처리 장치 |
US7824743B2 (en) | 2007-09-28 | 2010-11-02 | Applied Materials, Inc. | Deposition processes for titanium nitride barrier and aluminum |
JP2009239082A (ja) * | 2008-03-27 | 2009-10-15 | Tokyo Electron Ltd | ガス供給装置、処理装置及び処理方法 |
US20090277587A1 (en) * | 2008-05-09 | 2009-11-12 | Applied Materials, Inc. | Flowable dielectric equipment and processes |
US8291857B2 (en) * | 2008-07-03 | 2012-10-23 | Applied Materials, Inc. | Apparatuses and methods for atomic layer deposition |
US8702867B2 (en) * | 2008-07-08 | 2014-04-22 | Jusung Engineering Co., Ltd. | Gas distribution plate and substrate treating apparatus including the same |
US8187381B2 (en) * | 2008-08-22 | 2012-05-29 | Applied Materials, Inc. | Process gas delivery for semiconductor process chamber |
JP5423205B2 (ja) * | 2008-08-29 | 2014-02-19 | 東京エレクトロン株式会社 | 成膜装置 |
US20100075499A1 (en) | 2008-09-19 | 2010-03-25 | Olsen Christopher S | Method and apparatus for metal silicide formation |
KR20110084275A (ko) | 2008-10-27 | 2011-07-21 | 어플라이드 머티어리얼스, 인코포레이티드 | 삼원 화합물의 기상 증착 방법 |
SI22923B (sl) * | 2008-12-01 | 2017-12-29 | Brinox, D.O.O. | Procesna naprava za oblaganje delcev |
WO2011031521A2 (en) * | 2009-08-27 | 2011-03-17 | Applied Materials, Inc. | Method of decontamination of process chamber after in-situ chamber clean |
EP2545197B1 (en) * | 2010-03-12 | 2020-12-16 | Applied Materials, Inc. | Atomic layer deposition chamber with multi inject |
US20130012030A1 (en) * | 2010-03-17 | 2013-01-10 | Applied Materials, Inc. | Method and apparatus for remote plasma source assisted silicon-containing film deposition |
US20110256692A1 (en) * | 2010-04-14 | 2011-10-20 | Applied Materials, Inc. | Multiple precursor concentric delivery showerhead |
US20120052216A1 (en) * | 2010-08-27 | 2012-03-01 | Applied Materials, Inc. | Gas distribution showerhead with high emissivity surface |
US8440571B2 (en) * | 2010-11-03 | 2013-05-14 | Applied Materials, Inc. | Methods for deposition of silicon carbide and silicon carbonitride films |
US20120180954A1 (en) * | 2011-01-18 | 2012-07-19 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
-
2009
- 2009-06-30 US US12/494,901 patent/US8291857B2/en active Active
- 2009-07-02 KR KR1020177022940A patent/KR101862334B1/ko active IP Right Grant
- 2009-07-02 CN CN200980126061XA patent/CN102084461B/zh active Active
- 2009-07-02 KR KR1020117002540A patent/KR101657050B1/ko active IP Right Grant
- 2009-07-02 JP JP2011516883A patent/JP5675605B2/ja active Active
- 2009-07-02 EP EP15164096.8A patent/EP2913842A3/en active Pending
- 2009-07-02 WO PCT/US2009/049578 patent/WO2010003093A2/en active Application Filing
- 2009-07-02 EP EP20090774542 patent/EP2304774B1/en active Active
- 2009-07-02 CN CN201310367635.0A patent/CN103531448B/zh active Active
- 2009-07-02 KR KR1020167024400A patent/KR101770725B1/ko active IP Right Grant
- 2009-07-02 KR KR1020157025534A patent/KR101611207B1/ko active IP Right Grant
-
2011
- 2011-09-14 US US13/232,317 patent/US8293015B2/en active Active
-
2012
- 2012-09-14 US US13/618,741 patent/US8747556B2/en active Active
- 2012-09-24 US US13/625,229 patent/US9017776B2/en active Active
-
2014
- 2014-09-26 JP JP2014196656A patent/JP5965955B2/ja active Active
Patent Citations (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2004247538A (ja) * | 2003-02-14 | 2004-09-02 | Hitachi Kokusai Electric Inc | 基板処理装置 |
CN1696768A (zh) * | 2004-05-12 | 2005-11-16 | 应用材料股份有限公司 | 采用气体扩散板通道设计的等离子体均匀度控制 |
Cited By (18)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN107058974A (zh) * | 2011-10-28 | 2017-08-18 | 应用材料公司 | 气体分散设备 |
CN103614705B (zh) * | 2013-11-19 | 2016-03-02 | 华中科技大学 | 一种用于大型非平整表面沉积的装置及方法 |
CN103614705A (zh) * | 2013-11-19 | 2014-03-05 | 华中科技大学 | 一种用于大型非平整表面沉积的装置及方法 |
TWI722871B (zh) * | 2015-04-22 | 2021-03-21 | 美商應用材料股份有限公司 | 用於基板處理腔室之蓋及蓋組件套組 |
CN107532297A (zh) * | 2015-04-22 | 2018-01-02 | 应用材料公司 | 具有漏斗状气体分散通道及气体分配板的原子层沉积腔室 |
TWI693298B (zh) * | 2015-04-22 | 2020-05-11 | 美商應用材料股份有限公司 | 具有漏斗狀氣體分散通道及氣體分配板的原子層沉積腔室 |
CN107532297B (zh) * | 2015-04-22 | 2021-02-02 | 应用材料公司 | 具有漏斗状气体分散通道及气体分配板的原子层沉积腔室 |
CN110050333A (zh) * | 2016-12-08 | 2019-07-23 | 应用材料公司 | 时间性原子层沉积处理腔室 |
CN110050333B (zh) * | 2016-12-08 | 2023-06-09 | 应用材料公司 | 时间性原子层沉积处理腔室 |
CN111066133A (zh) * | 2017-08-11 | 2020-04-24 | 应用材料公司 | 用于改善热化学气相沉积(cvd)均匀性的设备和方法 |
US11939675B2 (en) | 2017-08-11 | 2024-03-26 | Applied Materials, Inc. | Apparatus and methods for improving thermal chemical vapor deposition (CVD) uniformity |
CN111066133B (zh) * | 2017-08-11 | 2023-08-22 | 应用材料公司 | 用于改善热化学气相沉积(cvd)均匀性的设备和方法 |
CN109797379A (zh) * | 2017-11-16 | 2019-05-24 | 三星电子株式会社 | 包括上喷头和下喷头的沉积设备 |
US11345998B2 (en) | 2017-11-16 | 2022-05-31 | Samsung Electronics Co., Ltd. | Deposition apparatus including upper shower head and lower shower head |
CN111321391A (zh) * | 2018-12-13 | 2020-06-23 | 夏泰鑫半导体(青岛)有限公司 | 用于半导体制造的喷头 |
CN111799143A (zh) * | 2019-04-09 | 2020-10-20 | 应用材料公司 | 半导体处理腔室多阶段混合设备 |
CN111799143B (zh) * | 2019-04-09 | 2023-09-22 | 应用材料公司 | 半导体处理腔室多阶段混合设备 |
CN111394714A (zh) * | 2020-04-21 | 2020-07-10 | 重庆臻宝实业有限公司 | 化学沉积气体布散结构及其装置 |
Also Published As
Publication number | Publication date |
---|---|
US20120000422A1 (en) | 2012-01-05 |
EP2913842A2 (en) | 2015-09-02 |
CN103531448A (zh) | 2014-01-22 |
EP2304774A2 (en) | 2011-04-06 |
US20130008984A1 (en) | 2013-01-10 |
KR101657050B1 (ko) | 2016-09-13 |
KR20160108595A (ko) | 2016-09-19 |
US8293015B2 (en) | 2012-10-23 |
JP2011526966A (ja) | 2011-10-20 |
CN103531448B (zh) | 2016-12-28 |
WO2010003093A2 (en) | 2010-01-07 |
US8747556B2 (en) | 2014-06-10 |
JP5675605B2 (ja) | 2015-02-25 |
KR20170098965A (ko) | 2017-08-30 |
EP2304774B1 (en) | 2015-04-29 |
JP5965955B2 (ja) | 2016-08-10 |
US20100003406A1 (en) | 2010-01-07 |
CN102084461B (zh) | 2013-08-14 |
EP2913842A3 (en) | 2015-12-23 |
EP2304774A4 (en) | 2012-02-29 |
JP2015028218A (ja) | 2015-02-12 |
US8291857B2 (en) | 2012-10-23 |
KR20110028377A (ko) | 2011-03-17 |
US20140087091A1 (en) | 2014-03-27 |
KR20150111374A (ko) | 2015-10-05 |
KR101611207B1 (ko) | 2016-04-12 |
KR101862334B1 (ko) | 2018-05-29 |
US9017776B2 (en) | 2015-04-28 |
WO2010003093A3 (en) | 2010-04-08 |
KR101770725B1 (ko) | 2017-08-23 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
CN102084461B (zh) | 用于原子层沉积的设备 | |
KR102498418B1 (ko) | Ald 다중-스테이션/배치 반응기에서의 순차적인 프리커서 공급 | |
CN102144281B (zh) | 原位腔室处理与沉积工艺 | |
TWI615497B (zh) | 金屬胺化物沉積前驅物及具有惰性安瓿襯裡之該前驅物的穩定化 | |
CN101448977B (zh) | 用于等离子体增强的原子层沉积的设备和工艺 | |
US20190148128A1 (en) | Selective deposition of silicon nitride on silicon oxide using catalytic control | |
CN103946957A (zh) | 使用铝烷基的前驱物的金属薄膜沉积 | |
CN102197459A (zh) | 三元化合物的气相沉积方法 | |
US20100119734A1 (en) | Laminar flow in a precursor source canister |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
C06 | Publication | ||
PB01 | Publication | ||
C10 | Entry into substantive examination | ||
SE01 | Entry into force of request for substantive examination | ||
C14 | Grant of patent or utility model | ||
GR01 | Patent grant |