CN101601125A - 等离子体处理装置、等离子体处理方法以及存储介质 - Google Patents

等离子体处理装置、等离子体处理方法以及存储介质 Download PDF

Info

Publication number
CN101601125A
CN101601125A CNA2007800499145A CN200780049914A CN101601125A CN 101601125 A CN101601125 A CN 101601125A CN A2007800499145 A CNA2007800499145 A CN A2007800499145A CN 200780049914 A CN200780049914 A CN 200780049914A CN 101601125 A CN101601125 A CN 101601125A
Authority
CN
China
Prior art keywords
electrode
plasma
gas
container handling
plasma processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2007800499145A
Other languages
English (en)
Other versions
CN101601125B (zh
Inventor
泽田郁夫
P·芬泽克
大下辰郎
松崎和爱
康松润
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN101601125A publication Critical patent/CN101601125A/zh
Application granted granted Critical
Publication of CN101601125B publication Critical patent/CN101601125B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32541Shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本发明在于提供一种处理容器的侧壁的温度控制性优异,并且能够抑制等离子体对基板的损伤的等离子体处理装置。等离子体装置(1)具有设置于处理容器(11)上部的与载置台(2)相对置的第1电极(31)以及第2电极(32)、对第1电极(31)和第2电极(32)之间供给处理气体的气体供给部(4)、为了将第1电极(31)和第2电极(32)之间供给的处理气体等离子体化而对电极(31、32)之间施加高频电力的高频电源部(33)、以及自处理容器(11)下部对处理容器(11)内的气氛进行真空排气的排气装置(14)。载置台(2)上的基板B附近的等离子体的电子温度降低,能够抑制等离子体对基板B的损伤,另外由于能够使用金属作为处理容器(11)的材料,其温度控制性良好。

Description

等离子体处理装置、等离子体处理方法以及存储介质
优先权主张
本申请发明要求2007年1月15日提出的日本专利申请即特愿2007-6206的优先权。其全部内容可以援用于此。
技术领域
本发明涉及等离子体处理装置、等离子体处理方法以及存储用于实施此方法的程序的存储介质。
背景技术
例如半导体设备和液晶设备的制造过程中,对基板进行蚀刻、溅镀、CVD(Chemical Vapor Deposition)等的处理,在这些处理中多用到利用等离子体的等离子体处理装置。这种等离子体处理装置中,对容纳有基板的处理容器的内部供给处理气体,通过将这些处理气体等离子体化并活化,对基板进行所述的各种处理。
下面,对各种等离子体处理装置进行具体的说明。图17表示被称为平行平板双频型的等离子体蚀刻装置101,是产生被称为电容耦合型等离子体(CCP)的产生装置,该等离子体利用了电极间产生RF(RadioFrequency)电场。这种蚀刻装置101,在真空室形成的处理容器102内,具有兼作下部电极的、载置晶片W的载置台103,以及具有多个供给气体的供气孔104,构成处理容器102的顶板的气体喷淋头105。
处理容器101的侧壁由例如铝(Al)构成,它的内侧表面被例如氧化钇(Y2O3)或者耐酸铝(Al2O3)等的陶瓷所覆盖,是绝缘的。另外,它的侧壁中,进行侧壁的温度控制的冷却介质的流路106回绕于侧壁内部设置。
所述喷淋头105的下表面,装有上部电极107,上部电极107是由例如铝等的金属基底108,以及设于其表面的由硅(Si)等构成的导体板109所构成。基底108中设置有冷却介质的流路,能够对喷淋头105进行温度控制,但在示意图中被省略,。
图中的110是气体供给源,对气体喷淋头105供给处理气体,这些处理气体通过供气孔104对晶片W供给。图中的111是排气管,为处理容器102内排气,使其处于所设定的压力。图中的112和113分别为第1高频电源和第2高频电源。前述处理气体被供给的状态时打开各高频电源112、113,自第1高频电源112向上部电极107施加例如13~60MHz的高频电力,如图中点线所示,在上部电极107的下方产生等离子体,处理气体被活化,并且,自第2高频电源113向载置台103施加例如0.38~13MHz的高频电力,产生偏置电位,构成等离子体的离子摄入晶片W,晶片W表面被蚀刻。
等离子体蚀刻装置101中,如上所述,气体喷淋头105以及处理容器102由金属材料构成,由于具有进行冷却的冷却介质的流路,可以对喷淋头105以及处理容器102进行温度控制。因此,对同一批次内的多个晶片W依次进行处理时,能够抑制每次处理引起的蓄热导致的温度上升。其结果,能够抑制由于受到气体喷淋头105以及处理容器102的温度影响而引起的处理晶片W时的偏差。另外,作为处理气体,即使使用例如在高温区域该气体中含有成分的堆积性增高的气体时,由于能够通过对喷淋头105以及处理容器102的温度进行控制,抑制处理气体成分的堆积,也可以抑制堆积物质粒化导致的晶片W的污染。
接着,对图18所示的等离子体蚀刻装置120进行说明。等离子蚀刻装置120通过被称为微波等离子体方式的方法产生等离子体。另外,图18中与等离子体蚀刻装置101相同构成的各部位以同一符号表示。图中,121是构成处理容器102的顶板的第1气体供给部,如后所述,为了将微波向其下表面传送,以氧化硅(Si2O3)或氧化铝(Al2O3)等的陶瓷构成。第1气体供给部121构成气体喷淋头,图中122是其下表面设置的多个第1气体供给孔。123是等离子体发生用气体的供给源,从该气体供给源123供给的等离子体发生用气体,通过气体供给部121内的气体流路124,从第1气体供给孔122向下方供给。
图中125将载置台103和第1气体供给部121隔开,是作为气体喷淋头构成的第2气体供给部,装有大量的第2气体供给孔126。图中127是蚀刻或成膜用的处理气体的供给源,所述处理气体,通过设置于气体供给部125内的第2气体流路128,从第2气体供给孔126向晶片W供给。图中129是贯通第2气体供给部125的开口部,将从第1气体供给部121供给的蚀刻用气体向晶片W供给。
图中131是微波的发生装置,提供例如频率为2.45GHz或者8.3GHz的微波,该微波通过传运部132以及第1气体供给部121向该气体供给部121的下方的处理空间放出,如图中点线所示,从第1气体供给部121供给的等离子体发生用气体形成等离子体。等离子体化的等离子体发生用气体下降后,等离子体化从第2气体供给部125供给的处理气体,等离子体化的处理气体对晶片W表面进行处理。
接着,对图19(a)所示的蚀刻装置141进行说明。该蚀刻装置是利用ICP(电感耦合等离子体)的等离子体蚀刻装置,装有石英制成的处理容器142。图中143、144是供给处理气体的喷嘴。如图19(b)所示,处理容器142的上部绕有线圈145,它的一端接有高频电源112,另一端接地。在由喷嘴143、144供给气体的状态下对线圈145提供电流,处理容器142内形成电场,则会如点线所示产生等离子体。
但是,图17的平行平板型等离子体蚀刻装置101中,对上部电极107以及作为下部电极的载置台103之间直接施加高频电力,与微波等离子体方式蚀刻装置120或电感耦合离子体蚀刻装置141相比,处理中形成的等离子体的电子温度升高,例如为3~4eV左右,具有高能量的离子等对晶片W进行碰撞,会对晶片W产生巨大的损伤。
另外,通过对作为顶板的上部电极107供给等离子体发生用的高频电力,或对载置台103施加偏压用高频电力,高频电流之间会产生相互干扰。其结果,载置台103上所施加的高频电力的波形发生扭曲,并且难以调整。并且这将导致晶片W表面上等离子体的离子能量分布产生偏差。能够通过对各高频电源112、113的频率,或功率等的参数进行调整将这些偏差控制为不产生影响。但是,这样就需要对大量参数进行控制,耗费大量时间,并且,为了减少偏差需要对大量参数进行约束,导致等离子体处理的自由度低下。另外,以离子向基板的碰撞频率为纵轴,以离子能量为横轴的离子碰撞频率分布图的形状,在向载置台103施加偏压用的高频电力的时候,如不与等离子体发生用的高频干涉,则与偏压用的高频电力的波形相对应。因此能够选择适应于工序的合适的碰撞频率分布的形状。但是,如果高频电力发生干扰,这种调整无法达到良好的精度。
为了避免所述问题,考虑使上部电极107和下部电极即载置台103之间的间隙增大,但是,这么做会导致等离子体无法生成,无法进行正常的处理。
另外,图18的微波等离子体方式蚀刻装置120中,从顶板供给微波,因此不会与对载置台103施加的偏压用高频电力干涉,可以防止对载置台103施加的高频电力的波形的扭曲。另外,第1气体供给部121的正下方的电子温度升高到5~10eV,由于通过从第1气体供给部121供给的等离子体发生用气体的作用使其等离子体化,晶片W的周围电子温度降低到1~2eV。因此,作用于晶片W的电子和离子的能量减低,有利于降低晶片W受到的损伤。
但是,构成装置的顶板的第1气体供给部121以陶瓷构成,从而让微波能良好地传送到其下表面,陶瓷与铝等金属相比,热容大而温度控制性差。因此,顺次处理同一批次的多个晶片W时,第1气体供给部121蓄热的结果,这些热对晶片W的处理产生影响并引起晶片W间处理的偏差。如前所述,如果使用高温时所含成分的堆积性变高的气体,这些气体堆积物产生的粒子会对晶片W产生污染。
并且,处理中由于处理容器102内是真空状态,气体的扩散性增高。因此,存在从第2气体供给部125供给的处理气体不直接对晶片W供给,而是通过贯通孔129向第1气体供给部121附近扩散后朝向晶片W。如前所述,气体供给部121附近的电子温度较晶片W周边高,因此由第2气体供给部125直接向晶片W供给的处理气体的分子与由第2气体供给部125向顶板移动的处理气体的分子相比,分解为离子或原子团的分解性不同,各自具有不同的能量,对晶片W的反应性不同。其结果,会引起晶片内或晶片间的处理偏差的发生。
另外,图19的电感耦合等离子体蚀刻装置141中,由于不直接对处理容器142内施加高频电流,对载置台103供给的高频电力的波形扭曲能够得到抑制。但是,石英与金属等相比,热容大而温度控制性差,每次在基板进行处理时会导致处理容器142的侧壁以及顶板产生蓄热。其结果,会与蚀刻装置120一样引起批次内的晶片W间处理的偏差。另外,如果与蚀刻装置120同样使用高温时所含成分堆积性高的气体,这些气体堆积物产生的粒子会对晶片W产生污染。
等离子体蚀刻装置141中,在处理容器142的上部产生电场,因此不能如前所述般通过气体喷淋头供给气体,而是通过喷嘴供给气体。因此,难以对晶片W均匀地供给气体,相对于蚀刻的晶片W的面内均匀性低下。
所述的各种等离子体处理装置中,每个都存在有处理容器内的侧壁以及顶板的温度控制性差、等离子体对基板的损伤、对基板的气体供给的不均匀性、以及高频波形的控制性差等缺点。
发明内容
本发明根据以上情况考虑,本发明的目的在于,提供一种处理容器的侧壁的温度控制性良好,并且能够抑制等离子体对基板的损伤的等离子体处理装置以及等离子体处理方法。
本发明的等离子体处理装置,具有处理容器以及在所述处理容器内设置的载置台,通过将处理气体等离子体化得到的等离子体对载置于所述载置台上的基板进行处理。其特征在于,包括:
在所述处理容器的上部的、与所述载置台相对设置的第1电极和第2电极;和
对所述第1电极和所述第2电极之间供给处理气体的气体供给部;和
与所述第1电极和所述第2电极中的至少一方相连接,将对所述第1电极和所述第2电极之间供给的所述处理气体等离子体化的、对此电极间施加高频电力的高频电源部;和
连结所述处理容器的下部,对该处理容器内的大气进行真空排气的排气装置。
所述气体供给部,位于所述第1电极和所述第2电极上方,与所述载置台相对,并具有穿设大量气体供给孔的板状体。这时,所述气体供给部中,设有对该气体供给部进行调温的调温机构。另外,所述处理容器由金属构成,设有对该处理容器进行调温的调温机构。所述第1电极和所述第2电极,分别具有水平方向平行状伸出的大量齿部,所述第1电极的齿部和所述第2电极的齿部也可以交替排列。所述第1电极和所述第2电极,分别具有水平方向相对的基部,所述第1电极的齿部和所述第2电极的齿部,从所述基部以相互咬合的方式伸出。
所述的第1电极以及所述的第2电极,各自由直径不同的同心环状构件构成,这时,构成所述第1电极的环状构件和构成所述第2电极的环状构件,至少一方以多个设置,并交替配置,越向外侧延伸,相邻的所述第1电极的环状构件以及第2电极的环状构件之间的间隔越窄。
所述的气体供给部,可以构成所述第1电极和所述第2电极中的一方的全部或者一部分。另外,在所述气体供给部的下方,形成相互平行的横向间隔伸长的多个线状突起。所述线状突起构成所述第1电极和所述第2电极中的一方的全部或者一部分,所述第1电极和所述第2电极中另一方可以位于所述线状突起的侧向或者下方。另外,所述线状突起可以以直线状或者环状形成。所述第1电极和/或第2电极中,可以设有使全表面电压均匀化的孔,以贯穿各电极的方式设置。
另外,所述高频电源由第1高频电源构成,在所述载置台设置下部电极,所述下部电极与第2高频电源连接,第2高频电源施加高频电压,通过偏压使等离子体化的所述处理气体进入所述基板。所述第1电极和所述第2电极,可以具有对各自电极进行调温的调温流体的流路。
本发明等离子体处理方法,通过将处理气体等离子体化得到的等离子体,对基板进行处理,其特征在于,包括:
将基板载置于处理容器内设置的载置台的工序;和
对所述处理容器上部的与所述载置台对向设置的第1电极和第2电极之间供给处理气体的工序;和
为了使在所述第1电极和所述第2电极之间供给的所述处理气体等离子体化而在电极间施加高频电力的工序;和
自所述处理容器下部对该处理容器内的大气进行真空排气的工序。
供给所述处理气体的工序,是通过所述第1电极和所述第2电极的上方的、穿设于与所述载置台相对的板状体的大量气体供给孔,对所述第1电极和所述第2电极之间供给所述处理气体的工序。
本发明的存储介质,存储有通过将处理气体等离子体化得到的等离子体对基板进行处理的等离子体处理方法的使用、在计算机上操作的计算机程序,其特征在于:
所述等离子体处理方法,包括将基板载置于处理容器内设置的载置台的工序;和
对所述处理容器上部的与载置的所述载置台对向设置的第1电极和第2电极之间供给处理气体的工序;和
为了使在所述第1电极和所述第2电极之间供给的所述处理气体等离子体化而在电极间施加高频电力的工序;和
自所述处理容器下部对该处理容器内的大气进行真空排气的工序。
根据本发明,在处理容器的上部设置第1电极和第2电极,在它们中间施加高频电力,载置台上的基板附近的等离子体的电子温度降低,因此能够抑制等离子体对基板造成的损伤。另外,由于能够使用金属作为处理容器的材料,处理容器的温度控制性良好,能够确保与平行平板型的等离子体处理装置等同样的优点。
附图说明
图1是表示本发明相关的等离子体蚀刻装置的一实施方式的纵剖侧面图。
图2是构成所述的等离子体蚀刻装置的梳齿电极的横截平面图。
图3是表示所述的梳齿电极内设置的冷却水的流路的立体图。
图4是表示通过所述的等离子体蚀刻装置进行蚀刻处理的情况的说明图。
图5是表示其它实施方式的等离子体蚀刻装置的纵剖侧面图。
图6是表示所述的等离子体蚀刻装置的电极构成的立体图。
图7是表示通过所述的等离子体蚀刻装置进行蚀刻处理的情况的说明图。
图8是另外的其它实施方式的等离子体蚀刻装置的纵剖侧面图。
图9是表示所述的等离子体蚀刻装置的气体喷淋头以及电极的立体图。
图10是表示通过所述的等离子体蚀刻装置进行蚀刻处理的情况的说明图。
图11是另外的其它实施方式的等离子体蚀刻装置的纵剖侧面图。
图12是所述的等离子体蚀刻装置的电极的立体图。
图13是另外的其它实施方式的等离子体蚀刻装置的纵剖侧面图。
图14是所述的等离子体蚀刻装置的电极的立体图。
图15是另外的其它实施方式的等离子体蚀刻装置的纵剖侧面图。
图16是所述的等离子体蚀刻装置的电极的立体图。
图17是现有的蚀刻装置的纵剖侧面图。
图18是现有的蚀刻装置的纵剖侧面图。
图19是现有的蚀刻装置的纵剖侧面图。
具体实施方式
(第1实施方式)
参照图1对本发明的等离子体处理装置的实施方式即等离子体蚀刻装置1的构成进行说明。等离子体蚀刻装置1是对例如FPD(flat paneldisplay)等的方形的基板B进行处理的装置,包括:筒状形成的并且其内部密闭形成处理空间的处理容器11;配置于处理容器11内的底面中央的载置台2;设置于载置台2上方的生成等离子体P的电极31、32;以及在电极31、32上方的与载置台2相对设置的气体喷淋头(气体供给部)4。
处理容器11由与陶瓷等相比冷却性高的例如铝等的金属等构成,它的内侧表面,通过例如防蚀铝涂层处理而绝缘。另外,处理容器11具有流通调温流体F1的流路12,流路12如图中箭头所示,在处理容器11的侧壁内从上而下盘旋。另外,设有对处理容器11进行调温的调温机构35,调温流体F1在调温机构35和处理容器11之间循环。这样,在进行蚀刻处理的时候,调温流体F1即冷却媒介、例如冷却水通过流路12流通,对侧壁的内侧表面进行调温,例如冷却。
另外,设置于处理容器11的底面的排气口13,通过排气管15与含有真空泵和压力调整部的排气装置14连接,基于来自于后述的控制部10的控制信号,压力调整部对处理容器11进行真空排气以维持所期望的真空度。图中符号16是在处理容器11的侧壁形成的基板B的搬运口,搬运口16通过闸阀17自由开闭。符号18是以绝缘材料构成的支承部,包围电极31、32并使它们支承于载置台2的上方。
载置台2对应于基板B的形状而形成为方形,通过例如支持部21支承于处理容器11的下部。另外,载置台2的内部埋有下部电极22,下部电极22在基板B产生偏置电位,通过将后述的处理气体G生成的离子向基板B侧引入,具有提高蚀刻形状的垂直性的作用。下部电极22连接0.38~13MHz的高频电源23,相当于本权利要求书内的第2高频电源。
另外,在载置台2的外周边缘围绕基板B设置聚焦环25,产生等离子体P时通过该聚焦环25使该等离体P会聚于所述基板B。载置台2的外周设有方形框状挡板26,该档板26将处理容器11内部分成上下两部分。档板26在厚度方向具有大量开口的孔,具有处理容器11内进行排气时使基板B周围的气流均匀化的作用。
在载置台2内,形成流通作为调温流体F2即冷却介质、例如冷却水的流路27,通过冷却水在该流路27内流通使载置台2冷却,通过载置台2使载置于载置台2上的基板B调整到所期望的温度。另外,载置台2上装有温度感应器(无图示),通过该温度感应器对载置台2上的基板B的温度进行经常性监视。
接下来对与载置台2分离的设置于上方的电极31、32进行说明。图2表示沿图1中箭头A-A的电极31、32的横剖平面。电极31(32)具有基部31a(32a);以及自基部31a(32a)平行状水平伸出的多个齿部31b(32b),形成梳齿型。这样,其中一个电极31的基部31a和另一个电极32的基部32a与支承部18上的相互对向的侧面紧密接触,在水平方向相互相向,齿部31b、32b交替排列,以使自其中一个电极基部31a伸出的齿部31b之间伸入自另外一个电极基部32a伸出的齿部32b。电极31、32由例如铝(Al)构成,表面以绝缘材料例如Y2O3涂覆。此例中的电极31、32,分别相当于权利要求书中的第1电极和第2电极,以下说明中称为梳齿电极31、32。
如图3所示,在梳齿电极31内形成调温流体F3即冷却介质、例如冷却水的流路33。流路33,自基部31a的一端伸向另一端的中途,向齿部31b的前端弯曲,在前端附近以コ字形弯曲伸回基部31a,再伸向基部31a的另一端。另外,梳齿电极32的流路和梳齿电极31的流路33同样构成,但省略了图示,蚀刻处理中,如图中点划线的箭头所示,作为调温流体F3即冷却水沿流路33流通,对梳齿电极31、32的表面进行冷却。另外,流路33在图1和图2中鉴于图示的简便予以省略。
梳齿电极31与比偏压用高频电源23的频率高的、例如13MHz~60MHz的等离子体发生用的高频电源33连接,并且梳齿电极32接地。图中没有表示,但高频电源23、33与控制部10连接,根据控制部10送出的控制信号,对各高频电源向各电极供给的电力进行控制。
接下来对作为气体供给部的气体喷淋头4进行说明。本例子中的气体喷淋头4以例如石英等的陶瓷构成,形成处理容器11的顶板。另外,喷淋头4的内部具有供给后述的各气体的空间41,且下表面形成有向处理容器11内分散供给处理气体G的大量的气体供给孔42,从而连通空间41。即,气体喷淋头4位于梳齿电极31、32的上方,与载置台2相对,并具有贯通设置有大量的气体供给孔42的板状体4A。如图1和图2所示,各气体供给孔42以能向梳齿电极31的齿部31b和梳齿电极32的齿部32b之间的空隙供给处理气体G的方式贯通设置。
气体喷淋头4的上方中央设有气体导入管43,气体导入管43贯通处理容器11的上表面中央。气体导入管43朝向上流具有大量分支,构成分支管,各分支管的端部分别连接分别储存作为蚀刻用处理气体的CF系气体即CF4(四氟化碳)气体、O2(氧气)气体、N2(氮气)气体的气体供给源44A、44B、44C。各分支管分别设有阀门和流量控制部等,从而构成气体供给***45。气体供给***45,根据来自控制部10的控制信号,控制来自各气体供给源的44A~44C的气体的供给切断以及流量。
该等离子体蚀刻装置1设有例如计算机构成的控制部10。控制部10具有程序、存储器、CPU构成的数据处理部等,所述程序中存储有各种命令,控制部10通过这些命令向等离子体蚀刻装置1的各部输送控制信号,进行后述的等离子体处理方法的各步骤,从而能够对基板B实施所希望的蚀刻图案的形成。另外,存储器具有可以写入处理压力、处理时间、气体流量、电力值等的处理参数的值的空间,CPU执行程序的各命令时将这些处理参数读出,将这些参数值对应的控制信号向等离子体蚀刻装置1的各部送出。
该程序(包括处理参数的输入用画面相关的程序),存储于由软盘、光盘、MO(光磁盘)等构成的存储介质即存储部19并安装于控制部10。
接下去对等离子体蚀刻装置1的作用进行说明。首先,冷却水流通处理容器11的流路12以及梳齿电极31和32的各流路33,使处理容器11的内壁以及各梳齿电极31、32的表面冷却。另外,冷却水流通载置台2的流路27,使载置台2冷却,接下来打开闸阀17,通过没有图示的搬运机构将基板B搬入处理容器11内。该基板B水平载置于载置台2上之后,将所述搬运机构从处理容器11中退去,并关闭闸阀17。
通过流路27中流动的调温流体F2即冷却介质对载置于载置台2上的基板B进行冷却,调整到所定温度。另外这期间通过排气管15由排气装置14对处理容器11内进行排气,维持处理容器11内所设定的压力,并通过梳齿电极31、32间对处理容器11供给CF4气体、O2气体以及N2气体混合的处理气体G。接下去同时开启高频电源23和33,对下部电极22施加高频电压,并且在靠近的梳齿电极31、32间施加高频电压。
通过图4对下部电极22以及梳齿电极31、32间施加高频电压时处理容器11内部的气体和等离子体P的情况进行说明。图4中梳齿电极31、32的各齿部31b、32b各自被模式地表示,图中的粗箭头表示向梳齿电极31、32间供给的处理气体G。通过如上所述对梳齿电极31、32间施加高频电压,由此梳齿电极31、32间流通高频电流。通过该高频电流的能量将所述处理气体G活化,在从载置台2上的基板B离开的位置,按照图中点线所示,在梳齿电极31、32间产生电子温度为3~4eV左右的、被称为远程等离子体的电容耦合等离子体。接着,通过在处理容器11的下方进行排气,使等离子体P下降,与电场形成区域分离,在基板B的周围电子温度变为1~2eV左右。从而,构成等离子体P的各种离子,通过下部电极22上施加的偏置电位引入基板B,进行各向异性蚀刻。
从在梳齿电极31、32间施加高频电力开始,例如经过所规定的时间后,例如关闭高频电源23、33,熄灭等离子体P以及停止对处理容器11内供给CF4气体,O2气体以及N2气体。通过排气装置14对处理容器11内残留的气体进行真空排气后、打开闸阀17,通过所述的搬运机构将基板B从处理容器11中运出。之后将同一批次的后续的基板B送入,进行同样的处理。
使用这样的等离子体蚀刻装置1,通过对水平排列于与载置台2分离的上方的梳齿电极31、32的齿部31b、32b之间施加高频电力,使通过喷淋头4供给的处理气体G等离子体化。接着,等离子体P通过处理容器11下方的排气进入载置台2。由于等离子体P形成于与载置台2分离的位置,在基板B的周围,比形成等离子体P的梳齿电极31、32周围的电子温度低。因此能够抑制等离子体P对基板B的损伤。另外,施加高频电力的梳齿电极31、32与偏压用的下部电极22相分离,因此能够抑制受到梳齿电极31、32间传递的高频电力的影响而导致的对载置台2施加的引入等离子体用的高频电力的波形发生扭曲,基板B周围的离子能量的分布以及进入基板B的离子/原子团比的控制变得容易,能够抑制基板B内以及基板B间处理的偏差。
另外,本发明中,由于处理容器11上方的等离子体发生用的电场封闭的缘故,下方的偏压用高频波的波形能够与平行平板型等离子体处理装置中一样不被扰乱。因此,可以通过调整高频波的波形得到该波形对应的离子碰撞频率分布(如上所述,该分布的纵轴为向基板的离子碰撞频率,横轴为离子能量)。作为波形的具体例子,可以列举正弦波、三角波或者矩形波等,作为这些波形的参数,可以列举电压的大小,波形的上升、下降等。通过调整这些参数,能够以高精度地得到适应于工序的合适的离子碰撞频率分布。
另外,处理容器11由金属构成,并使其中的调温介质F1即冷却水流通,因此,与由大热容的陶瓷等构成处理容器11的时候相比具有优异的温度控制性。并且,对梳齿电极31、32也可以通过调温介质F3即冷却水进行冷却,因此能够得到稳定的等离子体P,对基板B进行连续处理的时候,能够进行稳定的处理。通过对处理容器11的内壁和梳齿电极31、32的温度进行控制,能够抑制它们表面热量的积蓄,因此,即使使用例如高温时堆积性增高的物质作为处理气体G时,也能够降低基于处理气体G的堆积物的粒子的产生。
另外,本例子中,可以通过气体喷淋头4自上方对基板B的整体供给处理气体G,因此,与使用喷嘴等供给气体的时候相比,可以得到更高的基板B的面内均匀性。通过喷淋头4供给气体,气体通过相同的电场作用等离子体化,供给给基板B。因此,与所述的通过微波等离子体方式的蚀刻装置不同,能够抑制处理气体G的分子的成为离子或原子团的分解性的偏差。从而能够抑制批次内基板B间以及基板B面内的偏差的发生。
(第2实施方式)
接下去对图5表示的其他实施方式的等离子体蚀刻装置51进行说明。刚才的实施方式中,喷淋头4的下方设置有构成第1电极和第2电极的一对梳齿电极31、32,而在此实施方式中,第1、第2电极中的一个电极以气体喷淋头(气体供给部)52的一部分构成,另一个电极以梳齿电极构成。因此,在气体喷淋头52的下方设置有1个梳齿电极。此蚀刻装置51中与已述的蚀刻装置1相同部分以及相应部分以同一符号表示。
此蚀刻装置51的气体喷淋头52,以铝等金属构成,表面以Y2O3等的绝缘材料涂敷。气体喷淋头52接地,与后述的连接于高频电源33的梳齿电极55共同构成产生等离子体P用的电极。气体喷淋头52内设有与第1实施方式中的气体喷淋头4相同的空间52a,并且喷淋头52装有贯通设置有大量气体供给孔52b的板状体52C。
气体喷淋头52的所述空间52a中,在气体喷淋头52的板状体52C的上方,设有对气体喷淋头52进行调温的调温板(调温机构)53。调温板53中,以与气体喷淋头52的气体供给孔52b重合的方式在厚度方向穿孔形成大量孔53a。另外,避开这些孔53a,在调温板53内部设置调温流体即冷却水的流路(无图示),进行蚀刻时在流路中流通冷却水,对气体喷淋头52进行冷却。
气体喷淋头52的下方通过绝缘部54设有梳齿电极55。图6是这些绝缘部54和梳齿电极55的立体图。图中的55a、55b分别是梳齿电极55的基部和齿部,梳齿电极55例如除了其齿部55b的数量和厚度之外,与已述的梳齿电极31相同构成。另外,梳齿电极55的内部中,设有图示省略的与梳齿电极31相同的冷却水的流路,蚀刻处理时对梳齿电极55的表面进行冷却。绝缘部54,以例如陶瓷等构成,具有与梳齿电极55重合的梳齿形状。从气体喷淋头52供给的处理气体G通过绝缘部54的齿部间隙以及梳齿电极55的齿部55b的间隙对基板B供给。
等离子体蚀刻装置51中,通过与已述的等离子体蚀刻装置1一样的步骤将基板B搬入,处理容器11内的压力达到所设定的压力时,如图7中粗箭头所示,对喷淋头52供给各处理气体G,并从高频电源23、33供给高频电力。如图7中点线所示,气体喷淋头52的下面和梳齿电极55的齿部55b之间形成等离子体P,这些等离子体P的离子进入基板B,对基板B进行蚀刻。
蚀刻装置51与已述的蚀刻装置1具有相同的效果。另外,该蚀刻装置的气体喷淋头52由铝所构成并通过冷却水冷却,因此,能够抑制蚀刻处理中的热量积蓄,能够抑制热对各基板B的影响,能够抑制基板B间处理的偏差。
(第3实施方式)
接下去对图8表示的其他实施方式的等离子体蚀刻装置56进行说明。此等离子体蚀刻装置56安装有气体喷淋头57,同时参照图9对其构成进行说明。
气体喷淋头57具有板状体57D,并以与第2实施方式中的气体喷淋头(气体供给部)52同样的材质例如铝所构成。另外,第1、第2电极中的一个电极以气体喷淋头(气体供给部)57的一部分构成,另外一个电极由后述的梳齿电极59构成。与气体喷淋头52的区别在于,气体喷淋头57的板状体57D的下面具有大量横向伸长的直线状的线状突起58,相互平行状间隔地形成。另外,线状突起58之间的板状体57D的下面以及线状突起58的下面,与气体喷淋头57内的供给处理气体G的空间57a连通,在喷淋头57的厚度方向形成大量的气体供给孔57b。
这里,对构成第1以及第2电极的气体喷淋头57施加的电压为高频,由于只有电极表面有电流流通,因此,会导致气体喷淋头57内各表面产生电位差。因此,在气体喷淋头57的主体周围边缘部位,使该喷淋头57以与空间57a不连通的方式在厚度方向形成贯通孔57c。所述的线状突起58中,以与吐出孔57b不连通的方式,形成横向贯通各凸出部位58的贯通孔58c。通过在这些孔57c和58c的表面流通电流,使喷淋头的整个表面中电位均匀化,对后述的梳齿电极59施加高频电压,在气体喷淋头57和该梳齿电极59之间形成等离子体P时,使等离子体的密度均匀化。这里,这些孔不仅可以设于喷淋头57,也可以设于后述的梳齿电极59,并且也可以设于已述的实施方式或后述的实施方式的第1电极和/或第2电极。
气体喷淋头57的下方,设有与高频电源33连接的梳齿电极59。梳齿电极59,具有基部59a,以及自该基部59a平行状水平伸出的多个齿部59b,形成梳齿状。梳齿电极59的基部59a例如通过绝缘部件支持于处理容器11的内壁,该梳齿电极59的各齿部59b与喷淋头57的各突起58上下相对设置。梳齿电极59以例如与梳齿电极31相同的材质构成。
与已述的各等离子体蚀刻装置同样地,供给处理气体G,从各高频电源23、33施加高频电压,如图10的点线所示,在梳齿电极59的齿部59b和喷淋头57的下面的线状突起58之间形成等离子体P,通过构成该等离子体P的离子引入基板B对基板进行蚀刻。此实施方式也可以取得和已述的蚀刻装置1同样的效果。另外,在装置56中,梳齿电极59以及气体喷淋头57由铝构成。由于除了表面涂敷以外,没有在处理空间内设置如陶瓷一样蓄热性高的材料构成的构件,因此能够抑制热对基板B的影响。也可以梳齿电极59和梳齿电极31同样设置有冷却水的流路33,气体喷淋头57的空间57a中设置有已述的调温板53,由此对梳齿电极59以及气体喷淋头57进行冷却。
(第4实施方式)
接下去对对圆形基板即晶片W进行蚀刻处理的等离子体蚀刻装置6,参照图11对与已述的各蚀刻装置的不同点进行集中的说明。蚀刻装置6的处理容器61以圆筒状构成,并且载置晶片W的载置台以圆形构成。另外,除了气体喷淋头(气体供给部)63以和容器61形状对应的圆形构成以外,与已述的第1实施方式中的气体喷淋头4相同构成,形成大量对后述的电极间供给处理气体G的、与内部空间63a相连通的气体供给孔63b。
气体喷淋头63具有板状体63C,板状体63C的下部如图12所示设有电极群。这电极群,由例如设置于载置台62的中心轴的电极64a,和电极64a周围相互间隔设置的同心圆状的环形电极64b~64d构成。电极64a、64c与高频电源33连接,电极64b、64d接地。这些电极64a、64c与电极64b、64d分别相当于第1电极和第2电极的一方和另外一方。各电极64a~64d以例如与梳齿电极31同样的材质构成。
该等离子体蚀刻装置6中,通过与已述的等离子体蚀刻装置1相同的步骤将晶片W搬入,在电极64a~64d之间形成等离子体P对晶片W进行蚀刻处理。由于晶片W越靠外侧周长增长,为了使径向电场强度一致,因此,相邻的环状电极64b~64d配置为越向外侧彼此间隔越短。即,如图11所示,电极64a和64b之间的间隔距离,电极64b和64c之间的间隔距离,电极64c和64d之间的距离,分别以d1,d2,d3表示,设置为d1>d2>d3。由此在径向使等离子体密度均匀化。因此,等离子体蚀刻装置6可以得到和已述的等离子体蚀刻装置1相同的效果。
(第5实施方式)
图13为对晶片W进行蚀刻处理的等离子体蚀刻装置的其它实施方式。等离子体蚀刻装置7的气体喷淋头71以与处理容器61的形状对应的圆形构成,另外,气体喷淋头71的板状体71A下面的载置台62的中心上形成突起72a。包围着突起72a的,以72a为中心的环状的线状突起72b、72c以同心圆状设置。这些突起72a、72b、72c之间,分别设有构成电极的环状构件73a、73b。
图14为突起72a~72c以及环状构件73a、73b的构成示意图,环状构件73a、73b通过以陶瓷等绝缘材料形成的支承部74a、74b各自支承于线状突起72b、72c。即,此实施方式的构成为,将第4实施方式中的环状电极64a、64c的组以及环状电极64b、64d的组的一方设置于喷淋头71下面,使其与该喷淋头71等电位。因此突起72a~72c以及喷淋头71,相当于第1电极和第2电极的一方、环状构件73a、73b相当于第1电极和第2电极的另一方。例如,突起72a~72c接地,环状构件73a、73b连接高频电源33。
这里,可以将以方形基板为对象的第1实施方式中的相当于第1电极的棒状构件即梳齿电极32的齿部32b,和相当于第2电极的棒状构件即梳齿电极31的齿部31b中的一方,如图13同样地设置于喷淋头4的下面,并且由金属构成喷淋头4,与第5实施方式一样将所述的喷淋头4和齿部31a、32b的一方构成为同电位。
(第6实施方式)
也可以如图15所示地构成等离子体蚀刻装置。该蚀刻装置8,除了电极构成以外,与第4实施方式的图11所示的蚀刻装置6相同。图16是电极的立体图,在气体喷淋头63的板状体63A下部横向平行设有棒状电极81。并且,电极81的下部通过绝缘材料82与电极81垂直相交地设置多个棒状的相互平行的电极83,通过电极81、83形成格子形状。从气体喷淋头的气体供给孔63b向该格子间供给气体,形成等离子体P。
已述的各实施方式中的第1电极和第2电极的接地和形成等离子体用的高频电源33可以相反连接。另外,作为蚀刻气体,可以使用所述的蚀刻气体以外公知的气体。另外,本发明的等离子体蚀刻处理装置,除了蚀刻装置,也可以适用于通过等离子体P进行处理的CVD装置或溅镀装置等。

Claims (17)

1.一种等离子体处理装置,具有处理容器和在所述处理容器内设置的载置台,利用将处理气体等离子体化而得到的等离子体,对载置于所述载置台上的基板进行处理,其特征在于,包括:
在所述处理容器的上部与所述载置台相对置地设置的第1电极和第2电极;
对所述第1电极和所述第2电极之间供给处理气体的气体供给部;
与所述第1电极和所述第2电极中的至少一方相连接,对这些电极间施加高频电力,以使供给到所述第1电极和所述第2电极之间的所述处理气体等离子体化的高频电源部;以及
连结在所述处理容器的下部,对该处理容器内的气氛进行真空排气的排气装置。
2.如权利要求1所述的等离子体处理装置,其特征在于:
所述气体供给部,位于所述第1电极和所述第2电极上方,与所述载置台相对置,并具有贯通设置有大量气体供给孔的板状体。
3.如权利要求2所述的等离子体处理装置,其特征在于:
所述气体供给部中,设有对该气体供给部进行调温的调温机构。
4.如权利要求1所述的等离子体处理装置,其特征在于:
所述处理容器由金属构成,设有对该处理容器进行调温的调温机构。
5.如权利要求1所述的等离子体处理装置,其特征在于:
所述第1电极和所述第2电极,分别具有水平方向平行状伸出的多个齿部,所述第1电极的齿部和所述第2电极的齿部交替排列。
6.如权利要求5所述的等离子体处理装置,其特征在于:
所述第1电极和所述第2电极,分别具有在水平方向相对置的基部,所述第1电极的齿部和所述第2电极的齿部,从所述基部以相互相对的方式伸出。
7.如权利要求1所述的等离子体处理装置,其特征在于:
所述第1电极和所述第2电极,分别由不同直径的同心环状构件构成。
8.如权利要求7所述的等离子体处理装置,其特征在于:
构成所述第1电极的环状构件和构成所述第2电极的环状构件,至少一方设置多个并交替配置,越向外侧则相邻的所述第1电极的环状构件和所述第2电极的环状构件之间的间隔越窄。
9.如权利要求1所述的等离子体处理装置,其特征在于:
所述气体供给部,作为所述第1电极和所述第2电极中的一方的全部或者一部分而构成。
10.如权利要求2所述的等离子体处理装置,其特征在于:
在所述气体供给部的下表面,形成有相互平行地在横向隔着间隔延伸的多个线状突起,所述线状突起作为所述第1电极和所述第2电极中的一方的一部分而构成,所述第1电极和所述第2电极中的另一方位于所述线状突起的侧方或者下方。
11.如权利要求10所述的等离子体处理装置,其特征在于:
所述线状突起以直线状或者环状形成。
12.如权利要求1所述的等离子体处理装置,其特征在于:
所述第1电极和/或第2电极中,设有使其整个表面的电位均匀化的孔,该孔贯穿各电极而设置。
13.如权利要求1所述的等离子体处理装置,其特征在于:
所述高频电源由第1高频电源构成,在所述载置台设置有下部电极,所述下部电极与第2高频电源连接,该第2高频电源施加高频电力,通过偏压将等离子体化的所述处理气体引入所述基板。
14.如权利要求1所述的等离子体处理装置,其特征在于:
所述第1电极和所述第2电极,具有对各自的电极进行调温的调温流体的流路。
15.一种等离子体处理方法,通过将处理气体等离子体化得到的等离子体对基板进行处理,其特征在于,包括:
将基板载置于处理容器内设置的载置台的工序;
对在所述处理容器上部与所述载置台相对置地设置的第1电极和第2电极之间供给处理气体的工序;
为了使供给到所述第1电极和所述第2电极之间的所述处理气体等离子体化而在这些电极间施加高频电力的工序;以及
自所述处理容器下部对该处理容器内的气氛进行真空排气的工序。
16.如权利要求15所述的等离子体处理方法,其特征在于:
供给所述处理气体的工序,是通过所述第1电极和所述第2电极的上方的、贯通设置于与所述载置台相对置的板状体的大量气体供给孔,对所述第1电极和所述第2电极之间供给所述处理气体的工序。
17.一种存储介质,存储有通过将处理气体等离子体化得到的等离子体对基板进行处理的等离子体处理方法的使用的、在计算机上动作的计算机程序,其特征在于:
所述等离子体处理方法,包括将基板载置于处理容器内设置的载置台的工序;
对在所述处理容器上部与所述载置台相对置地设置的第1电极和第2电极之间供给处理气体的工序;
为了使在所述第1电极和所述第2电极之间供给的所述处理气体等离子体化而在这些电极间施加高频电力的工序;以及
自所述处理容器下部对该处理容器内的气氛进行真空排气的工序。
CN2007800499145A 2007-01-15 2007-12-27 等离子体处理装置 Expired - Fee Related CN101601125B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP006206/2007 2007-01-15
JP2007006206A JP5168907B2 (ja) 2007-01-15 2007-01-15 プラズマ処理装置、プラズマ処理方法及び記憶媒体
PCT/JP2007/075076 WO2008087843A1 (ja) 2007-01-15 2007-12-27 プラズマ処理装置、プラズマ処理方法及び記憶媒体

Publications (2)

Publication Number Publication Date
CN101601125A true CN101601125A (zh) 2009-12-09
CN101601125B CN101601125B (zh) 2012-07-25

Family

ID=39635848

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2007800499145A Expired - Fee Related CN101601125B (zh) 2007-01-15 2007-12-27 等离子体处理装置

Country Status (6)

Country Link
US (2) US8636871B2 (zh)
JP (1) JP5168907B2 (zh)
KR (1) KR101124924B1 (zh)
CN (1) CN101601125B (zh)
TW (1) TW200839924A (zh)
WO (1) WO2008087843A1 (zh)

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103250470A (zh) * 2010-12-09 2013-08-14 韩国科学技术院 等离子体发生器
CN103444269A (zh) * 2011-03-30 2013-12-11 周星工程股份有限公司 等离子体发生装置及基板处理装置
CN103503580A (zh) * 2012-02-23 2014-01-08 国立大学法人东北大学 等离子处理装置和等离子处理方法
CN104081883A (zh) * 2012-02-24 2014-10-01 国立大学法人东北大学 等离子处理装置和等离子处理方法
CN106783499A (zh) * 2015-11-20 2017-05-31 应用材料公司 横向等离子体/自由基源
CN109534459A (zh) * 2018-12-20 2019-03-29 陆炯 一种等离子体高效污水处理装置及其处理方法
CN109957786A (zh) * 2018-11-16 2019-07-02 黄剑鸣 一种制作hit硅电池的气相沉積装置
CN110167249A (zh) * 2014-12-01 2019-08-23 无锡源清天木生物科技有限公司 常压放电冷等离子体发生器
CN111034038A (zh) * 2017-09-29 2020-04-17 株式会社村田制作所 压电基板的制造装置和压电基板的制造方法
CN111383899A (zh) * 2018-12-27 2020-07-07 东京毅力科创株式会社 等离子体处理装置和等离子体处理方法

Families Citing this family (113)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4760516B2 (ja) * 2005-12-15 2011-08-31 東京エレクトロン株式会社 塗布装置及び塗布方法
KR100875233B1 (ko) * 2007-02-06 2008-12-19 (주)에스이 플라즈마 돌출된 플라즈마 배출구 주위에 흡입구가 형성된 플라즈마발생장치
US20110000432A1 (en) * 2008-06-12 2011-01-06 Atomic Energy Council - Institute Of Nuclear Energy Research One atmospheric pressure non-thermal plasma reactor with dual discharging-electrode structure
EP2211369A1 (en) * 2009-01-23 2010-07-28 Applied Materials, Inc. Arrangement for working substrates by means of plasma
CN102405511B (zh) * 2009-04-20 2014-06-11 应用材料公司 使用处理腔室壁上的硅涂层增强清除残余的氟自由基的方法
JP5648349B2 (ja) * 2009-09-17 2015-01-07 東京エレクトロン株式会社 成膜装置
JP5443127B2 (ja) * 2009-10-28 2014-03-19 東京エレクトロン株式会社 プラズマ処理装置
TW201130401A (en) * 2009-11-23 2011-09-01 Jusung Eng Co Ltd Apparatus for processing substrate
KR101139815B1 (ko) * 2010-03-18 2012-04-30 주식회사 밀레니엄투자 균일한 주파수 공급구조를 갖는 전극 어셈블리 및 이를 구비한 플라즈마 반응기
KR101693673B1 (ko) * 2010-06-23 2017-01-09 주성엔지니어링(주) 가스분배수단 및 이를 포함한 기판처리장치
WO2012002232A1 (ja) * 2010-06-28 2012-01-05 東京エレクトロン株式会社 プラズマ処理装置及び方法
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
WO2012134605A1 (en) * 2011-03-25 2012-10-04 Applied Materials, Inc. Method and apparatus for thermocouple installation or replacement in a substrate support
KR101247103B1 (ko) * 2011-04-08 2013-04-01 주성엔지니어링(주) 플라즈마 발생 장치 및 기판 처리 장치
US8333166B2 (en) * 2011-05-04 2012-12-18 Nordson Corporation Plasma treatment systems and methods for uniformly distributing radiofrequency power between multiple electrodes
US9305810B2 (en) * 2011-06-30 2016-04-05 Applied Materials, Inc. Method and apparatus for fast gas exchange, fast gas switching, and programmable gas delivery
KR101241049B1 (ko) 2011-08-01 2013-03-15 주식회사 플라즈마트 플라즈마 발생 장치 및 플라즈마 발생 방법
KR101246191B1 (ko) 2011-10-13 2013-03-21 주식회사 윈텔 플라즈마 장치 및 기판 처리 장치
FI125808B (en) * 2012-03-09 2016-02-29 Outotec Oyj Anode and method for operating an electrolytic cell
JP5920453B2 (ja) * 2012-03-15 2016-05-18 東京エレクトロン株式会社 成膜装置
CN103377979B (zh) * 2012-04-30 2016-06-08 细美事有限公司 调节板和具有该调节板的用于处理基板的装置
KR102015011B1 (ko) * 2012-06-20 2019-10-21 주성엔지니어링(주) 플라즈마 처리 장치 및 플라즈마 처리 방법
KR101413979B1 (ko) * 2012-06-21 2014-07-04 주식회사 테스 플라즈마 발생장치 및 이를 포함하는 박막증착장치
KR101332337B1 (ko) 2012-06-29 2013-11-22 태원전기산업 (주) 초고주파 발광 램프 장치
WO2014030224A1 (ja) * 2012-08-22 2014-02-27 株式会社Jcu プラズマ処理装置及びプラズマ処理方法
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US20140141619A1 (en) * 2012-11-19 2014-05-22 Tokyo Electron Limited Capacitively coupled plasma equipment with uniform plasma density
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
JP2016522539A (ja) * 2013-04-17 2016-07-28 東京エレクトロン株式会社 均一なプラズマ密度を有する容量結合プラズマ装置
JP6305825B2 (ja) * 2014-05-12 2018-04-04 東京エレクトロン株式会社 プラズマ処理装置およびそれに用いる排気構造
TWI575554B (zh) * 2014-05-14 2017-03-21 馗鼎奈米科技股份有限公司 電漿設備
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
JP6224266B2 (ja) * 2014-10-29 2017-11-01 東芝三菱電機産業システム株式会社 放電発生器とその電源装置
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
JP6423706B2 (ja) * 2014-12-16 2018-11-14 東京エレクトロン株式会社 プラズマ処理装置
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
JP6542053B2 (ja) * 2015-07-15 2019-07-10 株式会社東芝 プラズマ電極構造、およびプラズマ誘起流発生装置
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US20170092470A1 (en) 2015-09-28 2017-03-30 Applied Materials, Inc. Plasma reactor for processing a workpiece with an array of plasma point sources
US10600621B2 (en) * 2016-03-30 2020-03-24 Tokyo Electron Limited Plasma electrode and plasma processing device
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
CN108885983B (zh) * 2016-05-30 2022-11-11 株式会社Jcu 等离子处理装置及方法
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
TWI620228B (zh) * 2016-12-29 2018-04-01 財團法人工業技術研究院 電漿處理裝置與電漿處理方法
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
JP6857526B2 (ja) * 2017-03-27 2021-04-14 株式会社Screenホールディングス 基板処理装置、および、基板処理方法
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10431427B2 (en) * 2017-05-26 2019-10-01 Applied Materials, Inc. Monopole antenna array source with phase shifted zones for semiconductor process equipment
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
KR102009348B1 (ko) * 2017-09-20 2019-08-09 주식회사 유진테크 배치식 플라즈마 기판처리장치
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
CN110600355B (zh) * 2018-06-13 2021-12-24 财团法人工业技术研究院 等离子体处理装置
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11929237B2 (en) * 2018-08-28 2024-03-12 Fuji Corporation Plasma generation device and plasma head cooling method
US11688586B2 (en) 2018-08-30 2023-06-27 Tokyo Electron Limited Method and apparatus for plasma processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
GB2577697B (en) 2018-10-02 2023-01-11 Oxford Instruments Nanotechnology Tools Ltd Electrode array
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
KR20210027601A (ko) 2019-08-29 2021-03-11 삼성전자주식회사 플라즈마 표면처리 장치 및 이를 구비하는 기판 처리 시스템과 이를 이용한 플라즈마 표면처리 방법

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63234532A (ja) * 1987-03-24 1988-09-29 Toshiba Corp プラズマエツチング装置
JP2784605B2 (ja) 1990-04-28 1998-08-06 靖一 田沼 リグニン配糖体およびその用途
JP3242166B2 (ja) * 1992-11-19 2001-12-25 株式会社日立製作所 エッチング装置
US5647945A (en) * 1993-08-25 1997-07-15 Tokyo Electron Limited Vacuum processing apparatus
JP3502157B2 (ja) * 1994-07-18 2004-03-02 東京エレクトロン株式会社 プラズマ処理装置
JP3812966B2 (ja) * 1996-02-07 2006-08-23 沖電気工業株式会社 プラズマ処理装置及びプラズマ処理方法
DE10060002B4 (de) * 1999-12-07 2016-01-28 Komatsu Ltd. Vorrichtung zur Oberflächenbehandlung
JP4720019B2 (ja) * 2001-05-18 2011-07-13 東京エレクトロン株式会社 冷却機構及び処理装置
JP3960190B2 (ja) * 2002-10-11 2007-08-15 松下電工株式会社 プラズマ処理装置及びプラズマ処理方法
JP5404984B2 (ja) * 2003-04-24 2014-02-05 東京エレクトロン株式会社 プラズマモニタリング方法、プラズマモニタリング装置及びプラズマ処理装置
JP2006331740A (ja) * 2005-05-24 2006-12-07 Sharp Corp プラズマプロセス装置

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103250470A (zh) * 2010-12-09 2013-08-14 韩国科学技术院 等离子体发生器
CN103444269A (zh) * 2011-03-30 2013-12-11 周星工程股份有限公司 等离子体发生装置及基板处理装置
CN103444269B (zh) * 2011-03-30 2016-09-07 周星工程股份有限公司 等离子体发生装置及基板处理装置
CN103503580A (zh) * 2012-02-23 2014-01-08 国立大学法人东北大学 等离子处理装置和等离子处理方法
CN104081883A (zh) * 2012-02-24 2014-10-01 国立大学法人东北大学 等离子处理装置和等离子处理方法
CN110167249A (zh) * 2014-12-01 2019-08-23 无锡源清天木生物科技有限公司 常压放电冷等离子体发生器
CN110167249B (zh) * 2014-12-01 2022-01-28 无锡源清天木生物科技有限公司 常压放电冷等离子体发生器
CN106783499A (zh) * 2015-11-20 2017-05-31 应用材料公司 横向等离子体/自由基源
CN111034038A (zh) * 2017-09-29 2020-04-17 株式会社村田制作所 压电基板的制造装置和压电基板的制造方法
CN111034038B (zh) * 2017-09-29 2024-02-06 株式会社村田制作所 压电基板的制造装置和压电基板的制造方法
CN109957786A (zh) * 2018-11-16 2019-07-02 黄剑鸣 一种制作hit硅电池的气相沉積装置
CN109534459B (zh) * 2018-12-20 2021-11-30 扬州市博喧环保科技有限公司 一种等离子体高效污水处理装置及其处理方法
CN109534459A (zh) * 2018-12-20 2019-03-29 陆炯 一种等离子体高效污水处理装置及其处理方法
CN111383899A (zh) * 2018-12-27 2020-07-07 东京毅力科创株式会社 等离子体处理装置和等离子体处理方法

Also Published As

Publication number Publication date
KR101124924B1 (ko) 2012-04-12
US8636871B2 (en) 2014-01-28
US9252001B2 (en) 2016-02-02
JP5168907B2 (ja) 2013-03-27
KR20090091332A (ko) 2009-08-27
WO2008087843A1 (ja) 2008-07-24
US20140138356A1 (en) 2014-05-22
US20100006543A1 (en) 2010-01-14
CN101601125B (zh) 2012-07-25
JP2008172168A (ja) 2008-07-24
TW200839924A (en) 2008-10-01

Similar Documents

Publication Publication Date Title
CN101601125B (zh) 等离子体处理装置
CN103227091B (zh) 等离子体处理装置
KR101161911B1 (ko) 플라즈마 처리 장치
US5015330A (en) Film forming method and film forming device
CN101849280B (zh) 喷淋头、基底制程装置以及等离子体供应方法
TWI427669B (zh) 使用中空陰極電漿處理大面積基板之裝置
CN117810155A (zh) 载置台和基片处理装置
US20070095287A1 (en) Plasma producing method and apparatus as well as plasma processing apparatus
CN102568992B (zh) 等离子体处理装置
TW202211733A (zh) 用於循環與選擇性材料移除與蝕刻的處理腔室
WO2015019765A1 (ja) プラズマ処理装置及びプラズマ処理方法
JP6936884B2 (ja) 改良されたフロー均一性/ガスコンダクタンスを備えた可変処理容積に対処するための対称チャンバ本体設計アーキテクチャ
JP2010520955A (ja) 大面積基板に堆積するための装置及び方法
KR101857340B1 (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
JP3814176B2 (ja) プラズマ処理装置
KR20110093251A (ko) 기판 처리 장치 및 방법
KR20190114870A (ko) 플라즈마 처리 장치
KR20180061029A (ko) 플라즈마 처리 장치 및 샤워 헤드
TW202312221A (zh) 混合電漿源陣列
JP2016018727A (ja) プラズマ処理装置
US20070044914A1 (en) Vacuum processing apparatus
JPH10289881A (ja) プラズマcvd装置
KR101421143B1 (ko) 박막 증착 장치 및 이를 이용한 박막 트랜지스터의제조방법
KR101236383B1 (ko) 기판 처리 장치의 리드 프레임
TWI428981B (zh) 基板處理設備之氣體供應構造

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20120725

Termination date: 20141227

EXPY Termination of patent right or utility model