CN101504681A - Evaluation method for decoupling capacitor on ASIC sheet based on chain circuit - Google Patents

Evaluation method for decoupling capacitor on ASIC sheet based on chain circuit Download PDF

Info

Publication number
CN101504681A
CN101504681A CNA2009100303959A CN200910030395A CN101504681A CN 101504681 A CN101504681 A CN 101504681A CN A2009100303959 A CNA2009100303959 A CN A2009100303959A CN 200910030395 A CN200910030395 A CN 200910030395A CN 101504681 A CN101504681 A CN 101504681A
Authority
CN
China
Prior art keywords
node
circuit
voltage
resistance
current
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2009100303959A
Other languages
Chinese (zh)
Other versions
CN101504681B (en
Inventor
刘新宁
邵金梓
杨军
时龙兴
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Southeast University
Original Assignee
Southeast University
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Southeast University filed Critical Southeast University
Priority to CN2009100303959A priority Critical patent/CN101504681B/en
Publication of CN101504681A publication Critical patent/CN101504681A/en
Priority to PCT/CN2009/073284 priority patent/WO2010105460A1/en
Application granted granted Critical
Publication of CN101504681B publication Critical patent/CN101504681B/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/36Circuit design at the analogue level
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/06Power analysis or power optimisation

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

The invention discloses a method for estimating the decoupling capacitors on a chip of an ASIC based on a chain circuit, and belongs to the field of estimation of the decoupling capacitors in inhibition of mains voltage fluctuation. The method comprises: firstly, adopting Star-RCXT to extract parasitic parameters of the ASIC after wiring, and using Perl to analyze the SPF file format and included information for the modeling of the chain circuit; secondly, using an Euler formula to realize the equivalence of the capacitors, and compressing the circuit by a Y-delta conversion method; thirdly, solving the voltage and the current of various nodes of a compressor circuit, and restoring the solving of the chain circuit; and fourthly, adopting integral idea to obtain the number of decoupling capacitors required to be added according to the principle that the voltage fluctuation of the nodes cannot exceed 10 percent of the mains voltage. The method estimates the number of the decoupling capacitors on a chip required to be added between power ground wires, makes the amplitude of mains fluctuation not exceed 10 percent of the mains voltage, and effectively solves the problem of voltage drop of a power network.

Description

Based on the ASIC sheet of the link circuit evaluation method for decoupling capacitor that gets on
Technical field
The present invention relates to suppress a kind of ASIC sheet in mains fluctuations technical field evaluation method for decoupling capacitor that gets on based on link circuit.
Background technology
Studies show that, the power-supply fluctuation amplitude of power ground network can not surpass 10% of supply voltage, otherwise can cause that the transmission delay of data-signal and level are unpredictable, produced simultaneously simultaneous switching noise brings electromagnetic interference (EMI) to other signals, reduces the Electro Magnetic Compatibility of chip.
Decoupling capacitor can effectively address this problem on the sheet adding between power supply ground, when circuit overturns, can at first extract electric charge from contiguous decoupling capacitor rather than from power bus, after the circuit upset is finished, decoupling capacitor can slowly charge in electric power network again, therefore can suppress mains fluctuations, reduce simultaneous switching noise.So in concrete enforcement, need estimate the quantity of decoupling capacitor.
In July, 2003, the disclosed patent No. was that the patent " based on the method for the ic power network transients analysis and solution of equivalent electrical circuit " of 03104770.X has proposed a kind of dynamic electric voltage derivation algorithm based on link circuit, with the RLC link circuit is ASIC circuit network model, by circuit compression and rejuvenation solving circuit dynamic electric voltage.
In present VLSI circuit, adopt the method for standard cell placement mostly, therefore the shared power supply ground of a plurality of devices exist a large amount of RC link circuits.When the upset of circuit when power bus extracts certain electric current, can cause certain pressure drop to the electric power network bus, and this pressure drop can have influence on the circuit of shared this power bus in the chip, makes its supply voltage shakiness.
Summary of the invention
The present invention proposes a kind of ASIC sheet based on link circuit evaluation method for decoupling capacitor that gets in order effectively to suppress mains fluctuations.
A kind of ASIC sheet based on link circuit evaluation method for decoupling capacitor that gets on comprises the steps:
(1) link circuit model
According to the ASIC circuit characteristic, whole network is abstract to be a link circuit, and its major parameter information comprises: the annexation between the element node, the resistance value R between the node i, node capacitor C iAnd element equivalent current source e i
(2) the SPF file extracts
Tool using Star-RCXT extracts the parasitic parameter file of wiring back circuit, and promptly the SPF file orders to be: StarXtract-clean../script/starrcxt.cmd;
(3) parameter of using the Perl language to extract in the SPF file is carried out the link circuit modeling
A. to the extraction of node relationships and resistance: according in the SPF file to the description of resistance information, adopt Perl to extract: to choose a resistance arbitrarily, its two end node is numbered, with arbitrary node is that starting point is searched the SPF file, judge whether to exist the continuous new node of node therewith: if exist, repeat above-mentioned search procedure then to this new node numbering, and with it as starting point; If do not exist, then another node of initial resistance is searched according to same process, obtain the structural information of whole link circuit;
Resistance and nodal information deletion with extracting in this process repeat whole search procedure, can extract other electrical chains;
B. to the extraction of node capacitor: according in the SPF file to the description of capacitance information, extract all row with Perl with " C " beginning, be that key word mates with the nodename, search the corresponding electric capacity of this node;
C. current source equivalence: the usable floor area current method, calculate current source according to the standard block area:
I total = P total Vdd , I cell = I total × A cell A total
Wherein: P Total-chip total power consumption, A Total-gate cell area summation, A Cell-certain gate cell area, I Total-chip average current, I Cell-gate cell consumed current, i.e. current source size;
Quote total power consumption and all the gate cell area sums that module consumes by report_power and report_area order when comprehensive using Design Compiler to net table, a certain gate cell area can be found in the java standard library file, promptly sets up the link circuit model by above method;
(4) electric power network node dynamic electric voltage is found the solution
A. capacitor equivalent: at k+1 constantly with Euler's formula with capacitance equation I c , k + 1 = C × dV c , k + 1 dt Conversion obtains:
I c , k + 1 = 2 C h V c , k + 1 - ( 2 C h V c , k + I c , k )
This capacitive form and the original current source of link circuit are merged, and obtaining branch road is resistance
Figure A200910030395D00053
With current source
Figure A200910030395D00054
With form;
B. the Y-Δ conversion of equivalent electrical circuit: each nodes X of link circuit and two coupled branch road resistance R aAnd R bAnd branch road resistance R cWith branch current I cRegard a Y type circuit as, utilization Y-Δ equivalent transformation obtains:
R ab = R a R b + R a R c + R b R c R c , R ac = R a R b + R a R c + R b R c R b , R b c = R a R b + R a R c + R b R c R a
In like manner obtain: I ac = R c I c R ac - R a I a R ac , I bc = R c I c R bc - R b I b R bc
Circuit after the conversion and primary circuit like terms are merged, and iteration carries out circuit compression, can get first node of compressor circuit and the resistance of n node branch road With
Figure A200910030395D000511
Current source
Figure A200910030395D000512
With
Figure A200910030395D000513
And two internodal resistance of compressor circuit
Figure A200910030395D000514
C. compressor circuit is found the solution: make V 1, k+1≈ Vdd, obtain the node voltage of compressor circuit according to Kirchhoff's law:
V n , k + 1 = R 1 , n equiv ( V 1 , k + 1 R 1 equiv + I 1 , k + 1 equiv ) + V 1 , k + 1 , E 1 , k + 1 = V n , k + 1 - V 1 , k + 1 R 1 , n equiv - I 1 , k + 1 equiv - V 1 , k + 1 R 1 equiv
D. the link circuit node recovers: because E 1, k+1In compression process, do not change, utilize the circuit solving result after compressing to carry out iteration, thereby obtain the voltage and the electric current of all intermediate nodes:
V 2,k+1=V 1,k+1+R 1×E 1,k+1
E 2 , k + 1 = E 1 , k + 1 + V 2 , k + 1 r 2 + ec 2 , k + 1 ,
Can solve all nodes at k+1 node voltage V constantly J, k+1With node branch current E J, k+1, 2≤j≤n-1 wherein;
(5) decoupling capacitor on the sheet of estimation insertion
Make V Th=90%Vdd according to the node voltage situation of change, supposes at t 1-t 2Time period i node instantaneous voltage v (i) is lower than V Th, obtain the quantity of electric charge of required compensation:
Q = ∫ t 1 t 2 [ V th - v ( i ) ] dt
According to Q=CVdd, be converted into electric capacity and be: C = ∫ t 1 t 2 [ V th - v ( i ) ] dt Vdd ;
Wherein: C-capacitor's capacity, h-simulation step-length, V C, k+1And I C, k+1Be respectively k+1 electric capacity both end voltage and the electric current that flows through electric capacity, V constantly C, kAnd I C, kBe respectively k electric capacity both end voltage and the electric current that flows through electric capacity, V constantly I, kAnd I I, kBe respectively the k voltage and current of node i constantly, i is a node serial number, is natural number, e I, k+1The equivalent current source of-gate cell, R Ab, R AcAnd R BcBe equivalent Δ type circuit a, three nodes of b, c resistance between in twos, R a, R bAnd R cBe the branch road resistance of equivalent Y type circuit node, I a, I bAnd I cFor flowing through the branch current of equivalent Y type circuit node, I Ab, I BcAnd I AcFor flowing through equivalent Δ type circuit a, two nodes of b, c branch current between in twos, V 1, k+1And V N, k+1Be respectively the node voltage of the 1st node of compressor circuit and n node, E 1, k+1And E N, k+1Be respectively the branch current that flows through the 1st node of compressor circuit and n node, n is the compressor circuit node serial number, is natural number, R 1-the 1 node-resistance, ec I, k+1-capacitor equivalent is replaced the branch current source of back link circuit, V Th-node need insert the voltage threshold of decoupling capacitor, Vdd-supply voltage, the quantity of electric charge of the required compensation of Q-node.
The inventive method has designed a kind of compression and restoration methods based on link circuit, with the rlc circuit model simplification is RC circuit model solution node dynamic electric voltage, the decoupling capacitor quantity that estimation should add in circuit, mains fluctuations have effectively been suppressed, and make the mains fluctuations amplitude of power ground network be no more than 10% of supply voltage, the pressure drop that when reducing the circuit upset electric power network bus is caused, thereby the electromagnetic interference (EMI) of minimizing chip internal.
Description of drawings
Fig. 1 is a method flow diagram of the present invention.
Fig. 2 is the link circuit equivalent structure figure in the ASIC circuit among the present invention, standard block equivalent current source-representation among the figure.
Fig. 3 is a chain type circuit modeling process flow diagram among the present invention.
Fig. 4 is the circuit diagram that node is described in the SPF file among the present invention.
Fig. 5 uses the Perl language to SPF file processing process flow diagram among the present invention.
Fig. 6 is a capacitor equivalent principle schematic in the link circuit among the present invention.
Fig. 7 is the link circuit structural representation after the distortion among the present invention.
Fig. 8 is that the link circuit after will being out of shape among the present invention carries out the compression process synoptic diagram.
Fig. 9 is the link circuit structural drawing after the compression among the present invention.
Figure 10 is according to certain node change in voltage situation synoptic diagram in an iteration cycle among the present invention.
Embodiment
Be the inventive method basic procedure as shown in Figure 1, describe implementation step below in detail.
A kind of ASIC sheet based on link circuit evaluation method for decoupling capacitor that gets on comprises the steps:
(1) RC link circuit model in the ASIC circuit as shown in Figure 2
According to the ASIC circuit characteristic, whole network is abstract to be a link circuit, and its major parameter information comprises: the annexation between the element node, the resistance value R between the node i, node capacitor C iAnd element equivalent current source e i, the charge and discharge process of constant current source analog chip internal standard unit wherein;
(2) the SPF file extracts
Tool using Star-RCXT extracts the parasitic parameter file of wiring back circuit, it is the SPF file, order is: StarXtract-clean../script/starrcxt.cmd, wherein specified the Milkyway form of input circuit and extracted requirement in the starrcxt.cmd script.Fig. 4 is the abstract circuit representation that comes out of the SPF file layout that extracts according to Star-RCXT and content;
(3) parameter of using the Perl language to extract in the SPF file is carried out the link circuit modeling, modeling flow process as shown in Figure 3: a. is to the extraction of node relationships and resistance: being described as resistance information in the SPF file: R168 fiforeg/Reg2/U7:VDDfiforeg/Reg30/out_reg_3_:VDD3.80094;
Wherein fiforeg/Reg2/U7:VDD and fiforeg/Reg30/out_reg_3_:VDD are the power supply node titles, 3.80094 be the resistance between the node, unit is Ω, can obtain resistance between annexation between the link circuit node and node according to its description.
As shown in Figure 5, adopt Perl to extract: to choose a resistance arbitrarily, its two end node is numbered, with arbitrary node is that starting point is searched the SPF file, judge whether to exist the continuous new node of node therewith: if exist, repeat above-mentioned search procedure then to this new node numbering, and with it as starting point; If do not exist, then another node of initial resistance is searched according to same process, obtain the structural information of whole link circuit;
Resistance and nodal information deletion with extracting in this process repeat whole search procedure, can extract other electrical chains;
B. to the extraction of node capacitor: being described as capacitance information in the SPF file:
Cg27KESblock/PE15/multiplierl/U10:VDD 0 4.05819e-16 extracts all row with " C " beginning with Perl, is that key word mates with the nodename, searches the corresponding electric capacity of this node;
C. current source equivalence: the usable floor area current method, calculate current source according to the standard block area:
I total = P total Vdd , I cell = I total × A cell A total
Wherein: P Total-chip total power consumption, A Total-gate cell area summation, A Cell-certain gate cell area, I Total-chip average current, I Cell-gate cell consumed current, i.e. current source size;
Quote total power consumption and all the gate cell area sums that module consumes by report power and report_area order when comprehensive using Design Compiler to net table, a certain gate cell area can be found in the java standard library file, promptly sets up the link circuit model by above method;
(4) electric power network node dynamic electric voltage is found the solution
After modelling is good, need be out of shape,, need carry out equivalent transformation so that clear its function because electric capacity is a time varying element to circuit;
A. as shown in Figure 6, capacitor equivalent conversion: at k+1 constantly with Euler's formula with capacitance equation I c , k + 1 = C × dV c , k + 1 dt Conversion obtains:
I c , k + 1 = 2 C h V c , k + 1 - ( 2 C h V c , k + I c , k )
This capacitive form and the original current source of link circuit are merged, and obtaining branch road is resistance
Figure A200910030395D00075
With current source
Figure A200910030395D00076
With form;
Equivalent link circuit as shown in Figure 7 is to be out of shape the arrangement of replacement back by the electric capacity in the link circuit to obtain the current source and the resistance form that have become when wherein branch road has comprised, branch current source after capacitor equivalent is replaced ec i , k + 1 = e i , k + 1 - ( 2 C h V i , k + I i , k ) , Branch impedance r i = h 2 C ;
Be the process that adopts the conversion of Y-Δ to compress in the circuit after the distortion as shown in Figure 8, describe in detail below:
B. the Y-Δ conversion of equivalent electrical circuit: each nodes X of link circuit and two coupled branch road resistance R aAnd R bAnd branch road resistance R cWith branch current I cRegard a Y type circuit as, utilization Y-Δ equivalent transformation, the parameter after the conversion is:
R ab = R a R b + R a R c + R b R c R c , R ac = R a R b + R a R c + R b R c R b , R b c = R a R b + R a R c + R b R c R a
Thereby have: E a = V b - V a R ab - V a R ac - R a I c R ac , E b = V a - V b R ab - V b R bc - R c I c R bc
In like manner obtain: I ac = R c I c R ac - R a I a R ac , I bc = R c I c R bc - R b I b R bc
Circuit after the conversion and primary circuit like terms are merged, and iteration carries out circuit compression, can get first node of compressor circuit and the resistance of n node branch road
Figure A200910030395D000716
With
Figure A200910030395D0007162207QIETU
Current source
Figure A200910030395D000718
With
Figure A200910030395D000719
And two internodal resistance of compressor circuit
Figure A200910030395D000720
Just obtain the link circuit after the compression as shown in Figure 9;
C. compressor circuit is found the solution: make V 1, k+1≈ Vdd, obtain the node voltage of compressor circuit according to Kirchhoff's law:
V n , k + 1 = R 1 , n equiv ( V 1 , k + 1 R 1 equiv + I 1 , k + 1 equiv ) + V 1 , k + 1 , E 1 , k + 1 = V n , k + 1 - V 1 , k + 1 R 1 , n equiv - I 1 , k + 1 equiv - V 1 , k + 1 R 1 equiv
D. the link circuit node recovers: comparison diagram 7 and Fig. 9 circuit structure, because E 1, k+1In compression process, do not change, utilize the circuit solving result after compressing to carry out iteration, thereby obtain the voltage and the electric current of all intermediate nodes:
V 2,k+1=V 1,k+1+R 1×E 1,k+1 E 2 , k + 1 = E 1 , k + 1 + V 2 , k + 1 r 2 + ec 2 , k + 1 ,
Can solve all nodes at k+1 node voltage V constantly J, k+1With node branch current E J, k+1, 2≤j≤n-1 wherein;
(5) decoupling capacitor on the sheet of estimation insertion
Be the node voltage situation of change of certain node in an iteration cycle as shown in figure 10, the principle that can not surpass supply voltage 10% according to the voltage fluctuation amplitude,, be lower than this threshold value and show and to come electric charge is regulated by decoupling capacitor as the voltage threshold that inserts decoupling capacitor with this;
Make V Th=90%Vdd according to the node voltage situation of change, supposes at t 1-t 2Time period i node instantaneous voltage v (i) is lower than V Th, adopt integration thought to obtain the quantity of electric charge of required compensation:
Q = ∫ t 1 t 2 [ V th - v ( i ) ] dt
According to Q=C.Vdd, be converted into electric capacity and promptly obtain the electric capacity that this node need insert and be: C = ∫ t 1 t 2 [ V th - v ( i ) ] dt Vdd ;
Wherein: C-capacitor's capacity, h-simulation step-length, V C, k+1And I C, k+1Be respectively k+1 electric capacity both end voltage and the electric current that flows through electric capacity, V constantly C, kAnd I C, kBe respectively k electric capacity both end voltage and the electric current that flows through electric capacity, V constantly I, kAnd I I, kBe respectively the k voltage and current of node i constantly, i is a node serial number, is natural number, r ie I, k+1The equivalent current source of-gate cell, R Ab, R AcAnd R BcBe equivalent Δ type circuit a, three nodes of b, c resistance between in twos, R a, R bAnd R cBe the branch road resistance of equivalent Y type circuit node, V aAnd V bBe the resistance at equivalent node a and b two ends, E aAnd E bFor flowing out the electric current of equivalent node a and b, I a, I bAnd I cFor flowing through the branch current of equivalent Y type circuit node, I Ab, I BcAnd I AcFor flowing through equivalent Δ type circuit a, three nodes of b, c branch current between in twos, V 1, k+1And V N, k+1Be respectively the node voltage of the 1st node of compressor circuit and n node, E 1, k+1And E N, k+1Be respectively the branch current that flows through the 1st node of compressor circuit and n node, n is the compressor circuit node serial number, is natural number, R 1-the 1 node-resistance, ec I, k+1-capacitor equivalent is replaced the branch current source of back link circuit, V Th-node need insert the voltage threshold of decoupling capacitor, Vdd-supply voltage, the quantity of electric charge of the required compensation of Q-node.

Claims (1)

1, a kind of ASIC sheet based on link circuit evaluation method for decoupling capacitor that gets on is characterized in that comprising the steps: (1) link circuit model
According to the ASIC circuit characteristic, whole network is abstract to be a link circuit, and its major parameter information comprises: the annexation between the element node, the resistance value R between the node i, node capacitor C iAnd element equivalent current source e i
(2) the SPF file extracts
Tool using Star-RCXT extracts the parasitic parameter file of wiring back circuit, and promptly the SPF file orders to be: StarXtract-clean../script/starrcxt.cmd;
(3) parameter of using the Perl language to extract in the SPF file is carried out the link circuit modeling
A. to the extraction of node relationships and resistance: according in the SPF file to the description of resistance information, adopt Perl to extract: to choose a resistance arbitrarily, its two end node is numbered, with arbitrary node is that starting point is searched the SPF file, judge whether to exist the continuous new node of node therewith: if exist, repeat above-mentioned search procedure then to this new node numbering, and with it as starting point; If do not exist, then another node of initial resistance is searched according to same process, obtain the structural information of whole link circuit;
Resistance and nodal information deletion with extracting in this process repeat whole search procedure, can extract other electrical chains;
B. to the extraction of node capacitor: according in the SPF file to the description of capacitance information, extract all row with Pcrl with " C " beginning, be that key word mates with the nodename, search the corresponding electric capacity of this node;
C. current source equivalence: the usable floor area current method, calculate current source according to the standard block area:
I total = P total Vdd , I cell = I total × A cell A total
Wherein: P Total-chip total power consumption, A Total-gate cell area summation, A Cell-certain gate cell area, I Total-chip average current, I Cell-gate cell consumed current, i.e. current source size;
Quote total power consumption and all the gate cell area sums that module consumes by report_power and report_area order when comprehensive using Design Compiler to net table, a certain gate cell area can be found in the java standard library file, promptly sets up the link circuit model by above method;
(4) electric power network node dynamic electric voltage is found the solution
A. capacitor equivalent: at k+1 constantly with Euler's formula with capacitance equation I c , k + 1 = C × dV c , k + 1 dt Conversion obtains:
I c , k + 1 = 2 C h V c , k + 1 - ( 2 C h V c , k + I c , k )
This capacitive form and the original current source of link circuit are merged, and obtaining branch road is resistance
Figure A200910030395C00025
With current source
Figure A200910030395C00026
With form;
B. the Y-Δ conversion of equivalent electrical circuit: each nodes X of link circuit and two coupled branch road resistance R aAnd R bAnd branch road resistance R cWith branch current I cRegard a Y type circuit as, utilization Y-Δ equivalent transformation obtains:
R ab = R a R b + R a R c + R b R c R c , R ac = R a R b + R a R c + R b R c R b , R b c = R a R b + R a R c + R b R c R a
In like manner obtain: I ac = R c I c R ac - R a I a R ac , I bc = R c I c R bc - R b I b R bc
Circuit after the conversion and primary circuit like terms are merged, and iteration carries out circuit compression, can get first node of compressor circuit and the resistance of n node branch road
Figure A200910030395C000212
With
Figure A200910030395C000213
Current source
Figure A200910030395C000214
With
Figure A200910030395C000215
And two internodal resistance of compressor circuit
Figure A200910030395C000216
C. compressor circuit is found the solution: make V 1, k+1≈ Vdd, obtain the node voltage of compressor circuit according to Kirchhoff's law:
V n , k + 1 = R 1 , n equiv ( V 1 , k + 1 R 1 equiv + I 1 , k + 1 equiv ) + V 1 , k + 1 , E 1 , k + 1 = V n , k + 1 - V 1 , k + 1 R 1 , n equiv - I 1 , k + 1 equiv - V 1 , k + 1 R 1 equiv
D. the link circuit node recovers: because E 1, k+1In compression process, do not change, utilize the circuit solving result after compressing to carry out iteration, thereby obtain the voltage and the electric current of all intermediate nodes:
V 2,k+1=V 1,k+1+R 1×E 1,k+1 E 2 , k + 1 = E 1 , k + 1 + V 2 , k + 1 r 2 + ec 2 , k + 1 , · · · · · ·
Can solve all nodes at k+1 node voltage V constantly J, k+1With node branch current E J, k+1, 2≤j≤n-1 wherein;
(5) decoupling capacitor on the sheet of estimation insertion
Make V Th=90%Vdd according to the node voltage situation of change, supposes at t 1-t 2Time period i node instantaneous voltage v (i) is lower than V Th, obtain the quantity of electric charge of required compensation:
Q = ∫ t 1 t 2 [ V th - v ( i ) ] dt
According to Q=CVdd, be converted into electric capacity and be: C = ∫ t 1 t 2 [ V th - v ( i ) ] dt Vdd ;
Wherein: C-capacitor's capacity, h-simulation step-length, V C, k+1And I C, k+1Be respectively k+1 electric capacity both end voltage and the electric current that flows through electric capacity, V constantly C, kAnd I C, kBe respectively k electric capacity both end voltage and the electric current that flows through electric capacity, V constantly I, kAnd I I, kBe respectively the k voltage and current of node i constantly, i is a node serial number, is natural number, e I, k+1The equivalent current source of-gate cell, R Ab, R AcAnd R BcBe equivalent Δ type circuit a, three nodes of b, c resistance between in twos, R a, R bAnd R cBe the branch road resistance of equivalent Y type circuit node, I a, I bAnd I cFor flowing through the branch current of equivalent Y type circuit node, I Ab, I BcAnd I AcFor flowing through equivalent Δ type circuit a, three nodes of b, c branch current between in twos, V 1, k+1And V N, k+1Be respectively the node voltage of the 1st node of compressor circuit and n node, E 1, k+1And E N, k+1Be respectively the branch current that flows through the 1st node of compressor circuit and n node, n is the compressor circuit node serial number, is natural number, R 1-the 1 node-resistance, ec I, k+1-capacitor equivalent is replaced the branch current source of back link circuit, V Th-node need insert the voltage threshold of decoupling capacitor, Vdd-supply voltage, the quantity of electric charge of the required compensation of Q-node.
CN2009100303959A 2009-03-20 2009-03-20 Evaluation method for decoupling capacitor on ASIC sheet based on chain circuit Active CN101504681B (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
CN2009100303959A CN101504681B (en) 2009-03-20 2009-03-20 Evaluation method for decoupling capacitor on ASIC sheet based on chain circuit
PCT/CN2009/073284 WO2010105460A1 (en) 2009-03-20 2009-08-17 Method for estimating on-chip decoupling capacitance of asic based on chain circuit

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN2009100303959A CN101504681B (en) 2009-03-20 2009-03-20 Evaluation method for decoupling capacitor on ASIC sheet based on chain circuit

Publications (2)

Publication Number Publication Date
CN101504681A true CN101504681A (en) 2009-08-12
CN101504681B CN101504681B (en) 2010-09-08

Family

ID=40976926

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2009100303959A Active CN101504681B (en) 2009-03-20 2009-03-20 Evaluation method for decoupling capacitor on ASIC sheet based on chain circuit

Country Status (2)

Country Link
CN (1) CN101504681B (en)
WO (1) WO2010105460A1 (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2010105460A1 (en) * 2009-03-20 2010-09-23 东南大学 Method for estimating on-chip decoupling capacitance of asic based on chain circuit
CN102419790A (en) * 2012-01-04 2012-04-18 西安电子科技大学 Quick capacitor select algorithm-based power distribution network design method
CN105447242A (en) * 2015-11-17 2016-03-30 西安华芯半导体有限公司 Method for analyzing state of power supply network of integrated circuit in real time
CN113238139A (en) * 2021-04-26 2021-08-10 金华市***科技有限公司 Circuit fault detection method, device, equipment and computer readable storage medium

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN117172174A (en) * 2022-05-24 2023-12-05 长鑫存储技术有限公司 Capacitor demand evaluation method and device in power distribution network

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1206722C (en) * 2003-02-28 2005-06-15 清华大学 Solving method for transient analysis of power source network based on equivalent circuit
US6807656B1 (en) * 2003-04-03 2004-10-19 Lsi Logic Corporation Decoupling capacitance estimation and insertion flow for ASIC designs
CN1305127C (en) * 2003-09-12 2007-03-14 清华大学 Quick method for implementing noise optimization of integrated circuit supply network using decoupling capacitor
US7571404B2 (en) * 2006-12-05 2009-08-04 Freescale Semiconductor, Inc. Fast on-chip decoupling capacitance budgeting method and device for reduced power supply noise
US7460441B2 (en) * 2007-01-12 2008-12-02 Microchip Technology Incorporated Measuring a long time period
CN101387613B (en) * 2008-10-17 2011-11-09 浙江大学 Capacity coupling non-contact conductance measuring device based on series resonance and method
CN101504681B (en) * 2009-03-20 2010-09-08 东南大学 Evaluation method for decoupling capacitor on ASIC sheet based on chain circuit

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2010105460A1 (en) * 2009-03-20 2010-09-23 东南大学 Method for estimating on-chip decoupling capacitance of asic based on chain circuit
CN102419790A (en) * 2012-01-04 2012-04-18 西安电子科技大学 Quick capacitor select algorithm-based power distribution network design method
CN102419790B (en) * 2012-01-04 2013-06-12 西安电子科技大学 Quick capacitor select algorithm-based power distribution network design method
CN105447242A (en) * 2015-11-17 2016-03-30 西安华芯半导体有限公司 Method for analyzing state of power supply network of integrated circuit in real time
CN105447242B (en) * 2015-11-17 2019-01-15 西安紫光国芯半导体有限公司 A kind of method of real-time analysing integrated circuits electric power network state
CN113238139A (en) * 2021-04-26 2021-08-10 金华市***科技有限公司 Circuit fault detection method, device, equipment and computer readable storage medium

Also Published As

Publication number Publication date
WO2010105460A1 (en) 2010-09-23
CN101504681B (en) 2010-09-08

Similar Documents

Publication Publication Date Title
CN101504681B (en) Evaluation method for decoupling capacitor on ASIC sheet based on chain circuit
CN102419790B (en) Quick capacitor select algorithm-based power distribution network design method
CN107818232B (en) Method is determined based on the integrated circuit critical component of load capacity model
Agarwal et al. Statistical interconnect metrics for physical-design optimization
CN101291062A (en) Analysis method of DC power flow in dynamic topology electric network
CN104967114A (en) Power grid load real-time digital modeling method and system
CN109388839A (en) Clock system method for analyzing performance and device
Ghoneima et al. Accurate decoupling of capacitively coupled buses
CN102243675B (en) Method for analytically calculating power consumption of coupled interconnection
Pan et al. Transient analysis of on-chip power distribution networks using equivalent circuit modeling
Mitra et al. Pre-layout decap allocation for power supply noise suppression and performance analysis of 512-point FFT core
Sotman et al. Leveraging symbiotic on-die decoupling capacitance
Zhang et al. Worst-case noise prediction using power network impedance profile
CN101639497B (en) Static estimating method of maximum overturning electric current in distributed sleep tube power gate control circuit
Lorival et al. Additivity of capacitive and inductive coupling in submicronic interconnects
Krishna et al. A methodology to optimize the number and placement of decoupling capacitors in a multilevel power delivery network
Tuuna et al. Modeling of on-chip bus switching current and its impact on noise in power supply grid
Wardzińska et al. Step response sensitivity to RLC parameters of VLSI interconnect
Zhang et al. On-chip power network optimization with decoupling capacitors and controlled-ESRs
Zhou et al. Large scale circuit analysis based on complex networks theory
Andersson et al. Interconnect characterization flow for minimal-segment model selection
Ding et al. Efficient crosstalk noise modeling using aggressor and tree reductions
Zou et al. A fast delay analysis algorithm for the hybrid structured clock network
Sekine et al. Generalized leapfrog scheme for large-scale circuit simulation
Maheshwari et al. Elmore's approximations based explicit delay and rise time model for distributed RLC on-chip VLSI global interconnect

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant