CN101490807B - 在半导体装置形成期间使用的方法 - Google Patents

在半导体装置形成期间使用的方法 Download PDF

Info

Publication number
CN101490807B
CN101490807B CN200780026005.XA CN200780026005A CN101490807B CN 101490807 B CN101490807 B CN 101490807B CN 200780026005 A CN200780026005 A CN 200780026005A CN 101490807 B CN101490807 B CN 101490807B
Authority
CN
China
Prior art keywords
layer
width
sept
etched
sacrificial pattern
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN200780026005.XA
Other languages
English (en)
Other versions
CN101490807A (zh
Inventor
周葆所
米尔扎菲尔·K·阿巴切夫
阿尔达万·尼鲁曼德
保罗·A·摩根
孟双
约瑟夫·格里利
布里安·J·科帕
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
North Star Franchise Group Co ltd
Original Assignee
Micron Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micron Technology Inc filed Critical Micron Technology Inc
Priority to CN201410270158.0A priority Critical patent/CN104064457B/zh
Publication of CN101490807A publication Critical patent/CN101490807A/zh
Application granted granted Critical
Publication of CN101490807B publication Critical patent/CN101490807B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30625With simultaneous mechanical treatment, e.g. mechanico-chemical polishing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0335Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by their behaviour during the process, e.g. soluble masks, redeposited masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3088Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/564Details not otherwise provided for, e.g. protection against moisture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Drying Of Semiconductors (AREA)
  • Semiconductor Memories (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

一种用于对层进行图案化的方法增加使用一系列自对准间隔物在初始图案化层上方形成的特征的密度。提供待蚀刻层,然后在所述待蚀刻层上方形成例如使用光学光刻形成的初始牺牲图案化层。视实施例而定,可修整所述图案化层,然后形成并蚀刻一系列间隔物层。间隔物层的数目及其目标尺寸取决于特征密度的所期望增加。还描述一种处理过程中的半导体装置及电子***。

Description

在半导体装置形成期间使用的方法
技术领域
本发明涉及半导体制造领域,且更特定来说涉及一种用于通过使用各种保形层及选择性蚀刻借助光刻掩模在起始特征密度下形成特征并形成是所述第一密度的n倍的最终密度的方法,其中n为大于1的整数。 
背景技术
在半导体装置形成期间,通常在半导体晶片上方形成许多特征,例如,字线、数字线、触点及其它特征。半导体装置工程师的一个目标是在既定区域中形成尽可能多的这些特征以增大产率、减少制造成本并使装置小型化。在半导体晶片上形成这些结构通常需要使用光刻。光学光刻是前沿晶片处理中使用最多的方法,其包括从照明源(照明器)投射既定波长(通常为248纳米(nm)或193纳米)的相干光,透过具有表示待形成的特征的铬图案的石英光掩模或光罩,并使所述图案成像到涂布有光致抗蚀剂的晶片上。所述光以化学方式改变光致抗蚀剂并使得暴露的光致抗蚀剂(在使用正性抗蚀剂的情况下)或未暴露的光致抗蚀剂(在使用负性抗蚀剂的情况下)能够使用显影剂被漂洗掉。 
随着特征大小减少,持续地测试光学光刻的限度。可通过工艺推进、增强的光刻方法(称为分辨率增强技术)及改善的设备及材料来做出对特征密度的改善。 
如图1-6中所示的一种此工艺推进使用掩模,所述掩模具有既定间距(即,从一个重复特征的开始到下一特征的开始的既定距离)的重复特征连同各种层的形成以及选择性蚀刻以使得从光刻掩模形成的特征的密度加倍。图1描绘半导体晶片衬底组合件10,其包括:半导体晶片、待蚀刻层12(例如氮化硅层)、支撑层14(例如使用化学气相沉积(CVD)或旋涂技术由碳形成)及经图案化遮蔽层16(例如使用光学光刻工艺形成的光致抗蚀剂层及使用光学光刻及蚀刻工艺形成的硬掩模层)。经图案化遮蔽层16可在光刻工艺允许的特征大小限度下形成,且包括相距既定距离18而形成的三个个别特征(三个周期/间距)。 
在形成图1的结构之后,通过将掩模16用作图案来执行对支撑层14的蚀刻。此蚀刻通常是一种选择性地针对待蚀刻层12蚀刻支撑层14的各向异性干蚀刻(即,其移除支撑层14而几乎不或不蚀刻待蚀刻层12)。在蚀刻支撑层14之后,移除经图案化遮蔽层16并形成保形硬掩模层20(例如二氧化硅)以形成图2的结构。 
 随后,执行对图2结构的间隔物蚀刻以形成具有来自所述硬掩模层沿支撑层14侧壁的间隔物20′的图3的结构。随后,蚀刻支撑层14以形成图4的结构。 
接下来,将从硬掩模层形成的间隔物20′用作图案以蚀刻待蚀刻层12,从而形成图5的结构。最后,选择性地针对待蚀刻层12蚀刻间隔物20′以形成图6的结构。 
图1-6的工艺具有以下优点:使用光学光刻来形成具有相距既定距离18的三个特征的遮蔽层16,而图6中所描绘的完成后结构具有相距原始距离18的六个特征12(六个周期/间距)。因此,在不需要额外光刻掩模的情况下使所述距离内特征的数目近似加倍。 
增大特征密度的各种技术描述于泰勒A劳瑞(Tyler A.Lowrey)等人所著的美国专利第5,328,810号及塞瑞狄罗伯茨(Ceredig Roberts)等人所著的美国专利第5,254,218号中,此两个专利均受让于美光科技有限公司(Micron Technology,Inc)且如同整体阐述并入本文中。 
一种用于使用具有第一间距的光学光刻掩模形成半导体装置并形成具有等于1/n的第二间距的特征的方法可是需要的,其中n为大于1的整数且不将特征大小的减小或间隔限定为使用光刻可达到的一半。 
发明内容
附图说明
图1-6为描绘用于加倍掩模特征(例如使用光刻法形成的掩模特征)数目的常规工艺的截面图; 
图7-15为描绘使既定区域中特征的数目增大四倍的本发明方法的实施例的处理过程中的半导体装置的截面图; 
图16-22为描绘使既定区域中特征的数目增大六倍的本发明方法的实施例的截面图; 
图23-31是描绘使既定区域中特征的数目增大三倍的本发明方法的变化形式的另一实施例的截面图; 
图32-38是描绘使既定区域中特征的数目增大五倍的本发明另一实施例的截面图; 
图39是可使用用本发明实施例形成的装置制造的各种组件的等角描绘;且 
图40是本发明用以形成具有存储装置晶体管阵列的存储器装置的一部分的例示性使用的方块图。 
应强调,本文中的图式可能未按精确比例绘制,而仅为示意性表示。所述图式并不意在描绘具体参数、材料、特定用途或本发明的结构细节,其可通过所属技术领域的技术人员检验本文中的信息来确定。 
具体实施方式
术语“晶片”应理解为基于半导体的材料,其中包含硅、绝缘体上硅(SOI)或蓝宝石上硅(SOS)技术、经掺杂及未经掺杂的半导体、由基底半导体基础支撑的硅外延层及其它半导体结构。此外,当在以下说明中提及“晶片”时,可能已利用先前的工艺步骤在所述基底半导体结构或基础中或其上方形成区或结。另外,当在以下说明中提及“衬底组合件”时,所述衬底组合件可包含晶片,视特定的处理阶段而定,所述晶片具有包含电介质及导体以及形成于其上方的特征(例如,晶体管)的层。另外,所述半导体不需要基于硅,但可基于硅-锗、绝缘体上硅、蓝宝石上硅、锗或砷化镓及其它。此外,在本文中的论述及权利要求书中,针对两个层所使用的术语“在......上(on)”,“一个在另一个上”,意指层之间的至少某些接触,而“在......上方(over)”意指各层紧密接近,但可能具有一个或一个以上额外中间层,使得接触成为可能但不是必需的。本文所使用的“在......上(on)”或“在......上方(over)”均不暗示任何方向性。术语“约(about)”指示所列出的值可稍微改变,只要所述改变不会导致所讨论的工艺或结构不顺应本发明。“间隔物”指示作为保形层而形成于不均匀形貌上方的层(通常为电介质),然后对所述层进行各向异性蚀刻以移除所述层的水平部分并留下所述层较高的竖直部分。 
本发明的各种实施例使用可使间距减小的交替间隔物沉积(ASD)来实现可变临界尺寸(CD)减小比率。所述间距减小工艺可实现小于先前形成的光刻掩模所界定的CD的CD。本文中描述的各种工艺实施例包括使用第一类型间隔物材料,所述第一类型间隔物材料被用作牺牲层并以对用于图案化下伏层的第二间隔物材料的高选择性将其移除。视修整比率而定,可实现间隔物沉积数目、每一沉积的厚度、是先前光刻法所界定的原始值的1/n的CD,其中n为大于1的奇数或偶数整体。换句话说,所述工艺将图案密度增加了n倍。特定来说,通过将对应间隔物蚀刻所遵循的ASD工艺重复m次,可实现是起始CD的1/2m或1/(2m-1)的CD,此可视执行两种方法中的哪一种而定。用于形成半导体装置的本发明方法的第一实施例描绘于图7-14中。此工艺实施例形成减小到其原始值的1/2m的CD。值m可通过合计在ASD工艺期间形成的间隔物层的数目来确定。 
图7描绘牺牲光刻图案70,其包括(例如)具有截面侧壁的上覆于待蚀刻层72的分段区段的光致抗蚀剂。待蚀刻层72可以是半导体晶片、包括上覆于半导体晶片或晶片区段的一个或一个以上层的半导体晶片衬底组合件或待经受图案化蚀刻的一个或一个以上其它层。在此实施例中,光刻特征70在每一特征70的宽度及特征70之间的间距14均大约相等的光刻限度下形成。层70可包括不同于光致抗蚀剂的经图案化材料。 
在形成图7的结构之后,使用各向同性蚀刻对光致抗蚀剂执行修整以形成图8的结构。当将光致抗蚀剂用作层70时,可通过将掩模70暴露到基于氧的等离子体(例 如O2/Cl2等离子体或O2/HBr等离子体)来执行所述修整。在此实施例中,对光致抗蚀剂70的修整的目的在于使每一特征70的宽度缩小0.25(25%)。也就是说,在修整之后,每一特征的宽度均从预修整特征的宽度缩小了约25%。在为规定修整工艺的此实施例及其它实施例执行修整的替代方案中,如果光刻工艺足够宽松以允许无需修整即直接进行图案化,则可替代地根据图8的尺寸直接印刷光致抗蚀剂特征70。完成后掩模的目标密度是原始图案的四倍,其中所述原始图案是图7处的未经修整光致抗蚀剂层70。由于目标是形成是原始图案四倍的图案密度(即,具有原始间距1/4的间距),因此由1/2m指示的所需间隔物层的数目为2。 
接下来,在图8结构的表面上方沉积第一间隔物层90(例如二氧化硅)以形成图9的结构。第一间隔物层90的目标厚度是图7的原始图案70的宽度的0.25倍。参照图9,距离92与每一经修整光致抗蚀剂特征70的宽度相同。使用常规技术对图9的第一间隔物层90执行间隔物蚀刻以形成具有第一间隔物90′的图10结构。 
在对第一间隔物层90进行间隔物蚀刻以形成间隔物90′之后,使用(例如)由晶片清洗所遵循的灰化工艺来移除光致抗蚀剂层70以形成图11结构。由于此工艺移除极少或不移除第一间隔物90′,所以110处及92处的间隔不发生明显变化。 
接下来,在图11结构上方形成第二间隔物层120以形成图12结构。选择第二间隔物层120的材料以使得第一间隔物90′可选择性地针对层120被移除(即,可移除间隔物层90′而几乎不或不蚀刻层120)。在此实施例中,第二间隔物层120包括氮化硅。此层120的目标厚度也等于原始未经修整光致抗蚀剂特征的厚度的0.25倍。由于图11的间距110与92大约相等,所以图12的间距122与124也大约相等。 
在形成图12结构之后,对第二间隔物层120执行诸如间隔物(各向异性)蚀刻的蚀刻以形成图13中具有第二间隔物120′的结构。然后选择性地针对第二间隔物120′移除第一间隔物90′以形成图14结构。可使用所属技术领域中已知的诸如经缓冲氢氟酸(HF)等湿工艺或干蚀刻工艺来选择性地移除二氧化硅。在此实施例中,通过剩下第二间隔物120′而形成的图案具有是图7的原始层70的四倍的密度(即,间距是图7的特征的间距的0.25倍)。用于选择性地针对第二间隔物120′移除第一间隔物90′的特定蚀刻视每一层所使用的材料而定,且可以是所属技术领域中已知的任何合适的蚀刻。最后,通过将间隔物120′用作图案来蚀刻待蚀刻层72以从待蚀刻层72形成特征。可使用以对间隔物120′合理的选择性来移除层72并形成类似于图15结构的完成后结构的任何蚀刻剂。 
对于此实施例,可以数学术语来描述与所形成的图案相关的各种元件的大小。参照图7,将每一牺牲光致抗蚀剂特征70形成为任意宽度1,其中每一特征70之间的距离14也为1;因此,间距为2。将每一光致抗蚀剂特征70修整掉X以形成图8的结构。因此,每一特征70具有宽度1-X,且每一特征之间的距离14为1+X。在此实施例中,当每一特征70具有宽度1时,X等于0.25(即,特征70的宽度的25%)。接下来,形成第一间隔物层90使其具有厚度“a”,因而距离92等于1+X-2am,其 中m是所述工艺中相距如此远而形成的间隔物层的数目(即,1)。在此实施例中,且在将CD减小到起始CD的1/2m的其它实施例中,“a”(第一间隔物层90的厚度)的目标确定为与相等X(从每一特征70修整掉的宽度)。蚀刻第一间隔物层90以形成图10结构不会改变元件70或90之间的关系。移除光致抗蚀剂特征70以形成图11可形成具有宽度1-X(.75)(所述宽度是光致抗蚀剂特征70的后修整宽度)的开口110及1+X-2am的距离92。(由于此时“a”等于X且m等于1,1-X=1+X-2am,因此不将任何工艺引发的偏差计算在内,两个距离110与92相等。)在图12处,形成第二间隔物层120使其具有厚度“a”(同样,对于此实施例来说,“a”等于X)。因此,距离122等于1-X-2a(m-1),其中m是相距如此远而形成的间隔物层的数目(即,2)。接下来,蚀刻第二间隔物层120以形成图13结构,且移除第一间隔物层90以形成图14的结构。 
当图7处的光致抗蚀剂70的原始(预修整)宽度等于1,则图14中每一特征之间的距离等于0.25。如以上段落中所描述,距离122等于1-X-2a(m-1),其中对于此实施例来说,X=a=0.25且m=2(间隔物层的数目)。因此,可确定距离122等于1-0.25-2(2-1)0.25=0.25。此外,距离124等于1+X-2am,因此可确定距离124等于1+0.25-2(.25)(2)=0.25。笼统地说,第一及第二间隔物层厚度“a”等于X(修整量),且还等于1/2m(最终CD,其中“m”等于间隔物层的数目)。 
预期,可修改上文所描述工艺以获得表达式1/2m中的较高m值,从而将特征密度增大2倍。图7及16-22中描绘了一种其中m=3的工艺,其将特征间距减少1/6(即,特征密度增大了六倍)。同样,为便于解释,光致抗蚀剂的最初目标宽度为任意厚度1,其中光致抗蚀剂之间的距离为1。因此,所述光致抗蚀剂特征具有间距2,其描绘于图7中。在形成图7结构之后,将每一光致抗蚀剂特征70修整掉其宽度的1/6(即,X=1/6)。因此,光致抗蚀剂特征70之间的距离增大到7/6。 
接下来,在图16中所描绘的经修整光致抗蚀剂上方形成毯覆式第一间隔物层16,例如氮化硅。第一间隔物层160的目标厚度是厚度1/6。在图16中,光致抗蚀剂70具有5/6的宽度162,且距离164也等于5/6。第一间隔物层160是经蚀刻以形成图17中所描绘的第一间隔物160′的间隔物。每一间隔物160′的目标基底宽度均保持在1/6。作为第一间隔物层的间隔物层160表示m=1。 
在形成图17结构之后,移除光致抗蚀剂70并在图18所描绘的第一间隔物160′上方形成毯覆式第二间隔物层180。作为第二间隔物层的间隔物层180表示m=2。第二间隔物层180由一种可针对第一间隔物160′选择性地蚀刻的材料形成,例如二氧化硅。第二间隔物层180的目标厚度为1/6,因此距离182等于3/6(即,X/2)。图18结构经受层180的间隔物蚀刻以形成如图19中所描绘的第二间隔物180′,然后形成如图中所描绘的毯覆式第三间隔物层190。第三间隔物层190可由与第一间隔物层相同的材料形成,例如氮化硅,且其目标厚度为1/6。因此距离192为1/6。间隔物层190表示m=3,且最终间隔物层以1/2m表示,其中m=3。 
执行对层190的间隔物蚀刻以形成包括间隔物160′、180′及190′的图20的结构,然后蚀刻第二间隔物180′并选择性地针对第一间隔物160′且针对第三间隔物190′将其移除。在选择性地针对氮化硅间隔物160′及190′蚀刻二氧化硅第二间隔物180′之后,剩下图21的结构。间隔物160′、190′提供具有是图7的光致抗蚀剂层70的密度的六倍的密度的掩模。最后,通过将间隔物160′、190′作为掩模来蚀刻待蚀刻层72以形成图22的结构。 
根据本文说明可修改此工艺以获得任何m值。最大m值的实际限度视处理技术及图7处X的起始尺寸(光致抗蚀剂的宽度及光致抗蚀剂之间的距离)而定。 
对于m次的总间隔物沉积来说,从第(m-1)、第(m-3)、第(m-5)等次沉积获得的间隔物为牺牲间隔物且可选择性地被移除。对于其中m=3的图16-22的实施例来说,m-1间隔物(即,第二间隔物180′)是牺牲间隔物且被移除。对于本发明揭示内容来说,术语“牺牲”是指在图案化中所使用的可在图案化待蚀刻层之前被移除的间隔物或其它层(例如层70)。 
在上文所述提供1/2m(其中m是所形成的间隔物层的数目)的CD减小的实施例中,间距的减少是2的倍数(即,1/2、1/4、1/6等)。下文描述的实施例提供1/(2m-1)(其中m≥2)的CD减小,因此所述减小可以是原始图案的1/3、1/5、1/7等。 
在此实施例中,图7的结构是根据所属技术领域中已知的技术而形成的,且包括待蚀刻层72以及包括上覆于待蚀刻层72的光致抗蚀剂70的光刻图案。所述待蚀刻层可以是半导体晶片、上覆于半导体层的一个或一个以上层或待经受图案化蚀刻的一个或一个以上其它层。在此实施例中,光刻特征70在光刻限定下形成,其中每一特征70的宽度及特征70之间的间距14均大约相等。层70可包括不同于光致抗蚀剂的经图案化材料。 
在形成图7结构之后,在图7结构的表面上方沉积第一间隔物层230(例如二氧化硅)以形成图23的结构。第一间隔物层230的目标厚度为光致抗蚀剂70的宽度的1/3倍。对图23的第一间隔物层230执行间隔物蚀刻,然后移除光致抗蚀剂层70,从而形成具有间隔物230′的图24结构。由于间隔物蚀刻及光致抗蚀剂蚀刻极少或不移除第一间隔物层230的竖直部分,因此232及240处的间距不发生明显变化。间距240等于图23中所描绘的光致抗蚀剂层70的宽度。 
接下来,在图24结构上方形成第二间隔物层250以形成图25结构。选择第二间隔物层250的材料以使得第一间隔物230′可选择性地相对于层250被移除。在此实施例中,第二间隔物层250包括氮化硅。此层250的目标厚度也等于图23中所描绘的光致抗蚀剂层70的厚度的1/3倍。相距如此远的工艺形成约为图23中所描绘的光致抗蚀剂层70的宽度的1/3的间距232。由于形成层250使其具有大于232的距离(等于1/3)的1/2的厚度(等于1/3),因此层250桥接跨越232处的开口,但不桥接跨越240处的开口。 
在形成图25结构之后,对第二间隔物层250执行诸如间隔物蚀刻的蚀刻以形成 包括间隔物230′及250′的图26的结构。此蚀刻暴露待蚀刻层72,但仅在最初在其上形成光致抗蚀剂层70的位置260处暴露。此外,位置260各自仅为图23处光致抗蚀剂层70的宽度的1/3。 
在形成图26结构之后,选择性地针对第二间隔物250′蚀刻第一间隔物230′以形成图27的结构。在此实施例中,通过剩下第二间隔物层250而形成的图案具有是图23处的层70的三倍(即,间距是图23处的特征70的间距的1/3倍)的密度。用于选择性地针对第二间隔物250′移除第一间隔物230′的特定蚀刻可视每一层所使用的材料而定,且可以是所属技术领域中已知的任何合适蚀刻。最后,使用以针对间隔物250′的合理选择性移除层72(未描绘移除)的任何蚀刻剂来蚀刻待蚀刻层72。 
可对图25结构执行平坦化工艺(例如CMP工艺)以形成图28的结构,而不是对图25结构执行间隔物蚀刻以形成图26结构。然后移除间隔物230′以留下图29的图案,然后执行对间隔物层250的蚀回(间隔物蚀刻)以形成包括间隔物250′的图30结构。最后,蚀刻层72以形成图31的结构。此CMP工艺可形成间隔物250′,其包括比使用间隔物蚀刻更均匀的高度,这对于后续处理来说可是有利的。当对图29结构执行间隔物蚀刻以清除层250的连接相邻间隔物的水平部分时,图30所描绘的所有特征250′均为间隔物,且包括平坦共面顶部。 
在先前段落的替代实施例中,可首先执行图28的层250的回蚀,然后可移除间隔物230′。 
图23-27的工艺提供1/(2m-1)(其中m=2)的CD减小(其中包括间隔物层230及250),因此间距减小为1/3(特征密度的三倍)。可修改此工艺以获得任何实际m值,因此所述减小可以是原始图案的1/3、1/5、1/7等。下文描绘一种其中m=3的工艺,因此间距将为原始掩模的1/5(即,特征密度的五倍)。同样,为便于解释,光致抗蚀剂的最初目标宽度为任意厚度1,其中光致抗蚀剂之间的距离为1。因此,所述光致抗蚀剂特征具有间距2,此描绘于图7中。如同图23-27的实施例,此实施例中没有修整光致抗蚀剂。 
对于此实施例,在图7结构上方形成毯覆式间隔物层,例如氮化硅。所述毯覆式间隔物层具有是每一光致抗蚀剂特征70的宽度的1/5的目标厚度。对第一间隔物层执行间隔物蚀刻以留下具有第一间隔物320、光致抗蚀剂70及待蚀刻层72的图32的结构。此时,m=1,其中间隔物320从第一间隔物形成。 
移除光致抗蚀剂层70且在第一间隔物320上方形成第二间隔物层330,如图33中所描绘。层330包括可选择性地针对间隔物320的材料而被蚀刻的材料,例如二氧化硅。层330的目标厚度为1/5,因此332处的间距为3/5且334处的间距为1/5。执行间隔物蚀刻以形成具有第一间隔物320及第二间隔物330′的图34的结构,因此在所述工艺中的此处m=2,其中间隔物330′从第二间隔物层320形成。 
接下来,形成第三间隔物层350。第三间隔物层350可包括与第一间隔物层相同的材料,在此实施例中为氮化硅,或包括将经受住对第二间隔物层的蚀刻的不同材料。 第三间隔物层的目标厚度为1/5。因为第三间隔物层350的目标厚度大于334处间距的一半,所以层350桥接跨越开口334的两端,而在间距332处保形地形成,其具有距离3/5。由于已有三个间隔物层用于所述工艺中的此处,因此m=3。 
在完成图35结构后,对第三间隔物层350执行间隔物蚀刻以形成具有第三间隔物350′的图36的结构。 
随后,选择性地针对第一间隔物320及第三间隔物350′蚀刻第二间隔物330′以形成图37结构。然后将剩余间隔物320、350用作掩模来蚀刻待蚀刻层72以形成图38的结构。最后,可移除间隔物320、350′。 
在使用间隔物蚀刻的替代方案中,可对各种实施例的结构执行平坦化,例如CMP。此CMP工艺可形成具有均匀高度的间隔物中的每一者,此对于后续处理可是有利的。当使用较高m值时,使用平坦化工艺而非间隔物蚀刻来移除间隔物层的一部分可是有利的。使用平坦化工艺而形成的结构将具有类似于图31的轮廓,而不是具有使用间隔物蚀刻而形成的图38的轮廓。还预期,一个或一个以上间隔物蚀刻可与一个或一个以上平坦化工艺组合。 
如同图7-22所描绘的实施例,可以数学术语来描述与通过图23-38的实施例形成的图案相关的各种元件的大小。将CD减小到其原始值的1/(2m-1),其中CD的原始值是图23及32处的光致抗蚀剂特征70的宽度,且m是所形成的间隔物层的数目,其中m≥2。可使用方程式1+X+2ma=-a来确定既定的CD减小所需要的间隔物层的数目,其中m≥2,且“a”是间隔物层的厚度被原始光致抗蚀剂层的宽度所除而得。在此实施例中,X=0表示没有修整。 
虽然原始掩模层70在图7-22的实施例中被修整且在图23-38的实施例中未被修整,但所述两个工艺具有相似之处。例如,仅由两种不同类型材料形成所有间隔物是可能的(但不是必需的)。m、m-2、m-4等间隔物层可均由相同材料形成,而m-1、m-3、m-5等层也可由相同材料形成(但不同于所述m、m-2、m-4等层且可选择性地针对所述层来蚀刻)。每一间隔物层均由不同于前述间隔物的材料形成。此外,在形成第二间隔物层之前移除这两个实施例中的原始遮蔽层一层70。同样,在任一实施例中均可移除m-1、m-3、m-5等间隔物层,而m、m-2、m-4等间隔物层则可用作图案。 
图7-22的实施例提供是偶数的特征密度乘数,而图23-38的实施例则提供是奇数的特征密度乘数。图7-22的实施例不具有对间隔物层的桥接,而图23-38的两个实施例则具有对间隔物层的桥接(图25的232处及图35的334处)的实例。 
在又一实施例中,形成图14的结构,且使用层120来代替图7的光致抗蚀剂层70。因此,如图8-11所进行的那样修整层120且形成间隔物层并蚀刻间隔物,然后移除层120。所述工艺对于图12及13的第二间隔物层继续进行。 
在另一实施例中,形成图27的结构,且使用层250来代替图7的光致抗蚀剂层70。因此,如在图24中对层70所进行的那样在层250上方形成间隔物层,然后移除 层250,且将此最终间隔物层用作掩模来蚀刻层10。可以本文所揭示的其它实施例来执行类似工艺。 
如图39中所描绘,根据本发明形成的半导体装置390可连同其它装置(例如,微处理器392)一起附装到印刷电路板394(例如,附装到计算机母板),或作为用于个人计算机、小型计算机或大型计算机396中的存储器模块的一部分。所述微处理器及/或存储器装置可与本发明实施例一起(或以其它方式包括)形成。图39还可表示装置390在与电信、汽车工业、半导体测试及制造装备、消费者电子装置或实质上任何一件消费者或工业电子装备有关的其它电子装置(包括外壳396)中的使用,例如包括微处理器392的装置。 
本文中所描述的工艺及结构可用于制造若干不同结构,其中包括根据本发明工艺而形成的经图案化层。例如,图40是具有容器式电容器、晶体管栅极及可使用本发明实施例而形成的其它特征的存储器装置(例如动态随机存取存储器)的简化方块图。所属技术领域的技术人员已知这一装置的一般操作。图40描绘耦合到存储器装置390的处理器392,且进一步描绘存储器集成电路的以下基本部分:控制电路400;行地址缓冲器402;列地址缓冲器404;行解码器406;列解码器408;感测放大器410;存储器阵列412;及数据输入/输出414。 
虽然已参照说明性实施例对本发明进行了描述,但并不打算将此说明解释为限定性意义。参照此说明,所属技术领域的技术人员将明了对说明性实施例以及本发明额外实施例的各种修改。例如,描述为从光致抗蚀剂形成的结构可由其它材料形成,例如无定形碳(AC)、透明碳(TC)、多层抗蚀剂(MLR)或双层抗蚀剂(BLR)。可执行干显影蚀刻以将图案从光致抗蚀剂层转变为介电抗反射涂层(DARC),或转变为底部抗反射涂层(BARC),然后转变为无定形碳、透明碳、下伏多层抗蚀剂或者转变为多层抗蚀剂或双层抗蚀剂的衬层。此外,可对干显影蚀刻之前的光致抗蚀剂或对干显影蚀刻之后的下伏层执行修整(如果采用)。 
假定各种实施例中的间隔物厚度均等于目标CD。结果是,线与间距具有相等宽度。然而,所述两种类型的间隔物材料的间隔物的厚度可是不同的,因而可形成具有各种占空系数的最终图案,只要所述两个间隔物厚度的和等于最终间距。例如,在间距三倍减小工艺期间,较厚的第一间隔物可与较薄的第二间隔物一起使用。在选择性地移除第一间隔物之后,形成间距宽松的最终图案(即,线小于间距),其厚度是原始厚度的三倍。这在某些实施例中可是较佳的,例如当与浅沟槽隔离工艺一起使用时。因此,预期所附权利要求书将涵盖归属于本发明真实范围内的任何此类修改或实施例。 

Claims (14)

1.一种在半导体装置制作期间使用的方法,其包括:
提供待蚀刻层;
在所述待蚀刻层上方形成牺牲图案化层,其中所述牺牲图案化层包括具有至少第一及第二截面侧壁的多个分段部分;
形成多个牺牲第一间隔物,其中在所述牺牲图案化层的每一分段部分的每一侧壁上形成一个间隔物;
移除所述牺牲图案化层;
在所述多个牺牲第一间隔物上方形成保形第二间隔物层;
移除所述保形第二间隔物层的一部分以在所述牺牲第一间隔物上形成多个第二间隔物,其中执行平坦化工艺以移除所述保形第二间隔物层的一部分;
在形成所述第二间隔物之后,移除所述牺牲第一间隔物;及
使用所述第二间隔物作为图案来蚀刻所述待蚀刻层,其中所述牺牲图案化层的每一部分均包括第一宽度,且所述方法进一步包括:
将每一牺牲图案化层的所述第一宽度修整为具有比所述第一宽度窄25%的第二宽度;
将所述多个第一间隔物中的每一者形成为具有为所述第一宽度的25%的宽度;及
蚀刻所述保形第二间隔物层以使得所述第二间隔物各自具有为所述第一宽度的25%的宽度。
2.如权利要求1所述的方法,其进一步包括蚀刻所述待蚀刻层以从所述待蚀刻层形成特征,其中从所述待蚀刻层形成的每一特征包括为所述第一宽度的25%的宽度。
3.如权利要求2所述的方法,其进一步包括:
将所述牺牲图案化层的所述多个分段部分形成为具有为所述第一宽度的两倍的预修整间距;及
蚀刻所述待蚀刻层以将所述特征形成为具有为所述牺牲图案化层的所述分段部分的所述预修整间距的25%的间距。
4.如权利要求1所述的方法,其进一步包括:
形成所述牺牲图案化层以使得所述多个分段部分具有第一密度;及
使用所述第二间隔物作为图案来蚀刻所述待蚀刻层以形成多个特征,其中所述多个特征具有为所述第一密度的四倍的第二密度。
5.如权利要求1所述的方法,其进一步包括:
形成所述牺牲图案化层以使得所述多个分段部分具有第一密度;及
使用所述第二间隔物作为图案来蚀刻所述待蚀刻层以形成多个特征,其中所述多个特征具有为所述第一密度的三倍的第二密度。
6.如权利要求1所述的方法,其进一步包括由光致抗蚀剂形成所述牺牲图案化层。
7.如权利要求1所述的方法,其进一步包括由选自由透明碳、多层抗蚀剂及双层抗蚀剂组成的群组的材料形成所述牺牲图案化层。
8.一种在半导体装置制作期间使用的方法,其包括:
提供待蚀刻层;
在所述待蚀刻层上方形成牺牲图案化层,其中所述牺牲图案化层包括具有至少第一及第二截面侧壁的多个分段部分;
形成多个牺牲第一间隔物,其中在所述牺牲图案化层的每一分段部分的每一侧壁上形成一个间隔物;
移除所述牺牲图案化层;
在所述多个牺牲第一间隔物上方形成保形第二间隔物层;
移除所述保形第二间隔物层的一部分以在所述牺牲第一间隔物上形成多个第二间隔物,其中执行平坦化工艺以移除所述保形第二间隔物层的一部分;
在形成所述第二间隔物之后,移除所述牺牲第一间隔物;及
使用所述第二间隔物作为图案来蚀刻所述待蚀刻层,
其中所述牺牲图案化层的每一部分均包括第一宽度,且所述方法进一步包括:
将所述多个第一间隔物中的每一者形成为具有为所述第一宽度的33%的宽度;以及
蚀刻所述保形第二间隔物层以使得所述第二间隔物各自具有为所述第一宽度的33%的宽度。
9.如权利要求8所述的方法,其进一步包括蚀刻所述待蚀刻层以从所述待蚀刻层形成特征,其中从所述待蚀刻层形成的每一特征包括为所述第一宽度的33%的宽度。
10.如权利要求9所述的方法,其进一步包括:
将所述牺牲图案化层的所述多个分段部分形成为具有为所述第一宽度的两倍的预定间距;及
蚀刻所述待蚀刻层以将所述特征形成为具有为所述牺牲图案化层的所述分段部分的所述预定间距的33%的间距。
11.如权利要求8所述的方法,其进一步包括:
形成所述牺牲图案化层以使得所述多个分段部分具有第一密度;及
使用所述第二间隔物作为图案来蚀刻所述待蚀刻层以形成多个特征,其中所述多个特征具有为所述第一密度的三倍的第二密度。
12.如权利要求8所述的方法,其进一步包括由光致抗蚀剂形成所述牺牲图案化层。
13.如权利要求8所述的方法,其进一步包括由选自由透明碳、多层抗蚀剂及双层抗蚀剂组成的群组的材料形成所述牺牲图案化层。
14.一种在电子***制作期间使用的方法,其包括:
使用包括以下步骤的方法来制作半导体装置:
提供待蚀刻层;
在所述待蚀刻层上方形成牺牲图案化层,其中所述牺牲图案化层包括具有至少第一及第二截面侧壁的多个分段部分;
形成多个牺牲第一间隔物,其中在所述牺牲图案化层的每一分段部分的每一侧壁上形成一个间隔物;
移除所述牺牲图案化层;
在所述多个牺牲第一间隔物上方形成保形第二间隔物层;
移除所述保形第二间隔物层的一部分以在所述牺牲第一间隔物上形成多个第二间隔物,其中执行平坦化工艺以移除所述保形第二间隔物层的一部分;
在形成所述第二间隔物之后,移除所述牺牲第一间隔物;及
使用所述第二间隔物作为图案来蚀刻所述待蚀刻层;
提供微处理器;及
在所述半导体装置与所述微处理器之间提供电路径以促进其之间的电连通,其中所述牺牲图案化层的每一部分均包括第一宽度,且所述方法进一步包括:
将每一牺牲图案化层的所述第一宽度修整为具有比所述第一宽度窄25%的第二宽度,将所述多个第一间隔物中的每一者形成为具有为所述第一宽度的25%的宽度,并且蚀刻所述保形第二间隔物层以使得所述第二间隔物各自具有为所述第一宽度的25%的宽度;或
将所述多个第一间隔物中的每一者形成为具有为所述第一宽度的33%的宽度,并且蚀刻所述保形第二间隔物层以使得所述第二间隔物各自具有为所述第一宽度的33%的宽度。
CN200780026005.XA 2006-07-10 2007-07-09 在半导体装置形成期间使用的方法 Active CN101490807B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201410270158.0A CN104064457B (zh) 2006-07-10 2007-07-09 半导体装置

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/484,271 US8852851B2 (en) 2006-07-10 2006-07-10 Pitch reduction technology using alternating spacer depositions during the formation of a semiconductor device and systems including same
US11/484,271 2006-07-10
PCT/US2007/015729 WO2008008338A2 (en) 2006-07-10 2007-07-09 Pitch reduction technology using alternating spacer depositions during the formation of a semiconductor device and systems including same

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN201410270158.0A Division CN104064457B (zh) 2006-07-10 2007-07-09 半导体装置

Publications (2)

Publication Number Publication Date
CN101490807A CN101490807A (zh) 2009-07-22
CN101490807B true CN101490807B (zh) 2014-07-16

Family

ID=38919499

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201410270158.0A Active CN104064457B (zh) 2006-07-10 2007-07-09 半导体装置
CN200780026005.XA Active CN101490807B (zh) 2006-07-10 2007-07-09 在半导体装置形成期间使用的方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN201410270158.0A Active CN104064457B (zh) 2006-07-10 2007-07-09 半导体装置

Country Status (7)

Country Link
US (7) US8852851B2 (zh)
JP (1) JP5453650B2 (zh)
KR (2) KR101573286B1 (zh)
CN (2) CN104064457B (zh)
SG (1) SG173362A1 (zh)
TW (1) TWI351738B (zh)
WO (1) WO2008008338A2 (zh)

Families Citing this family (107)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8852851B2 (en) 2006-07-10 2014-10-07 Micron Technology, Inc. Pitch reduction technology using alternating spacer depositions during the formation of a semiconductor device and systems including same
US7807575B2 (en) 2006-11-29 2010-10-05 Micron Technology, Inc. Methods to reduce the critical dimension of semiconductor devices
TWI374478B (en) * 2007-02-13 2012-10-11 Rohm & Haas Elect Mat Electronic device manufacture
US7790360B2 (en) * 2007-03-05 2010-09-07 Micron Technology, Inc. Methods of forming multiple lines
US7504287B2 (en) * 2007-03-22 2009-03-17 Advanced Micro Devices, Inc. Methods for fabricating an integrated circuit
US8143156B2 (en) * 2007-06-20 2012-03-27 Sandisk Technologies Inc. Methods of forming high density semiconductor devices using recursive spacer technique
KR100876892B1 (ko) * 2007-06-29 2009-01-07 주식회사 하이닉스반도체 반도체 소자의 제조방법
US8026180B2 (en) 2007-07-12 2011-09-27 Micron Technology, Inc. Methods of modifying oxide spacers
US8980756B2 (en) 2007-07-30 2015-03-17 Micron Technology, Inc. Methods for device fabrication using pitch reduction
US7981749B2 (en) * 2007-08-20 2011-07-19 GlobalFoundries, Inc. MOS structures that exhibit lower contact resistance and methods for fabricating the same
JP5086283B2 (ja) * 2008-02-15 2012-11-28 東京エレクトロン株式会社 パターン形成方法及び半導体装置の製造方法
JP4550126B2 (ja) * 2008-04-25 2010-09-22 東京エレクトロン株式会社 エッチングマスク形成方法、エッチング方法、および半導体デバイスの製造方法
US7989307B2 (en) 2008-05-05 2011-08-02 Micron Technology, Inc. Methods of forming isolated active areas, trenches, and conductive lines in semiconductor structures and semiconductor structures including the same
US10151981B2 (en) 2008-05-22 2018-12-11 Micron Technology, Inc. Methods of forming structures supported by semiconductor substrates
JP2009289974A (ja) * 2008-05-29 2009-12-10 Toshiba Corp 半導体装置の製造方法
US7811924B2 (en) * 2008-06-16 2010-10-12 Applied Materials, Inc. Air gap formation and integration using a patterning cap
US7883829B2 (en) * 2008-08-01 2011-02-08 International Business Machines Corporation Lithography for pitch reduction
US8039399B2 (en) * 2008-10-09 2011-10-18 Micron Technology, Inc. Methods of forming patterns utilizing lithography and spacers
KR20100052598A (ko) * 2008-11-11 2010-05-20 삼성전자주식회사 미세 패턴의 형성방법
US8273634B2 (en) 2008-12-04 2012-09-25 Micron Technology, Inc. Methods of fabricating substrates
US8247302B2 (en) 2008-12-04 2012-08-21 Micron Technology, Inc. Methods of fabricating substrates
US8796155B2 (en) * 2008-12-04 2014-08-05 Micron Technology, Inc. Methods of fabricating substrates
JP5275094B2 (ja) * 2009-03-13 2013-08-28 東京エレクトロン株式会社 基板処理方法
US8268543B2 (en) 2009-03-23 2012-09-18 Micron Technology, Inc. Methods of forming patterns on substrates
US9330934B2 (en) * 2009-05-18 2016-05-03 Micron Technology, Inc. Methods of forming patterns on substrates
US8105901B2 (en) * 2009-07-27 2012-01-31 International Business Machines Corporation Method for double pattern density
JP5574679B2 (ja) * 2009-11-17 2014-08-20 株式会社東芝 半導体装置の製造方法
US20110129991A1 (en) * 2009-12-02 2011-06-02 Kyle Armstrong Methods Of Patterning Materials, And Methods Of Forming Memory Cells
JP5192016B2 (ja) * 2010-05-07 2013-05-08 東京エレクトロン株式会社 半導体装置の製造方法及び半導体装置の製造装置
NL2006655A (en) * 2010-06-28 2011-12-29 Asml Netherlands Bv Multiple patterning lithography using spacer and self-aligned assist patterns.
US8518788B2 (en) 2010-08-11 2013-08-27 Micron Technology, Inc. Methods of forming a plurality of capacitors
US8455341B2 (en) 2010-09-02 2013-06-04 Micron Technology, Inc. Methods of forming features of integrated circuitry
US8730473B2 (en) * 2010-09-28 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple edge enabled patterning
US20120085733A1 (en) * 2010-10-07 2012-04-12 Applied Materials, Inc. Self aligned triple patterning
CN102693898B (zh) * 2011-03-21 2016-02-24 华邦电子股份有限公司 缩小间距的方法
US8900988B2 (en) 2011-04-15 2014-12-02 International Business Machines Corporation Method for forming self-aligned airgap interconnect structures
US9054160B2 (en) 2011-04-15 2015-06-09 International Business Machines Corporation Interconnect structure and method for fabricating on-chip interconnect structures by image reversal
US8890318B2 (en) 2011-04-15 2014-11-18 International Business Machines Corporation Middle of line structures
US8575032B2 (en) 2011-05-05 2013-11-05 Micron Technology, Inc. Methods of forming a pattern on a substrate
US8822137B2 (en) * 2011-08-03 2014-09-02 International Business Machines Corporation Self-aligned fine pitch permanent on-chip interconnect structures and method of fabrication
CN102446748A (zh) * 2011-08-04 2012-05-09 上海华力微电子有限公司 一种缩小侧墙定义的两次图形曝光工艺中最小线宽的方法
KR101807665B1 (ko) 2011-08-23 2017-12-12 삼성전자 주식회사 미세 패턴의 형성 방법
US20130062732A1 (en) 2011-09-08 2013-03-14 International Business Machines Corporation Interconnect structures with functional components and methods for fabrication
US9076680B2 (en) 2011-10-18 2015-07-07 Micron Technology, Inc. Integrated circuitry, methods of forming capacitors, and methods of forming integrated circuitry comprising an array of capacitors and circuitry peripheral to the array
JP2013143398A (ja) 2012-01-06 2013-07-22 Toshiba Corp 半導体装置の製造方法
US9177794B2 (en) 2012-01-13 2015-11-03 Micron Technology, Inc. Methods of patterning substrates
US20130189845A1 (en) 2012-01-19 2013-07-25 Applied Materials, Inc. Conformal amorphous carbon for spacer and spacer protection applications
US9087753B2 (en) 2012-05-10 2015-07-21 International Business Machines Corporation Printed transistor and fabrication method
JP6089451B2 (ja) * 2012-05-30 2017-03-08 大日本印刷株式会社 ナノインプリントモールドおよびその製造方法
US8629048B1 (en) 2012-07-06 2014-01-14 Micron Technology, Inc. Methods of forming a pattern on a substrate
KR20140020150A (ko) * 2012-08-08 2014-02-18 에스케이하이닉스 주식회사 반도체 소자의 제조 방법
TWI581055B (zh) * 2012-10-02 2017-05-01 聯華電子股份有限公司 形成光罩的方法
US9431267B2 (en) 2012-12-03 2016-08-30 Applied Materials, Inc. Semiconductor device processing tools and methods for patterning substrates
US8835328B2 (en) * 2013-02-08 2014-09-16 GlobalFoundries, Inc. Methods for fabricating integrated circuits with improved semiconductor fin structures
US9721784B2 (en) 2013-03-15 2017-08-01 Applied Materials, Inc. Ultra-conformal carbon film deposition
CN104347421A (zh) * 2013-08-07 2015-02-11 中芯国际集成电路制造(北京)有限公司 鳍式场效应管的形成方法
US8987008B2 (en) * 2013-08-20 2015-03-24 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit layout and method with double patterning
US9613806B2 (en) * 2013-09-04 2017-04-04 Sandisk Technologies Llc Triple patterning NAND flash memory
US9916988B2 (en) * 2013-09-25 2018-03-13 Intel Corporation Sacrificial material for stripping masking layers
US9165770B2 (en) * 2013-09-26 2015-10-20 GlobalFoundries, Inc. Methods for fabricating integrated circuits using improved masks
US9177797B2 (en) * 2013-12-04 2015-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography using high selectivity spacers for pitch reduction
US9041217B1 (en) * 2013-12-18 2015-05-26 Intel Corporation Self-aligned via patterning with multi-colored photobuckets for back end of line (BEOL) interconnects
JP5926752B2 (ja) * 2014-02-20 2016-05-25 東京エレクトロン株式会社 半導体装置の製造方法及び半導体製造装置
US9362169B2 (en) 2014-05-01 2016-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned semiconductor fabrication with fosse features
TW201543564A (zh) * 2014-05-09 2015-11-16 Powerchip Technology Corp 半導體製程
TWI621210B (zh) * 2014-08-27 2018-04-11 聯華電子股份有限公司 一種製作半導體元件的方法
US9685332B2 (en) 2014-10-17 2017-06-20 Taiwan Semiconductor Manufacturing Company, Ltd. Iterative self-aligned patterning
US9754785B2 (en) 2015-01-14 2017-09-05 Samsung Electronics Co., Ltd. Methods of manufacturing semiconductor devices
KR102284888B1 (ko) 2015-01-15 2021-08-02 삼성전자주식회사 반도체 장치
US9449880B1 (en) * 2015-02-26 2016-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Fin patterning methods for increased process margin
KR102341458B1 (ko) 2015-04-15 2021-12-20 삼성전자주식회사 반도체 장치 제조 방법
TWI555082B (zh) * 2015-05-15 2016-10-21 力晶科技股份有限公司 圖案化方法
US9659771B2 (en) 2015-06-11 2017-05-23 Applied Materials, Inc. Conformal strippable carbon film for line-edge-roughness reduction for advanced patterning
US9812325B2 (en) * 2015-09-03 2017-11-07 Tokyo Electron Limited Method for modifying spacer profile
JP6473060B2 (ja) * 2015-09-11 2019-02-20 東芝メモリ株式会社 半導体装置の製造方法
CN108292591A (zh) * 2015-09-24 2018-07-17 东京毅力科创株式会社 形成用于亚分辨率衬底图案化的刻蚀掩模的方法
KR102311186B1 (ko) 2015-11-19 2021-10-08 삼성전자주식회사 반도체 소자의 패턴 형성 방법
KR102637883B1 (ko) * 2015-12-11 2024-02-19 아이엠이씨 브이제트더블유 기판 상의 패턴 형성 방법, 그 방법에 관련된 반도체 장치 및 이용
US10770291B2 (en) * 2015-12-21 2020-09-08 Intel Corporation Methods and masks for line end formation for back end of line (BEOL) interconnects and structures resulting therefrom
KR102398664B1 (ko) * 2016-01-26 2022-05-16 삼성전자주식회사 반도체 소자의 제조 방법
US9882028B2 (en) * 2016-06-29 2018-01-30 International Business Machines Corporation Pitch split patterning for semiconductor devices
CN109564936B (zh) 2016-08-10 2023-02-17 英特尔公司 量子点阵列装置
US10002762B2 (en) * 2016-09-09 2018-06-19 International Business Machines Corporation Multi-angled deposition and masking for custom spacer trim and selected spacer removal
KR102239765B1 (ko) * 2016-09-20 2021-04-12 도쿄엘렉트론가부시키가이샤 셀프얼라인 멀티패터닝 기술을 위한 스페이서 형성
US9818613B1 (en) 2016-10-18 2017-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned double spacer patterning process
US10083842B2 (en) * 2016-11-16 2018-09-25 Tokyo Electron Limited Methods of sub-resolution substrate patterning
US10312103B2 (en) 2017-02-28 2019-06-04 International Business Machines Corporation Alternating hardmasks for tight-pitch line formation
US10103022B2 (en) * 2017-03-20 2018-10-16 International Business Machines Corporation Alternating hardmasks for tight-pitch line formation
CN108735585B (zh) * 2017-04-17 2019-06-28 联华电子股份有限公司 掩模图案的制作方法
KR102221220B1 (ko) 2017-05-24 2021-03-03 삼성전자주식회사 반도체 장치
CN109119330B (zh) * 2017-06-23 2021-02-12 中芯国际集成电路制造(天津)有限公司 一种半导体器件的形成方法
CN109216163A (zh) * 2017-06-29 2019-01-15 中芯国际集成电路制造(上海)有限公司 半导体器件的制造方法
US10283362B2 (en) * 2017-08-17 2019-05-07 Nanya Technology Corporation Method of forming fine line patterns of semiconductor devices
US10475736B2 (en) 2017-09-28 2019-11-12 Intel Corporation Via architecture for increased density interface
US10991584B2 (en) * 2017-12-19 2021-04-27 International Business Machines Corporation Methods and structures for cutting lines or spaces in a tight pitch structure
KR102460716B1 (ko) * 2017-12-26 2022-10-31 삼성전자주식회사 집적회로 소자의 제조 방법
CN110233107A (zh) * 2018-03-05 2019-09-13 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
CN112189255B (zh) * 2018-03-20 2024-05-28 东京毅力科创株式会社 自对准多重图案化的方法和半导体加工方法
CN108511330A (zh) * 2018-03-29 2018-09-07 上海华力集成电路制造有限公司 掩模图案的形成方法、半导体器件和集成电路
US10636658B1 (en) 2019-01-23 2020-04-28 Micron Technology, Inc. Methods of forming patterns, and methods of patterning conductive structures of integrated assemblies
US10651129B1 (en) 2019-02-12 2020-05-12 Micron Technology, Inc. Methods of forming alignment marks during patterning of semiconductor material
US11189561B2 (en) * 2019-09-18 2021-11-30 International Business Machines Corporation Placing top vias at line ends by selective growth of via mask from line cut dielectric
FR3104809B1 (fr) * 2019-12-11 2021-12-17 Commissariat Energie Atomique Procede de realisation d’une couche de materiau structuree
US11177160B2 (en) * 2020-03-24 2021-11-16 International Business Machines Corporation Double patterned lithography using spacer assisted cuts for patterning steps
CN112038231A (zh) * 2020-09-09 2020-12-04 长江存储科技有限责任公司 一种半导体器件的制造方法
KR20220120014A (ko) 2021-02-22 2022-08-30 에스케이하이닉스 주식회사 반도체 장치 제조 방법
US11908732B2 (en) 2021-09-15 2024-02-20 International Business Machines Corporation Alternating spacers for pitch structure

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6063688A (en) * 1997-09-29 2000-05-16 Intel Corporation Fabrication of deep submicron structures and quantum wire transistors using hard-mask transistor width definition
CN1632921A (zh) * 2004-12-23 2005-06-29 上海华虹(集团)有限公司 一种可以减小栅特征尺寸的两步削减刻蚀工艺

Family Cites Families (269)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5646531Y2 (zh) 1976-11-30 1981-10-30
JPS5646531U (zh) 1979-09-19 1981-04-25
JPS5646531A (en) 1979-09-25 1981-04-27 Matsushita Electric Ind Co Ltd Manufacture of semiconductor device
JPS58157135A (ja) 1982-03-15 1983-09-19 Matsushita Electric Ind Co Ltd パタ−ン形成方法
JPS58157135U (ja) 1982-04-17 1983-10-20 柳田 信義 指圧板
JPS59211231A (ja) 1983-05-16 1984-11-30 Matsushita Electric Ind Co Ltd パタ−ン形成方法
BE900156A (fr) 1984-07-13 1985-01-14 Itt Ind Belgium Procede pour superposer deux couches de vernis photosensibles positifs.
JPH0677180B2 (ja) 1985-07-02 1994-09-28 スタンレー電気株式会社 立体画像の表示装置
JPS6435916A (en) 1987-07-31 1989-02-07 Hitachi Ltd Formation of fine pattern
JPS6435916U (zh) 1987-08-28 1989-03-03
US4910168A (en) * 1988-05-06 1990-03-20 Mos Electronics Corporation Method to reduce silicon area for via formation
JPH01292829A (ja) 1988-05-19 1989-11-27 Mitsubishi Electric Corp 半導体装置の製造方法
US5008207A (en) * 1989-09-11 1991-04-16 International Business Machines Corporation Method of fabricating a narrow base transistor
JPH03270227A (ja) 1990-03-20 1991-12-02 Mitsubishi Electric Corp 微細パターンの形成方法
US5328810A (en) 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
US5013680A (en) * 1990-07-18 1991-05-07 Micron Technology, Inc. Process for fabricating a DRAM array having feature widths that transcend the resolution limit of available photolithography
US5047117A (en) 1990-09-26 1991-09-10 Micron Technology, Inc. Method of forming a narrow self-aligned, annular opening in a masking layer
US5420067A (en) * 1990-09-28 1995-05-30 The United States Of America As Represented By The Secretary Of The Navy Method of fabricatring sub-half-micron trenches and holes
US5382315A (en) * 1991-02-11 1995-01-17 Microelectronics And Computer Technology Corporation Method of forming etch mask using particle beam deposition
GB9103080D0 (en) 1991-02-14 1991-04-03 British And Foreign Bible The Analysing textual documents
US5372916A (en) 1991-09-12 1994-12-13 Hitachi, Ltd. X-ray exposure method with an X-ray mask comprising phase shifter sidewalls
US5703675A (en) 1992-01-17 1997-12-30 Nikon Corporation Projection-exposing apparatus with deflecting grating member
US5573837A (en) 1992-04-22 1996-11-12 Micron Technology, Inc. Masking layer having narrow isolated spacings and the method for forming said masking layer and the method for forming narrow isolated trenches defined by said masking layer
US5254218A (en) 1992-04-22 1993-10-19 Micron Technology, Inc. Masking layer having narrow isolated spacings and the method for forming said masking layer and the method for forming narrow isolated trenches defined by said masking layer
JPH0677180A (ja) * 1992-08-24 1994-03-18 Fujitsu Ltd 細線状エッチングマスクの製造方法
US5386132A (en) * 1992-11-02 1995-01-31 Wong; Chun C. D. Multimedia storage system with highly compact memory device
JPH06275577A (ja) 1993-03-23 1994-09-30 Sumitomo Metal Ind Ltd 半導体装置のコンタクトホール形成方法
JP3270227B2 (ja) 1993-05-26 2002-04-02 富士写真フイルム株式会社 電動巻き上げ装置
US5429988A (en) 1994-06-13 1995-07-04 United Microelectronics Corporation Process for producing high density conductive lines
KR970007173B1 (ko) 1994-07-14 1997-05-03 현대전자산업 주식회사 미세패턴 형성방법
US5610486A (en) 1995-02-28 1997-03-11 Sgs-Thomson Microelectronics, Inc. Current mirror circuit used in a coil driver circuit of a brushless DC motor
DE19526011C1 (de) 1995-07-17 1996-11-28 Siemens Ag Verfahren zur Herstellung von sublithographischen Ätzmasken
US5905279A (en) * 1996-04-09 1999-05-18 Kabushiki Kaisha Toshiba Low resistant trench fill for a semiconductor device
US7064376B2 (en) 1996-05-24 2006-06-20 Jeng-Jye Shau High performance embedded semiconductor memory devices with multiple dimension first-level bit-lines
US5998256A (en) 1996-11-01 1999-12-07 Micron Technology, Inc. Semiconductor processing methods of forming devices on a substrate, forming device arrays on a substrate, forming conductive lines on a substrate, and forming capacitor arrays on a substrate, and integrated circuitry
JP2006245625A (ja) 1997-06-20 2006-09-14 Hitachi Ltd 半導体集積回路装置およびその製造方法
TW454339B (en) 1997-06-20 2001-09-11 Hitachi Ltd Semiconductor integrated circuit apparatus and its fabricating method
US6207523B1 (en) * 1997-07-03 2001-03-27 Micron Technology, Inc. Methods of forming capacitors DRAM arrays, and monolithic integrated circuits
KR100247862B1 (ko) 1997-12-11 2000-03-15 윤종용 반도체 장치 및 그 제조방법
US6087263A (en) * 1998-01-29 2000-07-11 Micron Technology, Inc. Methods of forming integrated circuitry and integrated circuitry structures
US6605541B1 (en) 1998-05-07 2003-08-12 Advanced Micro Devices, Inc. Pitch reduction using a set of offset masks
US6140217A (en) * 1998-07-16 2000-10-31 International Business Machines Corporation Technique for extending the limits of photolithography
US6303272B1 (en) 1998-11-13 2001-10-16 International Business Machines Corporation Process for self-alignment of sub-critical contacts to wiring
EP1039533A3 (en) * 1999-03-22 2001-04-04 Infineon Technologies North America Corp. High performance dram and method of manufacture
US6667502B1 (en) 1999-08-31 2003-12-23 Micron Technology, Inc. Structurally-stabilized capacitors and method of making of same
US6174818B1 (en) 1999-11-19 2001-01-16 Taiwan Semiconductor Manufacturing Company Method of patterning narrow gate electrode
US6967140B2 (en) 2000-03-01 2005-11-22 Intel Corporation Quantum wire gate device and method of making same
KR100620651B1 (ko) 2000-06-22 2006-09-13 주식회사 하이닉스반도체 반도체 소자의 미세패턴 제조방법
US6339241B1 (en) 2000-06-23 2002-01-15 International Business Machines Corporation Structure and process for 6F2 trench capacitor DRAM cell with vertical MOSFET and 3F bitline pitch
KR100340879B1 (ko) * 2000-06-29 2002-06-20 박종섭 반도체 소자의 미세 패턴 형성방법 및 이를 이용한 게이트 전극 형성방법
US6429123B1 (en) 2000-10-04 2002-08-06 Vanguard International Semiconductor Corporation Method of manufacturing buried metal lines having ultra fine features
JP3406302B2 (ja) 2001-01-16 2003-05-12 株式会社半導体先端テクノロジーズ 微細パターンの形成方法、半導体装置の製造方法および半導体装置
US6580136B2 (en) 2001-01-30 2003-06-17 International Business Machines Corporation Method for delineation of eDRAM support device notched gate
US6756277B1 (en) * 2001-02-09 2004-06-29 Advanced Micro Devices, Inc. Replacement gate process for transistors having elevated source and drain regions
US6383952B1 (en) * 2001-02-28 2002-05-07 Advanced Micro Devices, Inc. RELACS process to double the frequency or pitch of small feature formation
CA2340985A1 (en) 2001-03-14 2002-09-14 Atmos Corporation Interleaved wordline architecture
US6545904B2 (en) * 2001-03-16 2003-04-08 Micron Technology, Inc. 6f2 dram array, a dram array formed on a semiconductive substrate, a method of forming memory cells in a 6f2 dram array and a method of isolating a single row of memory cells in a 6f2 dram array
TW558471B (en) 2001-03-28 2003-10-21 Phild Co Ltd Method and device for manufacturing metallic particulates and manufactured metallic particulates
US6455433B1 (en) * 2001-03-30 2002-09-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming square-shouldered sidewall spacers and devices fabricated
US6627524B2 (en) 2001-06-06 2003-09-30 Micron Technology, Inc. Methods of forming transistor gates; and methods of forming programmable read-only memory constructions
US20030008968A1 (en) * 2001-07-05 2003-01-09 Yoshiki Sugeta Method for reducing pattern dimension in photoresist layer
US6590817B2 (en) * 2001-07-23 2003-07-08 Micron Technology, Inc. 6F2 DRAM array with apparatus for stress testing an isolation gate and method
DE10142590A1 (de) * 2001-08-31 2003-04-03 Infineon Technologies Ag Verfahren zur Seitenwandverstärkung von Resiststrukturen und zur Herstellung von Strukturen mit reduzierter Strukturgröße
US6951822B2 (en) 2001-09-28 2005-10-04 Infineon Technologies North America Corp. Method for forming inside nitride spacer for deep trench device DRAM cell
KR100843888B1 (ko) 2001-12-14 2008-07-03 주식회사 하이닉스반도체 Relacs 물질을 이용하여 식각 내성이 향상된포토레지스트 패턴을 형성하는 방법
KR100569536B1 (ko) * 2001-12-14 2006-04-10 주식회사 하이닉스반도체 Relacs 물질을 이용하여 패턴 붕괴를 방지하는 방법
KR20030056601A (ko) 2001-12-28 2003-07-04 주식회사 하이닉스반도체 플래시 메모리 소자의 소스 라인 형성 방법
US6638441B2 (en) * 2002-01-07 2003-10-28 Macronix International Co., Ltd. Method for pitch reduction
US6548401B1 (en) * 2002-01-23 2003-04-15 Micron Technology, Inc. Semiconductor processing methods, and semiconductor constructions
JP2003234279A (ja) 2002-02-08 2003-08-22 Sony Corp レジストパターンの形成方法、半導体装置の製造方法およびレジストパターンの形成装置
JP3976598B2 (ja) * 2002-03-27 2007-09-19 Nec液晶テクノロジー株式会社 レジスト・パターン形成方法
KR20030089063A (ko) 2002-05-16 2003-11-21 주식회사 하이닉스반도체 포토레지스트 패턴 형성방법
US6774051B2 (en) 2002-06-12 2004-08-10 Macronix International Co., Ltd. Method for reducing pitch
US6734107B2 (en) * 2002-06-12 2004-05-11 Macronix International Co., Ltd. Pitch reduction in semiconductor fabrication
US6548385B1 (en) * 2002-06-12 2003-04-15 Jiun-Ren Lai Method for reducing pitch between conductive features, and structure formed using the method
JP3707780B2 (ja) 2002-06-24 2005-10-19 東京応化工業株式会社 パターン微細化用被覆形成剤およびそれを用いた微細パターンの形成方法
KR20040016678A (ko) 2002-08-19 2004-02-25 삼성전자주식회사 반도체 장치 및 그의 제조방법
US6566280B1 (en) * 2002-08-26 2003-05-20 Intel Corporation Forming polymer features on a substrate
US6756619B2 (en) 2002-08-26 2004-06-29 Micron Technology, Inc. Semiconductor constructions
US7205598B2 (en) 2002-08-29 2007-04-17 Micron Technology, Inc. Random access memory device utilizing a vertically oriented select transistor
KR20040025289A (ko) 2002-09-19 2004-03-24 삼성전자주식회사 고밀도 스토리지 패턴 형성방법
JP2004134574A (ja) 2002-10-10 2004-04-30 Renesas Technology Corp 半導体装置の製造方法
JP2004177952A (ja) 2002-11-20 2004-06-24 Rohm & Haas Electronic Materials Llc 多層フォトレジスト系
KR20040057582A (ko) 2002-12-26 2004-07-02 주식회사 하이닉스반도체 듀얼 다마신 구조를 갖는 미세 패턴 형성 방법
JP2004214379A (ja) 2002-12-27 2004-07-29 Toshiba Corp 半導体装置、ダイナミック型半導体記憶装置及び半導体装置の製造方法
US6916594B2 (en) 2002-12-30 2005-07-12 Hynix Semiconductor Inc. Overcoating composition for photoresist and method for forming photoresist pattern using the same
JP2004247399A (ja) 2003-02-12 2004-09-02 Renesas Technology Corp 半導体装置の製造方法
KR100540475B1 (ko) 2003-04-04 2006-01-10 주식회사 하이닉스반도체 미세 패턴 형성이 가능한 반도체 장치 제조 방법
US6919154B2 (en) 2003-05-05 2005-07-19 Xerox Corporation Photoconductive members
JP4287383B2 (ja) 2003-05-09 2009-07-01 富士通株式会社 レジストの加工方法及び半導体装置の製造方法
US6905975B2 (en) 2003-07-03 2005-06-14 Micron Technology, Inc. Methods of forming patterned compositions
US7230292B2 (en) 2003-08-05 2007-06-12 Micron Technology, Inc. Stud electrode and process for making same
US7067385B2 (en) 2003-09-04 2006-06-27 Micron Technology, Inc. Support for vertically oriented capacitors during the formation of a semiconductor device
US7125781B2 (en) 2003-09-04 2006-10-24 Micron Technology, Inc. Methods of forming capacitor devices
US7030008B2 (en) 2003-09-12 2006-04-18 International Business Machines Corporation Techniques for patterning features in semiconductor devices
JP3908213B2 (ja) 2003-09-30 2007-04-25 富士通株式会社 レジストパターンの形成方法及び半導体装置の製造方法
US7033735B2 (en) * 2003-11-17 2006-04-25 Taiwan Semiconductor Manufacturing Co., Ltd. Water soluble negative tone photoresist
JP4143023B2 (ja) 2003-11-21 2008-09-03 株式会社東芝 パターン形成方法および半導体装置の製造方法
US7049652B2 (en) * 2003-12-10 2006-05-23 Sandisk Corporation Pillar cell flash memory technology
US7023069B2 (en) * 2003-12-19 2006-04-04 Third Dimension (3D) Semiconductor, Inc. Method for forming thick dielectric regions using etched trenches
KR100554514B1 (ko) 2003-12-26 2006-03-03 삼성전자주식회사 반도체 장치에서 패턴 형성 방법 및 이를 이용한 게이트형성방법.
US7354847B2 (en) 2004-01-26 2008-04-08 Taiwan Semiconductor Manufacturing Company Method of trimming technology
US7037840B2 (en) * 2004-01-26 2006-05-02 Micron Technology, Inc. Methods of forming planarized surfaces over semiconductor substrates
US6864184B1 (en) 2004-02-05 2005-03-08 Advanced Micro Devices, Inc. Method for reducing critical dimension attainable via the use of an organic conforming layer
KR100781538B1 (ko) 2004-02-07 2007-12-03 삼성전자주식회사 성능이 향상된 멀티 게이트 트랜지스터용 액티브 구조의제조 방법, 이에 의해 제조된 액티브 구조 및 멀티 게이트트랜지스터
JP2005243681A (ja) * 2004-02-24 2005-09-08 Tokyo Electron Ltd 膜改質方法、膜改質装置及びスリミング量の制御方法
US7390750B1 (en) 2004-03-23 2008-06-24 Cypress Semiconductor Corp. Method of patterning elements within a semiconductor topography
US7098105B2 (en) 2004-05-26 2006-08-29 Micron Technology, Inc. Methods for forming semiconductor structures
US20050272220A1 (en) 2004-06-07 2005-12-08 Carlo Waldfried Ultraviolet curing process for spin-on dielectric materials used in pre-metal and/or shallow trench isolation applications
US7132333B2 (en) * 2004-09-10 2006-11-07 Infineon Technologies Ag Transistor, memory cell array and method of manufacturing a transistor
US7521378B2 (en) * 2004-07-01 2009-04-21 Micron Technology, Inc. Low temperature process for polysilazane oxidation/densification
DE102004034572B4 (de) * 2004-07-17 2008-02-28 Infineon Technologies Ag Verfahren zum Herstellen einer Struktur auf der Oberfläche eines Substrats
US7387939B2 (en) 2004-07-19 2008-06-17 Micron Technology, Inc. Methods of forming semiconductor structures and capacitor devices
US7439152B2 (en) 2004-08-27 2008-10-21 Micron Technology, Inc. Methods of forming a plurality of capacitors
US7202127B2 (en) * 2004-08-27 2007-04-10 Micron Technology, Inc. Methods of forming a plurality of capacitors
US7151040B2 (en) 2004-08-31 2006-12-19 Micron Technology, Inc. Methods for increasing photo alignment margins
US7910288B2 (en) * 2004-09-01 2011-03-22 Micron Technology, Inc. Mask material conversion
US7442976B2 (en) 2004-09-01 2008-10-28 Micron Technology, Inc. DRAM cells with vertical transistors
US7655387B2 (en) 2004-09-02 2010-02-02 Micron Technology, Inc. Method to align mask patterns
US7115525B2 (en) 2004-09-02 2006-10-03 Micron Technology, Inc. Method for integrated circuit fabrication using pitch multiplication
KR100640587B1 (ko) * 2004-09-23 2006-11-01 삼성전자주식회사 반도체 소자 제조용 마스크 패턴 및 그 형성 방법과 미세패턴을 가지는 반도체 소자의 제조 방법
CN100438040C (zh) 2004-10-14 2008-11-26 茂德科技股份有限公司 动态随机存取存储器的结构
US7595141B2 (en) * 2004-10-26 2009-09-29 Az Electronic Materials Usa Corp. Composition for coating over a photoresist pattern
US7298004B2 (en) 2004-11-30 2007-11-20 Infineon Technologies Ag Charge-trapping memory cell and method for production
US7320911B2 (en) * 2004-12-06 2008-01-22 Micron Technology, Inc. Methods of forming pluralities of capacitors
US7390616B2 (en) 2005-01-12 2008-06-24 International Business Machines Corporation Method for post lithographic critical dimension shrinking using post overcoat planarization
US7253118B2 (en) 2005-03-15 2007-08-07 Micron Technology, Inc. Pitch reduced patterns relative to photolithography features
US7390746B2 (en) * 2005-03-15 2008-06-24 Micron Technology, Inc. Multiple deposition for integration of spacers in pitch multiplication process
US7557015B2 (en) 2005-03-18 2009-07-07 Micron Technology, Inc. Methods of forming pluralities of capacitors
US7981595B2 (en) * 2005-03-23 2011-07-19 Asml Netherlands B.V. Reduced pitch multiple exposure process
US7384849B2 (en) 2005-03-25 2008-06-10 Micron Technology, Inc. Methods of forming recessed access devices associated with semiconductor constructions
US7611944B2 (en) * 2005-03-28 2009-11-03 Micron Technology, Inc. Integrated circuit fabrication
US7166533B2 (en) * 2005-04-08 2007-01-23 Infineon Technologies, Ag Phase change memory cell defined by a pattern shrink material process
KR100674970B1 (ko) 2005-04-21 2007-01-26 삼성전자주식회사 이중 스페이서들을 이용한 미세 피치의 패턴 형성 방법
EP1880410A2 (en) 2005-05-13 2008-01-23 Sachem, Inc. Selective wet etching of oxides
US7517753B2 (en) * 2005-05-18 2009-04-14 Micron Technology, Inc. Methods of forming pluralities of capacitors
US7544563B2 (en) 2005-05-18 2009-06-09 Micron Technology, Inc. Methods of forming a plurality of capacitors
US7429536B2 (en) 2005-05-23 2008-09-30 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
KR100732289B1 (ko) * 2005-05-30 2007-06-25 주식회사 하이닉스반도체 반도체 소자의 미세 콘택 형성방법
US7560390B2 (en) 2005-06-02 2009-07-14 Micron Technology, Inc. Multiple spacer steps for pitch multiplication
US7396781B2 (en) 2005-06-09 2008-07-08 Micron Technology, Inc. Method and apparatus for adjusting feature size and position
US7541632B2 (en) 2005-06-14 2009-06-02 Micron Technology, Inc. Relaxed-pitch method of aligning active area to digit line
JP4197691B2 (ja) 2005-06-21 2008-12-17 株式会社東芝 半導体装置の製造方法
US7459362B2 (en) 2005-06-27 2008-12-02 Micron Technology, Inc. Methods of forming DRAM arrays
US20060288795A1 (en) 2005-06-27 2006-12-28 Vishay Measurements Group, Inc. Strain gage with off axis creep compensation feature
US7271108B2 (en) 2005-06-28 2007-09-18 Lam Research Corporation Multiple mask process with etch mask stack
US7282401B2 (en) * 2005-07-08 2007-10-16 Micron Technology, Inc. Method and apparatus for a self-aligned recessed access device (RAD) transistor gate
KR100640657B1 (ko) * 2005-07-25 2006-11-01 삼성전자주식회사 반도체 소자의 미세 패턴 형성 방법
US7776715B2 (en) * 2005-07-26 2010-08-17 Micron Technology, Inc. Reverse construction memory cell
US7291560B2 (en) * 2005-08-01 2007-11-06 Infineon Technologies Ag Method of production pitch fractionizations in semiconductor technology
US7199005B2 (en) * 2005-08-02 2007-04-03 Micron Technology, Inc. Methods of forming pluralities of capacitors
TWI264058B (en) * 2005-08-09 2006-10-11 Powerchip Semiconductor Corp Method of correcting mask pattern and method of forming the same
US8153350B2 (en) 2005-08-24 2012-04-10 Taiwan Semiconductor Manufacturing Co., Ltd. Method and material for forming high etch resistant double exposure patterns
US7829262B2 (en) * 2005-08-31 2010-11-09 Micron Technology, Inc. Method of forming pitch multipled contacts
US7416943B2 (en) * 2005-09-01 2008-08-26 Micron Technology, Inc. Peripheral gate stacks and recessed array gates
US7262135B2 (en) * 2005-09-01 2007-08-28 Micron Technology, Inc. Methods of forming layers
US7393789B2 (en) 2005-09-01 2008-07-01 Micron Technology, Inc. Protective coating for planarization
US7776744B2 (en) * 2005-09-01 2010-08-17 Micron Technology, Inc. Pitch multiplication spacers and methods of forming the same
US7687342B2 (en) * 2005-09-01 2010-03-30 Micron Technology, Inc. Method of manufacturing a memory device
US7572572B2 (en) * 2005-09-01 2009-08-11 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7557032B2 (en) * 2005-09-01 2009-07-07 Micron Technology, Inc. Silicided recessed silicon
US7759197B2 (en) * 2005-09-01 2010-07-20 Micron Technology, Inc. Method of forming isolated features using pitch multiplication
KR101200938B1 (ko) 2005-09-30 2012-11-13 삼성전자주식회사 반도체 장치의 패턴 형성 방법
US7265059B2 (en) * 2005-09-30 2007-09-04 Freescale Semiconductor, Inc. Multiple fin formation
US20070085152A1 (en) * 2005-10-14 2007-04-19 Promos Technologies Pte.Ltd. Singapore Reduced area dynamic random access memory (DRAM) cell and method for fabricating the same
US7696101B2 (en) 2005-11-01 2010-04-13 Micron Technology, Inc. Process for increasing feature density during the manufacture of a semiconductor device
US7768055B2 (en) * 2005-11-30 2010-08-03 International Business Machines Corporation Passive components in the back end of integrated circuits
US7390749B2 (en) 2005-11-30 2008-06-24 Lam Research Corporation Self-aligned pitch reduction
KR100784062B1 (ko) 2006-01-20 2007-12-10 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성방법
KR100672123B1 (ko) 2006-02-02 2007-01-19 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성방법
KR100703985B1 (ko) 2006-02-17 2007-04-09 삼성전자주식회사 반도체 소자의 제조 방법
US7745339B2 (en) 2006-02-24 2010-06-29 Hynix Semiconductor Inc. Method for forming fine pattern of semiconductor device
KR100694412B1 (ko) 2006-02-24 2007-03-12 주식회사 하이닉스반도체 반도체소자의 미세패턴 형성방법
US7842558B2 (en) 2006-03-02 2010-11-30 Micron Technology, Inc. Masking process for simultaneously patterning separate regions
US7476933B2 (en) 2006-03-02 2009-01-13 Micron Technology, Inc. Vertical gated access transistor
US7759253B2 (en) * 2006-08-07 2010-07-20 Taiwan Semiconductor Manufacturing Company, Ltd. Method and material for forming a double exposure lithography pattern
JP4801477B2 (ja) 2006-03-24 2011-10-26 富士通株式会社 レジスト組成物、レジストパターンの形成方法、半導体装置及びその製造方法
US7902074B2 (en) 2006-04-07 2011-03-08 Micron Technology, Inc. Simplified pitch doubling process flow
US7557013B2 (en) 2006-04-10 2009-07-07 Micron Technology, Inc. Methods of forming a plurality of capacitors
US8158333B2 (en) 2006-04-11 2012-04-17 Kabushiki Kaisha Toshiba Manufacturing method of semiconductor device
JP2007294511A (ja) 2006-04-21 2007-11-08 Tdk Corp レジストパターンの形成方法、薄膜パターンの形成方法及びマイクロデバイスの製造方法
US8003310B2 (en) 2006-04-24 2011-08-23 Micron Technology, Inc. Masking techniques and templates for dense semiconductor fabrication
US7488685B2 (en) 2006-04-25 2009-02-10 Micron Technology, Inc. Process for improving critical dimension uniformity of integrated circuit arrays
US7314810B2 (en) * 2006-05-09 2008-01-01 Hynix Semiconductor Inc. Method for forming fine pattern of semiconductor device
US7429533B2 (en) * 2006-05-10 2008-09-30 Lam Research Corporation Pitch reduction
US7537866B2 (en) 2006-05-24 2009-05-26 Synopsys, Inc. Patterning a single integrated circuit layer using multiple masks and multiple masking layers
US7795149B2 (en) 2006-06-01 2010-09-14 Micron Technology, Inc. Masking techniques and contact imprint reticles for dense semiconductor fabrication
US7709341B2 (en) 2006-06-02 2010-05-04 Micron Technology, Inc. Methods of shaping vertical single crystal silicon walls and resulting structures
US7625776B2 (en) 2006-06-02 2009-12-01 Micron Technology, Inc. Methods of fabricating intermediate semiconductor structures by selectively etching pockets of implanted silicon
US7628932B2 (en) 2006-06-02 2009-12-08 Micron Technology, Inc. Wet etch suitable for creating square cuts in si
KR20070122049A (ko) 2006-06-23 2007-12-28 주식회사 하이닉스반도체 이중 노광 공정을 이용한 미세 패턴 형성방법
KR20070122048A (ko) 2006-06-23 2007-12-28 (주) 유식스 광학측정장치
KR100801078B1 (ko) * 2006-06-29 2008-02-11 삼성전자주식회사 수직 채널을 갖는 비휘발성 메모리 집적 회로 장치 및 그제조 방법
US8852851B2 (en) 2006-07-10 2014-10-07 Micron Technology, Inc. Pitch reduction technology using alternating spacer depositions during the formation of a semiconductor device and systems including same
KR100843870B1 (ko) * 2006-07-14 2008-07-03 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성 방법
JP4724072B2 (ja) * 2006-08-17 2011-07-13 富士通株式会社 レジストパターンの形成方法、半導体装置及びその製造方法
US7521371B2 (en) * 2006-08-21 2009-04-21 Micron Technology, Inc. Methods of forming semiconductor constructions having lines
JP4319671B2 (ja) 2006-08-22 2009-08-26 富士通株式会社 レジストパターン及びその製造方法、並びに、半導体装置及びその製造方法
US7611980B2 (en) * 2006-08-30 2009-11-03 Micron Technology, Inc. Single spacer process for multiplying pitch by a factor greater than two and related intermediate IC structures
KR100761857B1 (ko) 2006-09-08 2007-09-28 삼성전자주식회사 반도체 소자의 미세패턴 형성방법 및 이를 이용한 반도체소자의 제조방법
KR100855845B1 (ko) 2006-09-12 2008-09-01 주식회사 하이닉스반도체 반도체 소자의 미세패턴 형성방법
US7790357B2 (en) * 2006-09-12 2010-09-07 Hynix Semiconductor Inc. Method of forming fine pattern of semiconductor device
US7959818B2 (en) * 2006-09-12 2011-06-14 Hynix Semiconductor Inc. Method for forming a fine pattern of a semiconductor device
US7666578B2 (en) * 2006-09-14 2010-02-23 Micron Technology, Inc. Efficient pitch multiplication process
US8129289B2 (en) 2006-10-05 2012-03-06 Micron Technology, Inc. Method to deposit conformal low temperature SiO2
US7902081B2 (en) * 2006-10-11 2011-03-08 Micron Technology, Inc. Methods of etching polysilicon and methods of forming pluralities of capacitors
US7553760B2 (en) 2006-10-19 2009-06-30 International Business Machines Corporation Sub-lithographic nano interconnect structures, and method for forming same
KR100913005B1 (ko) 2006-10-31 2009-08-20 주식회사 하이닉스반도체 마스크 패턴 형성 방법
KR20080038963A (ko) 2006-10-31 2008-05-07 주식회사 하이닉스반도체 콘택을 갖는 반도체소자의 제조방법
KR20080039008A (ko) 2006-10-31 2008-05-07 한일지 돼지갈비 및 그 제조방법
KR100771891B1 (ko) * 2006-11-10 2007-11-01 삼성전자주식회사 더블 패터닝 공정을 이용하는 반도체 소자의 미세 패턴형성 방법
WO2008059440A2 (en) 2006-11-14 2008-05-22 Nxp B.V. Double patterning for lithography to increase feature spatial density
US20080113483A1 (en) * 2006-11-15 2008-05-15 Micron Technology, Inc. Methods of etching a pattern layer to form staggered heights therein and intermediate semiconductor device structures
US20080120900A1 (en) * 2006-11-29 2008-05-29 Femo Operations, Lp Systems and Methods for Repelling and/or Killing Pests Using Mulch
US7807575B2 (en) 2006-11-29 2010-10-05 Micron Technology, Inc. Methods to reduce the critical dimension of semiconductor devices
WO2008070060A2 (en) 2006-12-06 2008-06-12 Fujifilm Electronic Materials U.S.A., Inc. Device manufacturing process utilizing a double pattering process
US7786016B2 (en) 2007-01-11 2010-08-31 Micron Technology, Inc. Methods of uniformly removing silicon oxide and a method of removing a sacrificial oxide
US8236592B2 (en) 2007-01-12 2012-08-07 Globalfoundries Inc. Method of forming semiconductor device
US7842616B2 (en) 2007-01-22 2010-11-30 Advanced Technology Development Facility, Inc. Methods for fabricating semiconductor structures
US7964107B2 (en) 2007-02-08 2011-06-21 Micron Technology, Inc. Methods using block copolymer self-assembly for sub-lithographic patterning
US7741015B2 (en) 2007-02-16 2010-06-22 Shin-Etsu Chemical Co., Ltd. Patterning process and resist composition
US7785962B2 (en) 2007-02-26 2010-08-31 Micron Technology, Inc. Methods of forming a plurality of capacitors
US7790360B2 (en) 2007-03-05 2010-09-07 Micron Technology, Inc. Methods of forming multiple lines
US8083953B2 (en) 2007-03-06 2011-12-27 Micron Technology, Inc. Registered structure formation via the application of directed thermal energy to diblock copolymer films
KR100880323B1 (ko) * 2007-05-11 2009-01-28 주식회사 하이닉스반도체 플래시 메모리 소자의 제조 방법
US20080292991A1 (en) 2007-05-24 2008-11-27 Advanced Micro Devices, Inc. High fidelity multiple resist patterning
US7709390B2 (en) 2007-05-31 2010-05-04 Micron Technology, Inc. Methods of isolating array features during pitch doubling processes and semiconductor device structures having isolated array features
KR100886219B1 (ko) 2007-06-07 2009-02-27 삼성전자주식회사 자기정렬된 이중 패터닝을 채택하는 미세 패턴 형성 방법
KR101101785B1 (ko) 2007-06-08 2012-01-05 도쿄엘렉트론가부시키가이샤 패터닝 방법
US7682924B2 (en) * 2007-08-13 2010-03-23 Micron Technology, Inc. Methods of forming a plurality of capacitors
JP2009049338A (ja) * 2007-08-23 2009-03-05 Toshiba Corp 半導体装置及びその製造方法
US20090074956A1 (en) 2007-09-13 2009-03-19 The Regents Of University Of Michigan Inkjet printing of materials for use in fuel cells
US20090074958A1 (en) * 2007-09-13 2009-03-19 Dequan Xiao Polymeric nanocompositions comprising self-assembled organic quantum dots
DE102007052050B4 (de) * 2007-10-31 2010-04-08 Advanced Micro Devices, Inc., Sunnyvale Halbleiterbauelement und Verfahren zum Erhöhen der Ätzselektivität während der Strukturierung einer Kontaktstruktur des Halbleiterbauelements
KR100874433B1 (ko) * 2007-11-02 2008-12-17 주식회사 하이닉스반도체 반도체 소자의 패턴 형성 방법
KR20090050699A (ko) 2007-11-16 2009-05-20 주식회사 동부하이텍 미세 패턴 제조 방법 및 반도체 소자의 제조 방법
US8530147B2 (en) 2007-11-21 2013-09-10 Macronix International Co., Ltd. Patterning process
US7851135B2 (en) 2007-11-30 2010-12-14 Hynix Semiconductor Inc. Method of forming an etching mask pattern from developed negative and positive photoresist layers
US8083958B2 (en) 2007-12-05 2011-12-27 International Business Machines Corporation Patterning method using a combination of photolithography and copolymer self-assemblying lithography techniques
JP2009194196A (ja) 2008-02-15 2009-08-27 Nec Electronics Corp 半導体装置の製造方法および半導体装置
US7906031B2 (en) 2008-02-22 2011-03-15 International Business Machines Corporation Aligning polymer films
JP2009252830A (ja) * 2008-04-02 2009-10-29 Toshiba Corp 半導体装置の製造方法
US7713818B2 (en) 2008-04-11 2010-05-11 Sandisk 3D, Llc Double patterning method
US8440576B2 (en) 2008-04-25 2013-05-14 Macronix International Co., Ltd. Method for pitch reduction in integrated circuit fabrication
US7989307B2 (en) 2008-05-05 2011-08-02 Micron Technology, Inc. Methods of forming isolated active areas, trenches, and conductive lines in semiconductor structures and semiconductor structures including the same
US10151981B2 (en) 2008-05-22 2018-12-11 Micron Technology, Inc. Methods of forming structures supported by semiconductor substrates
JP2009289974A (ja) 2008-05-29 2009-12-10 Toshiba Corp 半導体装置の製造方法
US7759193B2 (en) * 2008-07-09 2010-07-20 Micron Technology, Inc. Methods of forming a plurality of capacitors
US20100021573A1 (en) * 2008-07-22 2010-01-28 Michael J Gonzalez Compositions and methods for the prevention of cardiovascular disease
US8158335B2 (en) * 2008-09-15 2012-04-17 Taiwan Semiconductor Manufacturing Company, Ltd. High etch resistant material for double patterning
US8012675B2 (en) 2008-09-18 2011-09-06 Macronix International Co., Ltd. Method of patterning target layer on substrate
JP2010087301A (ja) * 2008-09-30 2010-04-15 Toshiba Corp 半導体装置の製造方法
US8039399B2 (en) 2008-10-09 2011-10-18 Micron Technology, Inc. Methods of forming patterns utilizing lithography and spacers
US8173034B2 (en) 2008-11-17 2012-05-08 Micron Technology, Inc. Methods of utilizing block copolymer to form patterns
US8492282B2 (en) 2008-11-24 2013-07-23 Micron Technology, Inc. Methods of forming a masking pattern for integrated circuits
US8080460B2 (en) 2008-11-26 2011-12-20 Micron Technology, Inc. Methods of forming diodes
US8273634B2 (en) 2008-12-04 2012-09-25 Micron Technology, Inc. Methods of fabricating substrates
US8247302B2 (en) 2008-12-04 2012-08-21 Micron Technology, Inc. Methods of fabricating substrates
US8796155B2 (en) 2008-12-04 2014-08-05 Micron Technology, Inc. Methods of fabricating substrates
JP5606019B2 (ja) * 2009-07-21 2014-10-15 株式会社東芝 電力用半導体素子およびその製造方法
US8623458B2 (en) 2009-12-18 2014-01-07 International Business Machines Corporation Methods of directed self-assembly, and layered structures formed therefrom
WO2011151109A1 (en) 2010-06-04 2011-12-08 Asml Netherlands B.V. Self-assemblable polymer and method for use in lithography
US9305747B2 (en) 2010-11-13 2016-04-05 Mapper Lithography Ip B.V. Data path for lithography apparatus
TW201239943A (en) 2011-03-25 2012-10-01 Canon Kk Drawing apparatus and method of manufacturing article
US8575032B2 (en) 2011-05-05 2013-11-05 Micron Technology, Inc. Methods of forming a pattern on a substrate
US8664125B2 (en) * 2011-12-23 2014-03-04 Tokyo Electron Limited Highly selective spacer etch process with reduced sidewall spacer slimming
JP5952007B2 (ja) 2012-01-27 2016-07-13 株式会社Screenホールディングス 基板処理装置および基板処理方法
TWI475464B (zh) 2012-03-20 2015-03-01 Acer Inc 結合磁感應天線的觸控裝置
US8629048B1 (en) 2012-07-06 2014-01-14 Micron Technology, Inc. Methods of forming a pattern on a substrate

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6063688A (en) * 1997-09-29 2000-05-16 Intel Corporation Fabrication of deep submicron structures and quantum wire transistors using hard-mask transistor width definition
CN1632921A (zh) * 2004-12-23 2005-06-29 上海华虹(集团)有限公司 一种可以减小栅特征尺寸的两步削减刻蚀工艺

Also Published As

Publication number Publication date
US20180286693A1 (en) 2018-10-04
JP2009543378A (ja) 2009-12-03
US20170372913A1 (en) 2017-12-28
TWI351738B (en) 2011-11-01
TW200818405A (en) 2008-04-16
KR20120092728A (ko) 2012-08-21
US20150021744A1 (en) 2015-01-22
WO2008008338A2 (en) 2008-01-17
US9305782B2 (en) 2016-04-05
CN104064457B (zh) 2017-05-31
US20200203171A1 (en) 2020-06-25
CN101490807A (zh) 2009-07-22
KR20090018725A (ko) 2009-02-20
US20160203993A1 (en) 2016-07-14
KR101573286B1 (ko) 2015-12-02
US9761457B2 (en) 2017-09-12
CN104064457A (zh) 2014-09-24
US8852851B2 (en) 2014-10-07
US11935756B2 (en) 2024-03-19
US10607844B2 (en) 2020-03-31
US11335563B2 (en) 2022-05-17
US20080008969A1 (en) 2008-01-10
JP5453650B2 (ja) 2014-03-26
US20220254644A1 (en) 2022-08-11
SG173362A1 (en) 2011-08-29
US10096483B2 (en) 2018-10-09
WO2008008338A3 (en) 2008-03-20

Similar Documents

Publication Publication Date Title
CN101490807B (zh) 在半导体装置形成期间使用的方法
JP6726834B2 (ja) サブ解像度基板パターニングのためのエッチングマスクを形成する方法
US8871648B2 (en) Method for forming high density patterns
JP5532303B2 (ja) 半導体デバイスのクリティカルディメンジョンを縮小する方法
US20080162781A1 (en) Method, apparatus, and system for flash memory
CN103367258B (zh) 半导体线路结构及其制作工艺
US20170338116A1 (en) Method for Patterning a Substrate Using a Layer with Multiple Materials
US8216948B2 (en) Exposure mask and method for forming semiconductor device using the same
CN109983564B (zh) 亚分辨率衬底图案化的方法
CN109216167B (zh) 图案化方法
US8110507B2 (en) Method for patterning an active region in a semiconductor device using a space patterning process
JP4095588B2 (ja) 集積回路にフォトリソグラフィ解像力を超える最小ピッチを画定する方法
US20240087892A1 (en) Double Patterning Method of Patterning a Substrate
US20090311865A1 (en) Method for double patterning lithography
CN117677182A (zh) 半导体结构及其形成方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
TR01 Transfer of patent right

Effective date of registration: 20230919

Address after: Illinois, America

Patentee after: North Star Franchise Group Co.,Ltd.

Address before: Idaho

Patentee before: MICRON TECHNOLOGY, Inc.

TR01 Transfer of patent right