CN101048704B - Resist composition - Google Patents

Resist composition Download PDF

Info

Publication number
CN101048704B
CN101048704B CN2005800372925A CN200580037292A CN101048704B CN 101048704 B CN101048704 B CN 101048704B CN 2005800372925 A CN2005800372925 A CN 2005800372925A CN 200580037292 A CN200580037292 A CN 200580037292A CN 101048704 B CN101048704 B CN 101048704B
Authority
CN
China
Prior art keywords
resist composition
acid
film
alkyl
tert
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN2005800372925A
Other languages
Chinese (zh)
Other versions
CN101048704A (en
Inventor
S·胡
S·马格苏迪
E·S·梅尔
S·王
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Dow Silicones Corp
Original Assignee
Dow Corning Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Dow Corning Corp filed Critical Dow Corning Corp
Publication of CN101048704A publication Critical patent/CN101048704A/en
Application granted granted Critical
Publication of CN101048704B publication Critical patent/CN101048704B/en
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0757Macromolecular compounds containing Si-O, Si-C or Si-N bonds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Materials For Photolithography (AREA)
  • Silicon Polymers (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

A resist composition comprising (A) a hydrogen silsesquioxane resin, (B) an acid dissociable group-containing compound, (C) a photo-acid generator, (D) an organic solvent and optionally (E) additives. The resist composition has improved lithographic properties (such as high etch-resistance, transparency, resolution, sensitivity, focus latitude, line edge roughness, and adhesion) suitable as a photoresist.

Description

The resist composition
It is photoresist (a kind of photopolymer) that IC makes one of employed key chemicals, and it hides a part of substrate, and when exposing rightly and developing, shifts the IC pattern with high integrality.Make more fast and more small-sized processor requirement satisfies the resist of being strict with more: high-transmittance, thin film, cohesive, corrosion stability and thermal stability, photoinduction susceptibility more fast preferably preferably preferably.Yet the design and development of this material is important challenge, and this is because most of known photoresists, water, oxygen and simple hydrocarbons extinction consumingly in spectral range.
Study 193nm (ArF) impregnated lithography and 157nm (F2) lithography widely, and occurred being used for the node device of inferior 65nm as feasible technology apace.For higher resolution and bigger process window, the thickness of imaging layer tends to attenuation.This requirement has the development photoresist of superelevation corrosion stability.Although fluorocarbon polymer and silicon-containing polymer (comprising silsesquioxane) demonstrate high-transmittance at the 157nm place, material, particularly silsesquioxane-based resist have the advantage of high resistance plasma etching and are suitable for double-deck photoresist and use.
Because the Si-H key of hydrogen silsesquioxane (HSQ) particular structure and high-load, so it is at the two equal unusual printing opacity down of 193nm and 157nm.HSQ is (by Dow Corning with trade name
Figure S05837292520070508D000011
Commercialization) be widely used as spin coating low-the k dielectric material, it has had as the more desired features of good etch-resist resin, for example good film quality, heat and mechanical property.It is also believed that alkaline aqueous solution (for example, in developer Tetramethylammonium hydroxide (TMAH) commonly used), the Si-H key changes into Si-OH fast, a kind of alkali soluble fraction.Yet, be very difficult to (if not impossible words) and directly mix the active functional group of any acid in the HSQ main chain, can be used as the HSQ of etch-resist resin with manufacturing.Mix acid and can dissociate group to the transition metal-catalyzed hydrosilylation reactions of one of interior method of HSQ use.Yet it is challenging to remove residual catalyzer.
The present invention relates to be suitable in the micro-lithography of 193nm, 157nm and immersion lithography is used, being used as the composition of photoresist.This resist composition comprises (A) hydrogen silsesquioxane resin, (B) contains can the dissociate compound of group of acid, (C) photo-acid generator, (D) organic solvent; (E) adjuvant randomly.These resist compositions are printing opacity under low wavelength, and satisfies many other requirements of photoresist, in case for example cohesive, thermal stability, chemical scalable property and light deprotection then have water-alkali dissolution.
The general formula of component (A) hydrogen silsesquioxane resin is (HSi (OH) O 2/2) w(HSi (R 1) O 2/2) x(HSiO 3/2) y(SiO 4/2) z, R wherein 1Be the C of straight or branched 1-C 6Alkyl or alkoxy; W=0-1, x=0-1, the numerical value of y is〉0 to 1, z=0-1 and w+x+y+z ≈ 1.Typically, w=0-0.1, x=0-0.1, z=0-0.1 and y=0.7-1 and w+x+y+z ≈ 1.
R 1Can exemplify but be not limited to methyl, methoxyl, ethyl, ethoxy, propyl group propoxyl group, butyl, butoxy, the tert-butyl group, tert-butoxy and other.Typically, R 1It is methyl.Hydrogen silsesquioxane resin can be (the HSiO of abundant condensation 3/2) n, wherein n is more than or equal to 8, perhaps they can partial hydrolysis (that is, containing some Si-OR) and/or part condensation (that is, containing some Si-OH).Although general formula of no use shows, but hydrogen silsesquioxane resin also can contain in a small amount (promptly, less than 10%) silicon atom, described silicon atom has 0 or 2 hydrogen atom that is bonded on it, the perhaps SiC key of smallest number, this is because the various factors that relates in its formation and/or processing procedure causes.Hydrogen silsesquioxane resin as used herein typically mean molecular weight (Mw) is 500-1,000,000, perhaps 1, and 000-50,000.
The method for preparing hydrogen silsesquioxane resin (A) is known in the art.A kind of method relates to for example trichlorosilane of hydrolysis trihalosilane, or trialkoxy silane trimethoxy silane for example.Other method relates to sulfonation, hydrolysis, separation/acid removes, and solvent exchange/remove trihalosilane, for example trichlorosilane.Can in following document, find to prepare the method for hydrogen silsesquioxane resin, but be not limited to these: people's such as people's such as Collins U.S. Patent No. 3615272, Bank U.S. Patent No. 5010159, people's such as Frye U.S. Patent No. 4999397, people's such as Carpenter U.S. Patent No. 6353074, U.S. Patent application No.2003-0152784 and Jap.P. Kokai Nos.59-178749,60-86017 and 63-107122.
Also can use the above-mentioned hydrogen silsesquioxane resin of specified molecular weight part in the method for the invention.In people's such as people's such as Hanneman U.S. Patent No. 5063267 and Mine U.S. Patent No. 5416190, instructed this part and preparation method thereof, at this by with reference to being introduced into.The molecular weight that preferred part comprises wherein at least 75% polymeric material for greater than with the material that equals 1200.Preferred part comprises that wherein the number-average molecular weight scope of at least 75% polymeric material is 1,000 to 50,000 material.
Component in the resist composition (B) is to contain can the dissociate compound of group of acid." acid can dissociate group " is meant the molecular moiety that the acid (PAG) that produces with acid, especially light can be dissociated.The group that acid can be dissociated is known in the art, and for example is disclosed among european patent application No.1142928 and the U.S. Patent Application Publication No.2002/0090572, in this instruction by dissociating group with reference to introducing about acid.Especially, the acid group that can dissociate can be represented by the formula:
Figure S05837292520070508D000031
Each R wherein 3It is basic for connecting independently,
R 4It is the second connection base;
L is selected from the alkylidene of the straight or branched with 1-10 carbon atom, has the fluoro alkylidene of 2-20 carbon atom, replaces and unsubstituted arlydene, replaces and unsubstituted cycloalkylidene and replacement and unsubstituted alkarylene;
R 5Be hydrogen, straight or branched alkyl or fluoro-alkyl;
R 6Be alkyl or fluoro-alkyl;
Z is the acid group that can dissociate;
The numerical value of g can be 0 or 1;
The numerical value of h can be 0 or 1; With
The numerical value of k can be 0 or 1.
Each R 3Can exemplify but be not limited to alkylidene, for example methylene and ethylidene.
R 4Can exemplify but be not limited to straight or branched alkylidene, cycloalkylidene for example norborny or cyclohexylene, fluoro alkylidene and aryl.
L can exemplify but be not limited to replace (for example, fluoridizing) and unsubstituted methylene, ethylidene, inferior norbornene, cycloalkylidene and alkarylene part.
R 5Can exemplify but be not limited to: hydrogen, C 1-C 6Alkyl is methyl and ethyl for example, and C 1-C 6Fluoro-alkyl, trifluoromethyl, 2,2 for example, 2-trifluoroethyl and 3,3,3-trifluoromethyl.
R 6Can exemplify but be not limited to C 1-C 6Alkyl, for example methyl and ethyl, and C1-C 6Fluoro-alkyl, trifluoromethyl, 2,2 for example, 2-trifluoroethyl and 3,3,3-trifluoromethyl.
Z can exemplify but be not limited to-OH ,-COOH, general formula-COOR 7Ester, general formula-OCOOR 8Carbonic ester, general formula-OR 9Ether, wherein select R 7, R 8And R 9, make the Z acid decomposable from.
At Z base-COOR 7In, R 7Can be tertiary alkyl, for example the tert-butyl group has the ring-type of uncle's tie point or does not have ring substituents (common C 6-C 12), for example adamantyl, norborny, isobornyl, 2-methyl-2-adamantyl, 2-methyl-2-isobornyl, 2-butyl-2-adamantyl, 2-propyl group-2-isobornyl, 2-methyl-2-tetracyclododecen base, 2-methyl-2-dihydro dicyclopentadienyl cyclohexyl, 1-methylcyclopentyl or 1-methylcyclohexyl or 2-trialkylsilkl ethyl, for example 2-trimethyl silyl ethyl or 2-triethylsilyl ethyl.
General formula is-OCOOR 8Carbonic ester Z base can exemplify-0-tert-butoxycarbonyl (that is R, 8Be the tert-butyl group).General formula is-OR 9The ethers acid group that can dissociate can exemplify THP trtrahydropyranyl ether (that is R, 9Be THP trtrahydropyranyl) and trialkylsilyl ethers (that is R, 9Be trialkylsilkl, trimethyl silyl for example).
Typical Z base is in the presence of the acid (PAG) that light produces, and the experience dissociation reaction generates organic ester group of carboxylic acid group.
The acid group that can dissociate can exemplify but is not limited to 1,1-dimethyl ethyl, isopropyl, 2-methyl adamantane base, 2-ethyl adamantyl, cyclohexyl and 2-hydroxyl-3-pinane base, or the tertiary butyl ester of norbornane, and other.
Can be by can dissociate group precursor or can dissociate the group precursor in polymkeric substance (for example silsesquioxane polymer) of polymeric acid by adding acid, produce and contain can the dissociate compound (B) of group of acid.
Can the dissociate precursor of group of acid can exemplify but is not limited to the tert-butyl ester, the 1-methyl ring pentyl ester of norborene, the 1-methyl cyclohexane ester of norborene, the acrylic acid tert-butyl group-2-trifluoro methyl esters, metering system tert-butyl acrylate, the dicyclo [2 of norborene, 2,1] heptan-5-alkene-2-tert-butyl group carboxylate, cis-5-norborene-2,3-dicarboxylic anhydride, 5-(2-tert-butoxycarbonyl) norborny trichlorosilane and other.
Contain acid can dissociate the compound (B) of group can exemplify but be not limited to gather (tert-butyl group methacrylate) (t-BMA) and poly-(tert-butoxycarbonyl norborny silsesquioxane) (t-BENBSQ), poly-(methyl-altogether-tert-butoxycarbonyl norborny) silsesquioxane (M-co-t-BENBSQ) and gather (tert-butyl group-2-trifluoromethyl acrylate ester) (t-BTFM).
The amount ranges that is present in (B) in the resist composition typically is the 5-70 weight portion, perhaps the 20-50 weight portion based on 100 weight portions (A).
Component (C) in the composition is photo-acid generator (PAG).In case photo-acid generator is to be exposed to the next acidic compound of radiant rays.This acid causes that then the group that (B) interior acid can be dissociated dissociates.Photo-acid generator is known in the art and for example is disclosed among the EP1142928A1.Photo-acid generator can exemplify but be not limited to salt, halogen-containing compound, diazo-ketones compound, sulphones, sulfonate compound and other.
The example of salt includes but not limited to that salt compounded of iodine, sulfonium salt are (comprising thiophane salt), phosphonium salt, diazo salt and pyridiniujm.
Halogen-containing examples for compounds includes but not limited to contain the hydrocarbon compound of mahaloalkyl, contains the heterogeneous ring compound of haloalkyl and other.
The diazo-ketones examples for compounds includes but not limited to 1,3-diketone-2-diazo-compounds, diazonium benzo naphtoquinone compounds, diazonium naphtho-naphtoquinone compounds and other.
The example of sulphones includes but not limited to the α-diazo-compounds of β-ketone sulfone, 'Beta '-sulfonyl sulfone, these compounds and other.
The example of sulfonate compound includes but not limited to sulfonic acid alkane ester, alkylimide sulphonic acid ester, sulfonic acid alkyl halide ester, sulfonic acid aromatic ester, imino group sulphonic acid ester and other.
Photo-acid generator (C) can be separately or in conjunction with two or more uses.Preferred acid agent is a sulfonated salts, especially has the anionic sulfonated salts of perfluorinated methide.Based on 100 parts (B), the amount ranges of (C) typically is the 0.1-8 weight portion in the resist composition, perhaps the 2-5 weight portion.
Component in composition (D) is an organic solvent.Choice of Solvent is subjected to many controlling factors, for example hydrogen silsesquioxane resin, contain acid can the dissociate compound of group and the solubleness and the compatibility of photo-acid generator, coating process and safety and environmental legislation.Typical solvent comprise contain ether-, ester-, hydroxyl-and ketone-compound and composition thereof.The example of solvent includes but not limited to cyclopentanone, cyclohexanone, lactate (for example ethyl lactate), aklylene glycol alkane ether (for example ethylene glycol monomethyl ether), two aklylene glycol dialkyl ethers (for example diethylene glycol dimethyl ether), aklylene glycol alkyl ether acetate (for example propylene glycol methyl ethyl ether acetic acid esters), aklylene glycol ether-ether (for example glycol ether acetate), aklylene glycol mono alkyl ester (for example methyl cellosolve), butyl acetate, cellosolvo and 3-ethoxyl ethyl propionate.Typically, the solvent that is used for silsesquioxane resins includes but not limited to cyclopentanone (CP), methyl proxitol acetate (PGMEA), ethyl lactate (EL), methyl isobutyl ketone (MIBK), MEK (MEK), 3-ethoxyl ethyl propionate, 2-heptanone or methyl-n-amyl ketone (MAK) and/or any their potpourri.
The consumption of solvent is typically with whole compositions (that is, (A), (B), C), (D) and (E)) 10-95wt%, perhaps 80-95wt% exists.
Adjuvant (E) can randomly be used in the photo-corrosion-resisting agent composition.For example, if photoresist is a positive photoresist, then photo-corrosion-resisting agent composition especially can comprise sour diffusion control agent, surfactant, dissolution inhibitor, crosslinking chemical, sensitizer, halation inhibitor, adhesion promotor, storage stabilizing agent, defoamer, coating additive, plastifier.Typically, all adjuvant sums (not comprising acid agent) contain the solid that comprises less than 20% in photo-corrosion-resisting agent composition, perhaps less than 5%.
Another embodiment of the present invention is the method that generates the resist image in substrate.This method comprises the steps: that (a) uses the film coat substrates that contains resist composition of the present invention; (b) film is exposed under the radiant rays with becoming image, produces the film of exposure; (c) make the film development of exposure, produce image.
Step (a) relates to the resist film coat substrates that contains the resist composition.Suitable substrate is that pottery, metal or semiconductor and preferred substrate are to contain silicon base, comprising for example silicon dioxide, silicon nitride, silicon oxynitride, silit and siloxicon.Before deposition resist composition, substrate can or can organic or antireflecting bottom coating of no use.Perhaps, can use double base, photo-corrosion-resisting agent composition wherein of the present invention can form upper strata photoresist layer (that is, imaging layer) on the double base top of being made up of basic unit and bottom, wherein said bottom is between upper strata photoresist layer and basic unit.Basic unit in the double base constitute by suitable base material and double base in bottom constitute by high absorption under imaging wavelength and the material compatible with imaging layer.Conventional bottom comprises crosslinked poly-(4-hydroxy styrenes), polyester, polyacrylate, polymethacrylate, fluorinated polymer, cyclic olefin polymer etc., comprising diazonium naphtho-quinone (DNQ)/novolac anticorrosive additive material.
Typically, deposit resist film afterwards thereon by standard procedure cleaning coating or the uncoated individual layer or the surface of double base.Can use technology known in the art, for example spin coating, spraying or scraper type coating, painting erosion resistant agent film in substrate.Typically, before resist film being exposed under the radiant rays, by through short time period (for example, 20-90 second), typically under about 1.0 minutes order of magnitude, the scope of being heated to is under 30 ℃-200 ℃ the temperature, thus dry resist film.The thickness of gained desciccator diaphragm is the 0.01-5.0 micron, perhaps 0.02-2.5 micron, perhaps 0.05-1.0 micron and or 0.10-0.20 micron.
(b) becomes image ground exposure resist film under radiant rays then, and promptly UV, X-ray, e electron beam or EUV etc. are down.Typically use the UV radiation of wavelength, perhaps use the UV radiation of wavelength as 157nm or 193nm as 157nm-365nm.Suitable radiation source comprises mercury lamp, mercury/xenon lamp and xenon lamp.Preferred radiation source is KrF excimer laser or F 2Excimer laser.For example under the 365nm, suggestion is added sensitizer in photo-corrosion-resisting agent composition, to improve the absorption of radiant rays at the radiant rays that uses longer wavelength.Typically adopt less than 100mJ/cm 2Radiation, perhaps adopt less than 50mJ/cm 2Radiation, realize the abundant exposure of photo-corrosion-resisting agent composition.
In case be exposed under the radiant rays, then radiant rays is absorbed by the acid agent in the photo-corrosion-resisting agent composition, produces free acid.When photo-corrosion-resisting agent composition was positive photoresist, in case heating, then free acid caused that the acid that is present in the photo-corrosion-resisting agent composition group that can dissociate dissociates.When photo-corrosion-resisting agent composition was negative photoresist, free acid caused crosslinking chemical and silsesquioxane resins reaction, thereby formed the insoluble zone of the photoresist of exposure.After photo-corrosion-resisting agent composition is exposed under the radiant rays, typically be the time period of about 1 minute weak point through the order of magnitude, the heating photo-corrosion-resisting agent composition is 30 ℃-200 ℃ temperature to scope.
(c) with appropriate developer solution exposed film is developed, produce image.Appropriate developer solution typically contains alkaline aqueous solution, does not preferably have the alkaline aqueous solution of metallic ion and organic solvent randomly.Those skilled in the art can select appropriate developer solution.Standard industry developer solutions contains alkali, for example Tetramethylammonium hydroxide (TMAH), choline, NaOH, potassium hydroxide, sodium carbonate, sodium silicate, sodium metasilicate, ammoniacal liquor, ethamine, n-pro-pyl amine, diethylamine, di-n-propyl amine, triethylamine, methyl-diethyl-amine, ethyl dimethylamine, triethanolamine, pyrroles, piperidines, 1,8-diazabicyclo-[5.4.0]-7-hendecene and 1,5-diazabicyclo-[4.3.0]-5-nonene.In the positive photoresist composition, the exposure area of photoresist is soluble, thereby stays unexposed zone.In negative photoresist, just in time opposite, promptly unexposed area dissolves in the developer, and the exposure area obtains keeping.After exposed film is developed, typically wash residual resist film (" pattern ") with water, to remove any residual developer solution.
Then with pattern transfer to the base material of bottom.The coating or double-deck photoresist in, this will be referred to by the coating that may exist and by bottom with pattern transfer to basic unit.In the individual layer photoresist, can directly be transferred in the substrate.Typically, by using reactive ion, oxygen, plasma and/or oxygen/sulphuric dioxide plasma etching for example, thereby pattern transferring.Suitable plasma tool comprises but is not limited to electron cyclotron resonance (ECR), helicon wave, inductively coupled plasma (ICP) and transmission coupling plasma (TCP) system.Etching technique is well known in the art, and those skilled in the art are afamiliar with various commercially available etching machines.
Therefore, can use photo-corrosion-resisting agent composition of the present invention, produce the material layer structures of composition, metal line for example, contact hole or passage, insulated part are (for example, damascene trench or shallow-trench isolation), capacitor arrangement is with groove etc., they can be used in the design of integrated circuit (IC)-components.These methods of making these features are known in the art.
List following embodiment and further set forth the present invention, but should not be construed as limiting the invention.Although the numerical precision of endeavouring to ensure should be considered the deviation that some sum of errors is possible.Percentage usually by weight, temperature unit is ℃ and pressure unit is atm, except as otherwise noted.All chemicals as used herein or synthetic by the known structure that commercial vendor obtains or the existing indication of employing inventor characterizes.On Varian Mercury300 or Mercury400 spectrophotometer, obtain all NMR (1H, 13C, 19F, 29Si) data.
Embodiment
Embodiment 1: hydrogen silsesquioxane resin (HSQ) synthetic
With 100g one hydration toluenesulfonic acid (TSAM) solution (by using down dense H at 60 ℃ 2SO 4With the SO of being fuming 3Sulfonation toluene and prepare) join in the flask, and under constant vigorous stirring, will dropwise join in the flask at the 10g in the 50g toluene (0.075mol) trichlorosilane.After adding, use deionization (DI) water washing potpourri at least 3 times, and collect organic phase.Adopt Rotary Evaporators then, under reduced pressure strip solvent, obtain hydrogen silsesquioxane resin.At ambient temperature, further dry white solid spends the night in vacuum drying oven.
Embodiment 2: use BPO synthetic poly-(metering system tert-butyl acrylate) (PTBMA)
270g toluene, 38g metering system tert-butyl acrylate and 0.93g benzoyl peroxide (BPO) are joined in the flask.Stir this potpourri and be heated to backflow.After refluxing 36 hours, adopt Rotary Evaporators to remove and desolvate, and under 40 ℃, further drying solid spends the night in vacuum drying oven.Productive rate acquisition Mw with 70% is 8900 white resin.
Embodiment 3: use AIBN synthetic poly-(metering system tert-butyl acrylate) (PTBMA)
With 28g tetrahydrofuran (THF), 28.4g metering system tert-butyl acrylate and 0.42g2,2 '-azoisobutyronitrile (AIBN) joins in the flask.Stir this potpourri and be heated to backflow.After refluxing 12 hours, the sticky solid product is dissolved in the 60g THF.In Rotary Evaporators, remove and desolvate, and under 40 ℃, further drying solid spends the night in vacuum drying oven.Productive rate acquisition Mw with 92% is 54800 white resin.
Embodiment 4: synthesize poly-(tert-butoxycarbonyl norborny silsesquioxane) (PTBNBSQ)
300g toluene, 120g glycol dimethyl ether (EGDME), 32.4g water and 0.70g diethylamine (DEA) are joined in the flask, stir this potpourri and be cooled to-10 ℃, in 2 hours time period, add the potpourri of 65.9g5-(2-tert-butoxycarbonyl) norborny trichlorosilane (TBNBTCS) and 160g toluene afterwards.After adding all TBNBTCS, at room temperature stirred this solution 2 hours.With acetate this solution that neutralizes, and with deionization (DI) water washing for several times.Collect organic phase.Then 0.91g five hydration Tetramethylammonium hydroxide (TMAHPH) are joined in the organic phase, and stir this potpourri and be heated to and refluxed 12 hours.Cool off this solution and, use the DI water washing for several times with the neutralization of 1g acetate.Adopt Rotary Evaporators to remove and desolvate, and under 80 ℃, further drying solid in vacuum drying oven.Productive rate with 95% obtains the white solid resin.Si 29NMR shows that product contains the silanol of the 10mol% that has an appointment.
Embodiment 5: synthesize poly-(tert-butoxycarbonyl norborny silsesquioxane) (t-BENBSQ)
326g toluene, 259g water, 326g glycol dimethyl ether (EGDME) and 184g diethylamine are joined in the reactor.Cool off this potpourri to being lower than 0 ℃.When stirring, in about 2 hours, the solution of 237g5-(2-tert-butoxycarbonyl) norborny trichlorosilane (TBNBTCS) in 474g toluene is joined in the above-mentioned cooling mixture.After adding, the rising potpourri arrives room temperature in about 1 hour, and keeps 2 hours under this temperature.Then, 23.7g acetate is joined in this potpourri, with the residual alkali that neutralizes.Subsequently, remove lower aqueous layer, and (3 * 180ml) wash organic layers with deionized water.Washed organic solution is transferred in the flask.Add 7.6g five hydration Tetramethylammonium hydroxide (TMAHPH) aqueous solution (TMAHPH (3.8g)/H 2O (3.8g)).Heating this solution to 105 ℃ in 2 hours.After further refluxing 12 hours, cool off this solution to room temperature.Then, 12g acetate is joined in the solution.With deionized water (3 * 120ml) wash solutions.Remove from organic solution by rotary evaporation and to desolvate, and in vacuum drying oven, spend the night at 80 ℃ of following dry products.29SiNMR shows that product contains the residual silicon alkanol less than 2mol%.
Embodiment 6: synthetic poly-(methyl-be total to-the tert-butoxycarbonyl norborny) silsesquioxane
100g toluene, 30g glycol dimethyl ether (EGDMB), 22.5g water and 16.38g diethylamine (DEA) are joined in the flask.Stir this potpourri and be cooled to-10 ℃, in 2 hours time period, add the potpourri of 17g5-(2-tert-butoxycarbonyl) norborny trichlorosilane (TBNBTCS), 1.86g methyl trichlorosilane (MTCS) and 55g toluene afterwards.After adding, at room temperature stirred this solution 2 hours.Neutralize this solution also with deionized water (DI) washing for several times with acetate.Collect organic phase.Then 0.29g five hydration Tetramethylammonium hydroxide are joined in the organic phase, and stir this potpourri and be heated to and refluxed 12 hours.Cool off this solution and, use the DI water washing for several times with the neutralization of 1g acetate.Adopt Rotary Evaporators, remove and desolvate, and in vacuum drying oven, further drying solid spends the night under 80 ℃.Productive rate with 96% obtains white solid.
The blend of embodiment 7:HSQ and PTBMA
The blend of PTBMA of preparation among the hydrogen silsesquioxane polymkeric substance of preparation among the 1.04g embodiment 1 and the 1.2g embodiment 2 is dissolved in the 20.2g propylene glycol methyl ether acetate (PGMEA).This solution is filtered syringe filter by 0.1 micron, is spin-coated on 4 then " silicon chip on.The silicon chip of baking coating under 130 ℃ 60 seconds.Test has the thinning (thinning) of the silicon chip of film, and develops 30 seconds with 0.263N Tetramethylammonium hydroxide (TMAH) (available from the MF CD26 of Shipley), afterwards 130 ℃ of following hot plate bakings 90 seconds.Measurement is the thickness of film before TMAH thinning test and afterwards.For this blend prescription, obtain to be higher than 97% film retention rate.To be exposed to the UV following several seconds of light with the film of this blend of PAG preparation, and 110 ℃ of following post exposure bake 60 seconds, development in 0.263N Tetramethylammonium hydroxide (TMAH) (available from the MF CD26 of Shipley) afterwards caused removing fully this film.
The blend of embodiment 8:HSQ and PTBMA
The blend of PTBMA of preparation among the hydrogen silsesquioxane polymkeric substance of preparation among the 1.04g embodiment 1 and the 1.2g embodiment 3 is dissolved in the 20.2g propylene glycol methyl ether acetate (PGMEA).This solution is filtered syringe filter by 0.1 micron, is spin-coated on 4 then " silicon chip on.The silicon chip of baking coating under 130 ℃ 60 seconds.The silicon chip 30 seconds that develops and to have film with 0.263N Tetramethylammonium hydroxide (TMAH) (available from the MF CD26 of Shipley) is afterwards 130 ℃ of following hot plates bakings 90 seconds.Measurement is the thickness of film before TMAH thinning test and afterwards.For this blend prescription, obtain to be higher than 98% film retention rate.To be exposed to the UV following several seconds of light with the film of this blend of PAG preparation, and 110 ℃ of following post exposure bake 60 seconds, development in 0.263N Tetramethylammonium hydroxide (TMAH) (available from the MF CD26 of Shipley) afterwards caused removing fully this film.
Embodiment 9:HSQ and poly-(tert-butoxycarbonyl norborny silsesquioxane) blend (t-BENBSQ)
Poly-(tert-butoxycarbonyl norborny silsesquioxane) blend (t-BENBSQ) of preparation among hydrogen silsesquioxane polymkeric substance that in PGMEA, prepares among the preparation embodiment 1 and the embodiment 4.This solution is filtered syringe filter by 0.1 micron, is spin-coated on 4 then " silicon chip on.The silicon chip of baking coating under 130 ℃ 60 seconds.The silicon chip 30 seconds that develops and to have film with 0.263N Tetramethylammonium hydroxide (TMAH) (available from the MF CD26 of Shipley) is afterwards 130 ℃ of following hot plates bakings 90 seconds.Measurement is the thickness of film before TMAH thinning test and afterwards.For this blend prescription, obtain to be higher than 98% film retention rate.To be exposed to the UV following several seconds of light with the film of this blend of PAG preparation, and 110 ℃ of following post exposure bake 60 seconds, development in 0.263N Tetramethylammonium hydroxide (TMAH) (available from the MFCD26 of Shipley) afterwards caused removing fully this film.
The blend of embodiment 10:HSQ and poly-(methyl-be total to-the tert-butoxycarbonyl norborny) silsesquioxane
The blend of poly-(methyl-be total to-the t-butyl norbornene ester) silsesquioxane (T (Me) T (B)) of preparation among hydrogen silsesquioxane polymkeric substance that in PGMEA, prepares among the preparation embodiment 1 and the embodiment 6.This solution is filtered syringe filter by 0.1 micron, is spin-coated on 4 then " silicon chip on.The silicon chip of baking coating under 130 ℃ 60 seconds.The silicon chip 30 seconds that develops and to have film with 0.263N Tetramethylammonium hydroxide (TMAH) (available from the MF CD26 of Shipley) is afterwards 130 ℃ of following hot plates bakings 90 seconds.Measurement is the thickness of film before TMAH thinning test and afterwards.For this blend prescription, obtain to be higher than 98% film retention rate.To be exposed to the UV following several seconds of light with the film of this blend of PAG preparation, and 110 ℃ of following post exposure bake 60 seconds, development in 0.263N Tetramethylammonium hydroxide (TMAH) (available from the MF CD26 of Shipley) afterwards caused removing fully this film.

Claims (14)

1. resist composition, it comprises (A) 100 weight portion mean molecular weight is 500-1,000,000 hydrogen silsesquioxane resin, (B) the 5-70 weight portion contains can the dissociate compound of group of acid, (C) be the organic solvent of 10-95wt% based on 100 parts of (B) 0.1-8 weight portion photo-acid generators and (D) based on the weight of composition;
Wherein the general formula of hydrogen silsesquioxane resin is (HSi (OH) O 2/2) w(HSi (OR 1) O 2/2) x(HSiO 3/2) y(SiO 4/2) z, R wherein 1Be the C of straight or branched 1-C 6Alkyl or alkoxy; W=0-0.1, x=0-0.1, y=0.7-1, z=0-0.1 and w+x+y+z ≈ 1;
Wherein can the dissociate general formula of group of the acid in (B) is:
Figure FFW00000040426700011
Each R wherein 3Be alkylidene,
R 4Be straight or branched alkylidene, cycloalkylidene, fluoro alkylidene or aryl;
L is selected from the alkylidene of the straight or branched with 1-10 carbon atom, has the fluoro alkylidene of 2-20 carbon atom, replaces and unsubstituted arlydene, replaces and unsubstituted cycloalkylidene and replacement and unsubstituted alkarylene;
R 5Be hydrogen, straight or branched alkyl or fluoro-alkyl;
R 6Be alkyl or fluoro-alkyl;
Z is the acid group that can dissociate;
The numerical value of g is 0 or 1;
The numerical value of h is 0 or 1; With
The numerical value of k is 0 or 1.
2. the resist composition of claim 1, wherein (B) is poly-(metering system tert-butyl acrylate).
3. the resist composition of claim 1, wherein (B) is poly-(tert-butoxycarbonyl norborny silsesquioxane).
4. the resist composition of claim 1, wherein (B) is poly-(methyl-altogether-tert-butoxycarbonyl norborny) silsesquioxane.
5. the resist composition of claim 1 wherein based on (A) of 100 weight portions, is that the consumption of 20-50 weight portion exists with the scope (B).
6. the resist composition of claim 1, wherein (C) is selected from Salt, halogen-containing compound, diazo-ketones compound, sulphones and sulfonate compound.
7. the resist composition of claim 1, wherein based on (B) of 100 weight portions, photo-acid generator is that the consumption of 2-5 weight portion exists with the scope.
8. the resist composition of claim 1 wherein comprises (E) in addition and is selected from least a adjuvant in sour diffusion control agent, surfactant, dissolution inhibitor, crosslinking chemical, sensitizer, halation inhibitor, adhesion promotor, storage stabilizing agent, defoamer, coating additive and the plastifier.
9. the resist composition of claim 1, wherein (D) is selected from the compound that contains ether, ester, hydroxyl and ketone.
10. the resist composition of claim 1, wherein based on total photo-corrosion-resisting agent composition, amount (D) is 80-90wt%.
11. a method that produces the resist image in substrate, this method comprises:
(a) usefulness contains the film coat substrates of the resist composition of right requirement 1;
(b) become image ground this film of exposure under radiant rays, produce exposed film; With
(c) this exposed film is developed, produce image.
12. the method for claim 11, wherein before being exposed under the radiant rays, dry this film.
13. the method for claim 11 wherein before developing, heats exposed film under 30 ℃-200 ℃ temperature.
14. the method for claim 11 wherein by exposed film is contacted with alkaline aqueous solution, develops exposed film.
CN2005800372925A 2004-11-02 2005-09-20 Resist composition Expired - Fee Related CN101048704B (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US62431904P 2004-11-02 2004-11-02
US60/624,319 2004-11-02
PCT/US2005/033541 WO2006049720A1 (en) 2004-11-02 2005-09-20 Resist composition

Publications (2)

Publication Number Publication Date
CN101048704A CN101048704A (en) 2007-10-03
CN101048704B true CN101048704B (en) 2011-04-13

Family

ID=35627347

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2005800372925A Expired - Fee Related CN101048704B (en) 2004-11-02 2005-09-20 Resist composition

Country Status (7)

Country Link
US (1) US8088547B2 (en)
EP (1) EP1810084B1 (en)
JP (1) JP4881313B2 (en)
KR (1) KR101247545B1 (en)
CN (1) CN101048704B (en)
TW (1) TWI407249B (en)
WO (1) WO2006049720A1 (en)

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4871549B2 (en) * 2005-08-29 2012-02-08 富士フイルム株式会社 Positive resist composition and pattern forming method using the same
WO2007066653A1 (en) * 2005-12-05 2007-06-14 Jsr Corporation Polysiloxane and radiation-sensitive resin composition
KR20070105040A (en) 2006-04-25 2007-10-30 엘지.필립스 엘시디 주식회사 Resist composition, method of fabricating resist pattern using the same and array substrate fabricated using the same
KR101293937B1 (en) * 2006-06-28 2013-08-09 다우 코닝 코포레이션 Silsesquioxane resin systems with base additives bearing electron-attracting functionalities
US8158338B2 (en) * 2008-07-08 2012-04-17 Massachusetts Institute Of Technology Resist sensitizer
WO2010005892A1 (en) * 2008-07-08 2010-01-14 Massachusetts Institute Of Technology Resist composition and lithographic process using said composition
CN102439069B (en) * 2009-07-23 2014-11-05 道康宁公司 Method and materials for reverse patterning
JP5610567B2 (en) * 2010-02-23 2014-10-22 昭和電工株式会社 Resist sensitivity improvement method
KR101759234B1 (en) 2011-09-05 2017-07-19 삼성디스플레이 주식회사 Color filter composition
JP6064570B2 (en) * 2012-12-10 2017-01-25 Jsr株式会社 Radiation sensitive resin composition for display element, cured film, method for producing cured film, semiconductor element and display element
ES2688532T3 (en) 2013-01-18 2018-11-05 Basf Se Acrylic dispersion based coating compositions
WO2017192345A1 (en) 2016-05-03 2017-11-09 Dow Corning Corporation Silsesquioxane resin and oxaamine composition
CN109153690A (en) 2016-05-03 2019-01-04 美国陶氏有机硅公司 Silsesquioxane resins and silicyl acid anhydride composition
CN109415513B (en) 2016-06-16 2022-02-25 美国陶氏有机硅公司 Silicon-rich silsesquioxane resins
FI129480B (en) * 2018-08-10 2022-03-15 Pibond Oy Silanol-containing organic-inorganic hybrid coatings for high resolution patterning
FI128886B (en) * 2019-02-25 2021-02-26 Pibond Oy Functional hydrogen silsesquioxane resins and the use thereof
CN111308860B (en) * 2020-03-26 2023-10-03 潍坊星泰克微电子材料有限公司 Negative photoresist composition resistant to strong acid corrosion
KR102521626B1 (en) * 2021-12-20 2023-04-14 전남대학교산학협력단 Tin-containing silsesquioxane polymer compound, and photoresist composition comprising the same

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0510872B1 (en) * 1991-04-22 1997-10-01 Dow Corning Corporation Photodelineable coatings from hydrogen silsesquioxane resin
US5891529A (en) * 1995-02-02 1999-04-06 Dow Corning Asia, Ltd. Radiation curable sompositions
CN1535300A (en) * 2001-07-26 2004-10-06 Siloxane resins

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3615272A (en) 1968-11-04 1971-10-26 Dow Corning Condensed soluble hydrogensilsesquioxane resin
JPS59178749A (en) 1983-03-30 1984-10-11 Fujitsu Ltd Wiring structure
JPS6086017A (en) 1983-10-17 1985-05-15 Fujitsu Ltd Production of polyhydrogen silsesquioxane
JPS63107122A (en) 1986-10-24 1988-05-12 Fujitsu Ltd Flattening method for irregular substrate
US5290899A (en) 1988-09-22 1994-03-01 Tosoh Corporation Photosensitive material having a silicon-containing polymer
US4999397A (en) 1989-07-28 1991-03-12 Dow Corning Corporation Metastable silane hydrolyzates and process for their preparation
US5045592A (en) 1989-07-28 1991-09-03 Dow Corning Corporation Metastable silane hydrolyzates
US5085893A (en) 1989-07-28 1992-02-04 Dow Corning Corporation Process for forming a coating on a substrate using a silsesquioxane resin
US5010159A (en) 1989-09-01 1991-04-23 Dow Corning Corporation Process for the synthesis of soluble, condensed hydridosilicon resins containing low levels of silanol
US5063267A (en) 1990-11-28 1991-11-05 Dow Corning Corporation Hydrogen silsesquioxane resin fractions and their use as coating materials
US5385804A (en) 1992-08-20 1995-01-31 International Business Machines Corporation Silicon containing negative resist for DUV, I-line or E-beam lithography comprising an aromatic azide side group in the polysilsesquioxane polymer
JP2547944B2 (en) 1992-09-30 1996-10-30 インターナショナル・ビジネス・マシーンズ・コーポレイション Method of forming sub-half micron pattern by optical lithography using a bilayer resist composition
JP3153367B2 (en) 1992-11-24 2001-04-09 ダウ・コ−ニング・コ−ポレ−ション Molecular weight fractionation method for polyhydrogensilsesquioxane
US5571386A (en) * 1994-05-31 1996-11-05 Rohm And Haas Company Process for grade acrylic acid
TW397936B (en) 1994-12-09 2000-07-11 Shinetsu Chemical Co Positive resist comosition based on a silicone polymer containing a photo acid generator
JP4024898B2 (en) * 1997-03-17 2007-12-19 株式会社東芝 Silicon composition, pattern forming method using the same, and electronic component manufacturing method
WO1999009457A1 (en) 1997-08-14 1999-02-25 Showa Denko K.K. Resist resin, resist resin composition, and process for patterning therewith
US6087064A (en) 1998-09-03 2000-07-11 International Business Machines Corporation Silsesquioxane polymers, method of synthesis, photoresist composition, and multilayer lithographic method
JP2000143810A (en) 1998-11-18 2000-05-26 Dow Corning Asia Ltd Preparation of hydrogen silsesquioxane resin
US6210856B1 (en) 1999-01-27 2001-04-03 International Business Machines Corporation Resist composition and process of forming a patterned resist layer on a substrate
JP4557328B2 (en) 1999-02-01 2010-10-06 富士フイルム株式会社 Positive photoresist composition
US6319650B1 (en) 2000-02-25 2001-11-20 International Business Machines Corporation High resolution crosslinkable photoresist composition, compatable with high base concentration aqueous developers method and for use thereof
US6531260B2 (en) 2000-04-07 2003-03-11 Jsr Corporation Polysiloxane, method of manufacturing same, silicon-containing alicyclic compound, and radiation-sensitive resin composition
US6399210B1 (en) * 2000-11-27 2002-06-04 Dow Corning Corporation Alkoxyhydridosiloxane resins
US7261992B2 (en) 2000-12-21 2007-08-28 International Business Machines Corporation Fluorinated silsesquioxane polymers and use thereof in lithographic photoresist compositions
US20020081520A1 (en) 2000-12-21 2002-06-27 Ratnam Sooriyakumaran Substantially transparent aqueous base soluble polymer system for use in 157 nm resist applications
JP2003020335A (en) * 2001-05-01 2003-01-24 Jsr Corp Polysiloxane and radiation-sensitive resin composition
US20030064254A1 (en) * 2001-07-26 2003-04-03 Katsuya Eguchi Siloxane resins
US20030152784A1 (en) 2002-01-30 2003-08-14 Deis Thomas A. Process for forming hydrogen silsesquioxane resins
US6737117B2 (en) * 2002-04-05 2004-05-18 Dow Corning Corporation Hydrosilsesquioxane resin compositions having improved thin film properties
JP3925448B2 (en) * 2003-03-31 2007-06-06 Jsr株式会社 Silicon-containing compound, polysiloxane and radiation-sensitive resin composition

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0510872B1 (en) * 1991-04-22 1997-10-01 Dow Corning Corporation Photodelineable coatings from hydrogen silsesquioxane resin
US5891529A (en) * 1995-02-02 1999-04-06 Dow Corning Asia, Ltd. Radiation curable sompositions
CN1535300A (en) * 2001-07-26 2004-10-06 Siloxane resins

Also Published As

Publication number Publication date
US20070264587A1 (en) 2007-11-15
EP1810084B1 (en) 2014-01-15
TWI407249B (en) 2013-09-01
JP2008519314A (en) 2008-06-05
JP4881313B2 (en) 2012-02-22
US8088547B2 (en) 2012-01-03
WO2006049720A1 (en) 2006-05-11
KR20070073860A (en) 2007-07-10
TW200628974A (en) 2006-08-16
EP1810084A1 (en) 2007-07-25
KR101247545B1 (en) 2013-03-26
CN101048704A (en) 2007-10-03

Similar Documents

Publication Publication Date Title
CN101048704B (en) Resist composition
US7625687B2 (en) Silsesquioxane resin
US5691396A (en) Polysiloxane compounds and positive resist compositions
EP2479615B1 (en) Silicon-containing composition having sulfonamide group for forming resist underlayer film
JP4141625B2 (en) Positive resist composition and substrate provided with the resist layer
TWI596159B (en) Reverse pattern forming method and polysiloxane resin composition
KR20140006822A (en) Composition for forming resist underlayer films, containing silicon that bears diketone-structure-containing organic group
JP2001051422A (en) Radiation sensitive resin composition
CN103930828A (en) Hybrid photoresist composition and pattern forming method using thereof
TWI352696B (en) Compound, positive resist composition and formatio
KR20140012614A (en) Gap embedding composition, method of embedding gap and method of producing semiconductor device by using the composition
WO2018197535A1 (en) Positive type photosensitive siloxane composition and cured film formed by using the same
KR20200026872A (en) Alkaline developer soluble silicon-containing resist underlayer film forming composition
JP5534205B2 (en) Photosensitive resist underlayer film forming composition and resist pattern forming method
US20200292940A1 (en) Silsesquioxane composition with both positive and negative photo resist characteristics
WO2008038448A1 (en) Negative-type resist composition for electron beam, and method for formation of resist pattern
KR100725795B1 (en) Hardmask composition coated under photoresist and process of producing integrated circuit devices using thereof
WO2023243593A1 (en) Resin composition, method for manufacturing cured film, substrate with multilayer film, method for manufacturing substrate with pattern, method for manufacturing patterned cured film, and method for manufacturing resin composition
JP4271490B2 (en) Negative resist composition
KR20070060578A (en) Photoresist composition and method for forming pattern using the same

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20110413

Termination date: 20150920

EXPY Termination of patent right or utility model