CN101047182A - 半导体结构及其形成方法 - Google Patents

半导体结构及其形成方法 Download PDF

Info

Publication number
CN101047182A
CN101047182A CNA2007100895149A CN200710089514A CN101047182A CN 101047182 A CN101047182 A CN 101047182A CN A2007100895149 A CNA2007100895149 A CN A2007100895149A CN 200710089514 A CN200710089514 A CN 200710089514A CN 101047182 A CN101047182 A CN 101047182A
Authority
CN
China
Prior art keywords
spacer liner
thickness
semiconductor structure
area
contact hole
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2007100895149A
Other languages
English (en)
Other versions
CN100505263C (zh
Inventor
徐祖望
柯志欣
谢志宏
彭宝庆
章勋明
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN101047182A publication Critical patent/CN101047182A/zh
Application granted granted Critical
Publication of CN100505263C publication Critical patent/CN100505263C/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823864Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7843Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being an applied insulating layer
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/981Utilizing varying dielectric thickness

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

本发明提供一种半导体结构及其形成方法,特别涉及一种半导体结构,包括:一基底,一第一MOS元件位于该基底的第一区域之上,其中第一MOS元件包括一第一间隙壁衬层。该半导体结构更包括一第二MOS元件位于该第二区域,其中第二MOS元件包括一第二间隙壁衬层。一具有第一厚度的第一应力膜形成在第一MOS元件上,且直接形成在该第一间隙壁衬层之上。一具有第二厚度第二应力膜形成在第二MOS元件之上,且直接形成在该第二间隙壁衬层之上。该第一及该第二应力膜可为不同材料。本发明所述的半导体结构及其形成方法,随着间隙壁移除,相邻两MOS元件间间隙的深宽比减小,因此接触窗蚀刻停止层能提供足够的应力至MOS元件的沟道区域。

Description

半导体结构及其形成方法
技术领域
本发明有关于一种半导体元件,而特别有关于一种半导体结构以及金属氧化物半导体(MOS)元件形成方法。
背景技术
随着超大基底电路尺寸的微缩,更小的集成电路可使半导体晶片上容纳较多的元件,此外,尺寸微缩同样也能改善耗电量以及提升效能。由于电路缩小以及效能提升,因此,元件驱动电流的改善也变得相当重要,可通过改善载流子的移动率来增加元件的驱动电流。一般常用来增加载流子移动率的方法为形成应力沟道区域。应力沟道的形成可提升MOS元件的效能。这种改善方法可在不改变栅极长度的状况下有效提升效能,而不需额外的电路设计也不会增加制程的复杂度。
近来研究结果发现,双轴向或平面张应力场可改善NMOS的效能,而平行于沟道方向的压应力可改善PMOS的效能。常用来施加应力于沟道区域的方法是在MOS元件上形成受力的接触窗蚀刻停止层(contact etch stop layer,CESL),可将应力导入沟道区域,因此载流子移动率得以改善。一般而言,接触窗蚀刻停止层越厚则可提供较大的应力,因此接触窗蚀刻停止层通常较厚。
但随着集成电路尺寸的缩小,上述用来改善MOS元件效能的方法会产生许多问题。当元件缩小时,元件间的距离也会随之缩小,因此在后续间隙填充的制程中会产生问题。间隙填充问题如图1所示,两相邻MOS元件2及4间的间隙以及深宽比(aspect ratio)可由高度H及宽度W所决定。若间隙具有高深宽比,造成在形成层间介电层(inter-layer dielectric layer)制程中会产生空隙,使后续制程困难度提升,若空隙中填满导电材料则会使电路短路。
其他可能产生的问题如图2A及图2B所示。如图2A所示,两相邻的MOS元件高度为H,间距为W。在MOS元件12及14上形成有一接触窗蚀刻停止层16,两MOS元件间的区域18是用来形成接触插塞(未显示)。由于区域18内的接触窗蚀刻停止层相对平坦,因此较易完成后续接触窗的蚀刻制程,也就是较易完成层间介电层及接触窗蚀刻停止层的蚀刻。
如图2B所示,若两MOS元件间的距离减小,则宽度W也会随之缩小,而区域18也会变窄。若接触窗蚀刻停止层的沉积厚度依然如同图2A所示,则区域18内的接触窗蚀刻停止层会产生很大的厚度变化,因此,后续接触窗蚀刻制程的制程难度提升,造成在某些区域过蚀刻而某些区域蚀刻不足。为了解决蚀刻接触窗时的问题,较佳将接触窗蚀刻停止层的沉积厚度减少,但会使其所能提供的应力减少。
因此业界亟需要一种能解决上述问题的半导体元件。
发明内容
有鉴于此,本发明揭露一种半导体结构,包括:一基底,一第一MOS元件位于该基底的第一区域之上,其中该第一MOS元件包括一L形第一间隙壁衬层。该半导体结构更包括一第二MOS元件位于该第二区域,其中该第二MOS元件包括一L形第二间隙壁衬层。一具有第一厚度的第一应力膜形成在该第一MOS元件上,且直接形成在该第一间隙壁衬层之上。一具有第二厚度第二应力膜形成在该第二MOS元件之上,且直接形成在该第二间隙壁衬层之上。
本发明所述的半导体结构,该第一厚度与该第二厚度之比约介于2-25。
本发明所述的半导体结构,其中该第一间隙壁衬层及该第二间隙壁衬层的厚度约介于30埃-250埃。
本发明所述的半导体结构,该第一及该第二间隙壁衬层的宽度约介于150埃-800埃。
本发明所述的半导体结构,其中该第一MOS元件为一NMOS元件而该第二MOS元件为一PMOS元件,且其中该第一应力膜及该第二应力膜具有张应力。
本发明所述的半导体结构,其中该第一MOS元件为一PMOS元件而该第二MOS元件为一NMOS元件,且其中该第一应力膜及该第二应力膜具有压应力。
本发明所述的半导体结构,其中该第二厚度大于该第一厚度,且其中该第一厚度与该第一间隙壁衬层的宽度之比以及该第二厚度与该第二间隙壁衬层的宽度之比分别约介于0.125-7.5。
本发明所述的半导体结构,该第一应力膜包括一第一上部分以及一第一下部分,且该第一上部分与该第一下部分为不同材料,而该第二应力膜包括一第二上部分以及一第二下部分,且该第二上部分与该第二下部分为不同材料,其中该第一应力膜的该第一下部分及该第二应力膜的该第二下部分为一连续层。
本发明又提供一种半导体结构的形成方法,包括:提供一半导体基底,包括一第一区域及一第二区域;于该第一区域之上形成一第一栅极叠层以及于该第二区域之上形成一第二栅极叠层;于该第一栅极叠层侧壁上形成一第一间隙壁衬层以及于该第二栅极叠层之上形成一第二间隙壁衬层;形成一接触窗蚀刻停止层,具有一第一部分位于该第一区域以及一第二部分位于该第二区域,其中该接触窗蚀刻停止层直接位于该第一及该第二间隙壁衬层之上,且其中该第一部分具有一第一厚度,且该第一厚度大于该第二部分的一第二厚度。
本发明所述的形成半导体结构的方法,其中该接触窗蚀刻停止层的形成方法包括:毯覆性形成一接触窗蚀刻停止层;以及薄化该第二区域上的部分该接触窗蚀刻停止层。
本发明所述的形成半导体结构的方法,其中该第一间隙壁衬层及该第二间隙壁衬层的形成方法包括:毯覆性形成一间隙壁衬层;在该间隙壁衬层上毯覆形成成一间隙壁层;图案化该间隙壁衬层以形成该第一间隙壁衬层及该第二间隙壁衬层;图案化该间隙壁层以分别在该第一间隙壁衬层及该第二间隙壁衬层上形成一第一间隙壁以及一第二间隙壁;以及移除该第一间隙壁及该第二间隙壁。
本发明所述的形成半导体结构的方法,更包括:在形成该接触窗蚀刻停止层之前,于该第一区域及该第二区域上以及该第一间隙壁衬层及该第二间隙壁衬层上形成一介电层,其中该介电层的材料不同于该接触窗蚀刻停止层。
本发明所述的形成半导体结构的方法,其中位于该第一区域的该接触窗蚀刻停止层具有一第一厚度,位于该第二区域的该接触窗蚀刻停止层的一剩余部分具有一第二厚度,且其中该第一厚度及该第二厚度的比率约介于2-25。
本发明所述的形成半导体结构的方法,其中该第一间隙壁衬层及该第二间隙壁衬层的厚度约介于30埃-250埃,其宽度约介于150埃-800埃。
本发明另提供一种半导体结构的形成方法,提供一种半导体结构的形成方法,包括:提供一半导体基底,包括一第一区域及一第二区域;于该第一区域之上形成一第一栅极叠层以及于该第二区域之上形成一第二栅极叠层;于该第一栅极叠层侧壁上形成一第一间隙壁衬层以及于该第二栅极叠层之上形成一第二间隙壁衬层;形成一接触窗蚀刻停止层,具有一第一部分位于该第一区域以及一第二部分位于该第二区域,其中该接触窗蚀刻停止层位于该第一及该第二间隙壁衬层之上,且其中该第一部分具有一第一厚度,且该第一厚度大于该第二部分的一第二厚度。
本发明所述的半导体结构及其形成方法,随着间隙壁移除,相邻两MOS元件间间隙的深宽比减小,因此接触窗蚀刻停止层能提供足够的应力至MOS元件的沟道区域。
附图说明
图1显示形成在接触窗蚀刻停止层内的空隙。
图2A及图2B显示两相邻MOS元件间的距离如何影响接触窗蚀刻停止层的蚀刻制程容许度。
图3显示MOS元件沟道区的应力与接触窗蚀刻停止层张应力间的关系。
图4至图13显示本发明较佳实施例的制程剖面图。
图14显示本发明实施例的变化例。
图15A及图15B本发明的较佳实施例间隙填充能力的改善。
具体实施方式
为了让本发明的上述和其他目的、特征和优点能更明显易懂,下文特举一较佳实施例,并配合所附图示,作详细说明如下。
沟道应力、栅极间隙壁以及接触窗蚀刻停止层间的关系如图3所示,沿沟道长度方向的沟道应力为接触窗蚀刻停止层应力的函数,线22、24皆为具有间隙壁厚度450埃的MOS元件,而又分别为具有厚度300埃及900埃接触窗蚀刻停止层的MOS元件,线26、28为不具有间隙壁的MOS元件,其中线26、28又分别为具有厚度300埃及900埃接触窗蚀刻停止层的MOS元件。
由线22及24可得知,越厚的接触窗蚀刻停止层可提供沟道区域较大的应力,从线26及28也可获得相同的结果。由线26高于线22可得知,当接触窗蚀刻停止层具有相同厚度及相同应力时,不具有间隙壁的MOS元件可获得较大的应力,同样地,由线24及28也可得相同的结果。
此外,相较于线22、24及26,线28上升的速度明显较快,因此可得知越薄的间隙壁厚度结合较厚的接触窗蚀刻停止层有利于沟道区域应力的供给。
本发明的较佳实施例如图4至图13所示,较佳实施例的变化例也会详述如后,图示中同样的符号表示相同的元件。
如图4所示,基底30包括两元件区域,其中一区域100用来形成NMOS元件,另一区域200用来形成PMOS元件,浅沟槽绝缘区域(STI)形成在基底30中,用来隔离元件区域100及200。在一较佳实施例中,元件区域100及200很接近且由浅沟槽绝缘区隔离。在另一实施例中,元件区域100及200相距较远。基底30较佳为硅,也可为其他常用的材料,例如:SiGe、硅上绝缘层(SOI)、锗化硅上绝缘层或应变硅上绝缘层。栅极叠层包括栅极介电层104以及栅极电极106,形成在NMOS区域100中。在PMOS区域200同样具有一栅极叠层,包括栅极介电层204及栅极电极206。
在NMOS区域上形成并图案化光致抗蚀剂109。以掺杂制程在PMOS区域中掺杂p型杂质,例如:硼,以形成轻掺杂区(LDD)208,并移除光致抗蚀剂109。同样,以光致抗蚀剂(未显示)为遮罩,在NMOS区域中掺杂n型杂质形成轻掺杂区(LDD)108。
接着,在PMOS区域上及NMOS区域上形成虚设层(dummy layer),如图5所示。在一较佳实施例中,虚设层为一复合层,包括:一第一次层110以及一第二次层112。上述的第一次层以及第二次层较佳为不同蚀刻速率的材质,例如:氧化硅、氮化硅或氮氧化硅。第一次层以及第二次层可以已知的制程技术形成,例如:等离子增强化学气相沉积(PECVD)、低压化学气相沉积(LPCVD)或次大气压化学气相沉积(SACVD)等。在一实施例中,第一次层110的厚度小于约250埃,而较佳约介于30埃-250埃,更佳介于30埃-100埃。
如图6显示图案化后的第一次层110及第二次层112,其蚀刻方式例如是湿蚀刻或干蚀刻。第一次层110及第二次层112蚀刻后剩余的部分分别形成区域100内的间隙壁衬层114及间隙壁116,以及区域200内的间隙壁衬层214及间隙壁216,其中间隙壁衬层的宽度W较佳约介于150埃-800埃,厚度较佳约介于30埃-250埃。
图7A显示源极/漏极区域的形成。如同已知技术,源极/漏极区域120及220位于基底30中或形成在基底之上(例如:外延区)。在一较佳实施例中,源极/漏极区域的形成方法是将杂质掺杂至基底30中。间隙壁116及216可用来作为掺杂时的遮罩,使掺杂区大抵对准间隙壁。在掺杂形成源极/漏极时,较佳同时掺杂栅极电极106及206以降低片电阻。当区域100掺杂n型杂质时,则区域200则以光致抗蚀剂遮蔽,同样地,当区域200掺杂p型杂质时,则区域200以光致抗蚀剂作遮蔽。
在另一实施例中,如图7B所示,锗化硅应力源形成在PMOS区域200中。光致抗蚀剂121较佳形成并覆盖NMOS区域100。在基底30中沿着间隙壁216外部边缘形成凹陷。接着将锗化硅应力源218形成在凹陷中。在一较佳实施例中,锗化硅应力源218是利用外延成长。在外延过程中较佳以p型杂质,例如:硼,进行掺杂。最后将光致抗蚀剂121移除。在退火后,锗化硅应力源218会恢复其晶格常数,且大于基底30的晶格常数。由于晶格常数的差异使得PMOS元件中沟道区域中导入压应力,使元件的驱动电流增加。
图8显示形成硅化区域130及230后的结构,如同背景技术,是利用硅化制程在源极/漏极区域以及栅极电极上形成硅化区域130及230。为了形成硅化区域,较佳会先在元件上形成一薄金属层,其材质例如是Co、Ni或Ti等。接着以退火制程在金属层及其下方的硅层间形成硅化物,最后再将未反应的金属层移除。
如图9所示,可以干式或湿式蚀刻制程移除间隙壁116及216。移除制程所使用的蚀刻液较佳能与间隙壁116及216反应但不会与间隙壁衬层114、214以及硅化区域130及230反应。在一较佳实施例中,间隙壁116及216为氮化硅,而间隙壁衬层114及214为氧化硅,因此,HF以及/或H3PO4可用来移除间隙壁116及216。
如图10所示,可选择性形成一介电层32。接着形成一接触窗蚀刻停止层34。介电层32可用来作为接触窗蚀刻停止层34的蚀刻停止层,其中介电层32的厚度较佳介于50埃-250埃,而接触窗蚀刻停止层的厚度约介于300埃-1500埃。接触窗蚀刻停止层可依照不同种类的MOS元件选择不同的材料与制程,以提供其沟道区域所需的张应力或压应力。接触窗蚀刻停止层34常用的材质较佳包括:氮化物、氮氧化物、氧化物、碳化硅或上述材料的组合。介电层32常用的材料较佳包括:氧化硅、氮化硅或氮氧化硅等。在一较佳实施例中,介电层32与接触窗蚀刻停止层34为具有不同蚀刻速率的不同材料。
在一较佳实施例中,如图11A所示,将区域200中的接触窗蚀刻停止层薄化,薄化后区域100及200中剩余的部分分别为134及234。剩余部分234的厚度较佳约小于50埃,而更佳约介于50埃-300埃。接触窗蚀刻停止层134及234的厚度TA及TB之比约介于2-25,而更佳约介于3-9。厚度TA及TB与间隙壁衬层114及214宽度W之比约介于0.125-7.5,较佳约介于0.3-4。在此实施例中,介电层32与接触窗蚀刻停止层较佳具有本征张应力(inherent tensile stress)。当张应力施加至MOS元件的沟道区,使MOS元件的效能得以改善。由于PMOS元件需要一压应力,因此,相较于接触窗蚀刻停止层134,接触窗蚀刻停止层234的厚度较薄,以降低张应力对PMOS元件不良的影响。在此实施例中,由于接触窗蚀刻停止层134对234具有较高的厚度比,因此接触窗蚀刻停止层134的厚度较大。如图8所示,由于间隙壁116的移除,因此可形成较厚的接触窗蚀刻停止层。
在另一实施例中,如图11B所示,将区域100中的接触窗蚀刻停止层34薄化,在此实施例中,介电层32与接触窗蚀刻停止层较佳具有本征压应力(inherent compressive stress),因此PMOS元件效能得以改善。由于NMOS元件需要张应力才能有效改善其效能表现,较佳将接触窗蚀刻停止层134的厚度薄化至小于234,因此只有少量的压应力施加至NMOS元件的沟道,可减少压应力对其不良的影响。
锗化硅应力源能有效改善PMOS元件的效能,但却无法轻易地改善NMOS元件的效能,因此较佳采用图11A所示的实施例。
图12显示层间介电层36以及接触窗开口的形成。由于接触窗蚀刻停止层134及234厚度差异甚大,因此介电层32较佳用来作为蚀刻接触窗蚀刻停止层134及234时的蚀刻停止层。接着,将介电层32蚀刻,露出下层的硅化区域130及230,由于介电层32相当薄,因此蚀刻制程相当好控制。最后以导电材料填满接触窗开口38,如图13所示。
图14显示本发明的一较佳变化例,本实施例的前段制程步骤类似于图4至图7B的制程。在形成源极/漏极区120及220后以及形成硅化区域130及230之前,可以蚀刻制程移除间隙壁116及216,但保留间隙壁衬层114及214和基底30。在一实施例中,间隙壁衬层114及214是由氧化硅所形成,而间隙壁116及216是由氮化硅所形成,因此,较佳利用HF以及/或H3PO4作为蚀刻液移除间隙壁116及216。接着,形成硅化区域130及230,最后结构如图9所示。
本发明的较佳实施例具有多优点,例如可以图15A及图15B来解释深宽比的改善,如图15A所示,假设间隙壁的厚度为0.05μm而间隙壁间距为0.03μm,且假设栅极的高度为0.1μm,因此深宽比为0.1/0.03(3.3)。由于间隙壁的移除(如图15B所示)间隙壁间距可从原来的0.03μm增加至0.13μm,且深宽比减小至0.8。
本发明的较佳实施例较佳用于具有微小间距的集成电路,例如可用于栅极电极间距小于3000埃的相邻MOS元件。通过降低深宽比可改善间隙的填充能力(gap-filling),并使接触窗蚀刻制程较易控制。此外,可采用厚度较大的接触窗蚀刻停止层,以降低后续制程的困难度,因此,元件效能得以改善。
以上所述仅为本发明较佳实施例,然其并非用以限定本发明的范围,任何熟悉本项技术的人员,在不脱离本发明的精神和范围内,可在此基础上做进一步的改进和变化,因此本发明的保护范围当以本申请的权利要求书所界定的范围为准。
附图中符号的简单说明如下:
MOS元件:2、4、12、14
接触窗蚀刻停止层:16、34
区域:18
线:22、24、26、28
基底:30
区域:100、200
轻掺杂区:108、208
栅极介电层:104、204
栅极电极:106、206
光致抗蚀剂:109、121
次层:110
第二次层:112
间隙壁衬层:114、214
间隙壁:116、216
源极/漏极区域:120、220
锗化硅应力源:218
硅化区域:130、230
介电层:32
层间介电层:36
接触窗开口:38
接触窗蚀刻停止层剩余区域:134、234

Claims (14)

1.一种半导体结构,其特征在于,该半导体结构包括:
一基底,包括一第一区域及一第二区域;
一第一MOS元件位于该第一区域,且该第一MOS元件具有一L形第一间隙壁衬层;
一第二MOS元件位于该第二区域,且该第二MOS元件具有一L形第二间隙壁衬层;
一第一应力膜具有一第一厚度,位于该第一MOS元件以及该第一间隙壁衬层之上,且与该第一间隙壁衬层直接接触;以及
一第二应力膜具有一第二厚度,位于该第二MOS元件以及该第二间隙壁衬层之上,且与该第二间隙壁衬层直接接触。
2.根据权利要求1所述的半导体结构,其特征在于,该第一厚度与该第二厚度之比介于2-25。
3.根据权利要求1所述的半导体结构,其特征在于,该第一间隙壁衬层及该第二间隙壁衬层的厚度介于30埃-250埃。
4.根据权利要求1所述的半导体结构,其特征在于,该第一间隙壁衬层及该第二间隙壁衬层的宽度介于150埃-800埃。
5.根据权利要求1所述的半导体结构,其特征在于,该第一MOS元件为一NMOS元件而该第二MOS元件为一PMOS元件,且其中该第一应力膜及该第二应力膜具有张应力。
6.根据权利要求1所述的半导体结构,其特征在于,该第一MOS元件为一PMOS元件而该第二MOS元件为一NMOS元件,且其中该第一应力膜及该第二应力膜具有压应力。
7.根据权利要求6所述的半导体结构,其特征在于,该第二厚度大于该第一厚度,且其中该第一厚度与该第一间隙壁衬层的宽度之比以及该第二厚度与该第二间隙壁衬层的宽度之比分别介于0.125-7.5。
8.根据权利要求1所述的半导体结构,其特征在于,该第一应力膜包括一第一上部分以及一第一下部分,且该第一上部分与该第一下部分为不同材料,而该第二应力膜包括一第二上部分以及一第二下部分,且该第二上部分与该第二下部分为不同材料,其中该第一应力膜的该第一下部分及该第二应力膜的该第二下部分为一连续层。
9.一种形成半导体结构的方法,其特征在于,该形成半导体结构的方法包括:
提供一半导体基底,包括一第一区域及一第二区域;
于该第一区域之上形成一第一栅极叠层以及于该第二区域之上形成一第二栅极叠层;
于该第一栅极叠层侧壁上形成一L形第一间隙壁衬层以及于该第二栅极叠层之上形成一L形第二间隙壁衬层;
形成一接触窗蚀刻停止层,具有一第一部分位于该第一区域以及一第二部分位于该第二区域,其中该接触窗蚀刻停止层直接位于该第一间隙壁衬层及该第二间隙壁衬层之上,且其中该第一部分具有一第一厚度,且该第一厚度大于该第二部分的一第二厚度。
10.根据权利要求9所述的形成半导体结构的方法,其特征在于,该接触窗蚀刻停止层的形成方法包括:
毯覆性形成一接触窗蚀刻停止层;以及
薄化该第二区域上的部分该接触窗蚀刻停止层。
11.根据权利要求9所述的形成半导体结构的方法,其特征在于,该第一间隙壁衬层及该第二间隙壁衬层的形成方法包括:
毯覆性形成一间隙壁衬层;
在该间隙壁衬层上毯覆形成成一间隙壁层;
图案化该间隙壁衬层以形成该第一间隙壁衬层及该第二间隙壁衬层;
图案化该间隙壁层以分别在该第一间隙壁衬层及该第二间隙壁衬层上形成一第一间隙壁以及一第二间隙壁;以及
移除该第一间隙壁及该第二间隙壁。
12.根据权利要求9所述的形成半导体结构的方法,其特征在于,更包括:在形成该接触窗蚀刻停止层之前,于该第一区域及该第二区域上以及该第一间隙壁衬层及该第二间隙壁衬层上形成一介电层,其中该介电层的材料不同于该接触窗蚀刻停止层。
13.根据权利要求9所述的形成半导体结构的方法,其特征在于,位于该第一区域的该接触窗蚀刻停止层具有一第一厚度,位于该第二区域的该接触窗蚀刻停止层的一剩余部分具有一第二厚度,且其中该第一厚度及该第二厚度的比率介于2-25。
14.根据权利要求9所述的形成半导体结构的方法,其特征在于,该第一间隙壁衬层及该第二间隙壁衬层的厚度介于30埃-250埃,其宽度介于150埃-800埃。
CNB2007100895149A 2006-03-30 2007-03-27 半导体结构及其形成方法 Active CN100505263C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/393,369 2006-03-30
US11/393,369 US7378308B2 (en) 2006-03-30 2006-03-30 CMOS devices with improved gap-filling

Publications (2)

Publication Number Publication Date
CN101047182A true CN101047182A (zh) 2007-10-03
CN100505263C CN100505263C (zh) 2009-06-24

Family

ID=38574323

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2007100895149A Active CN100505263C (zh) 2006-03-30 2007-03-27 半导体结构及其形成方法

Country Status (3)

Country Link
US (1) US7378308B2 (zh)
CN (1) CN100505263C (zh)
TW (1) TWI328876B (zh)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102214575A (zh) * 2010-04-02 2011-10-12 中芯国际集成电路制造(上海)有限公司 Mos晶体管的制作方法
CN107437508A (zh) * 2017-09-13 2017-12-05 上海华力微电子有限公司 场效应晶体管及其形成方法
CN108987261A (zh) * 2017-06-01 2018-12-11 联华电子股份有限公司 半导体结构及其制造方法
CN109148371A (zh) * 2017-06-16 2019-01-04 台湾积体电路制造股份有限公司 半导体装置及其制造方法
CN109300838A (zh) * 2017-07-25 2019-02-01 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5092340B2 (ja) * 2006-10-12 2012-12-05 富士通セミコンダクター株式会社 半導体装置及びその製造方法
US7494878B2 (en) * 2006-10-25 2009-02-24 United Microelectronics Corp. Metal-oxide-semiconductor transistor and method of forming the same
US8536619B2 (en) 2007-02-05 2013-09-17 Taiwan Semiconductor Manufacturing Company, Ltd. Strained MOS device and methods for forming the same
WO2008117430A1 (ja) * 2007-03-27 2008-10-02 Fujitsu Microelectronics Limited 半導体装置の製造方法、半導体装置
DE102007025342B4 (de) * 2007-05-31 2011-07-28 Globalfoundries Inc. Höheres Transistorleistungsvermögen von N-Kanaltransistoren und P-Kanaltransistoren durch Verwenden einer zusätzlichen Schicht über einer Doppelverspannungsschicht
US7989891B2 (en) * 2007-05-31 2011-08-02 Globalfoundries Inc. MOS structures with remote contacts and methods for fabricating the same
US7834389B2 (en) * 2007-06-15 2010-11-16 Taiwan Semiconductor Manufacturing Company, Ltd. Triangular space element for semiconductor device
DE102007052051B4 (de) * 2007-10-31 2012-09-20 Advanced Micro Devices, Inc. Herstellung verspannungsinduzierender Schichten über einem Bauteilgebiet mit dichtliegenden Transistorelementen
DE102007057686B4 (de) * 2007-11-30 2011-07-28 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG, 01109 Verfahren und Halbleiterbauelement mit einer Schutzschicht zum Reduzieren der Verspannungsrelaxation in einer Doppelverspannungsbeschichtungstechnik
JP2009277849A (ja) * 2008-05-14 2009-11-26 Toshiba Corp 半導体装置及びその製造方法
US7820518B2 (en) * 2008-05-29 2010-10-26 Infineon Technologies Ag Transistor fabrication methods and structures thereof
DE102008059649B4 (de) 2008-11-28 2013-01-31 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Geringere topographieabhängige Unregelmäßigkeiten während der Strukturierung zweier unterschiedlicher verspannungsinduzierender Schichten in der Kontaktebene eines Halbleiterbauelements
US8017997B2 (en) * 2008-12-29 2011-09-13 International Business Machines Corporation Vertical metal-insulator-metal (MIM) capacitor using gate stack, gate spacer and contact via
US8404534B2 (en) * 2011-02-11 2013-03-26 Shiang-Bau Wang End-to-end gap fill using dielectric film
US8969922B2 (en) * 2012-02-08 2015-03-03 Taiwan Semiconductor Manufacturing Company, Ltd. Field effect transistors and method of forming the same
US9685535B1 (en) * 2016-09-09 2017-06-20 International Business Machines Corporation Conductive contacts in semiconductor on insulator substrate
US11289604B2 (en) * 2019-08-02 2022-03-29 United Microelectronics Corp. Method for fabricating a semiconductor device

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6156598A (en) * 1999-12-13 2000-12-05 Chartered Semiconductor Manufacturing Ltd. Method for forming a lightly doped source and drain structure using an L-shaped spacer
US6849546B1 (en) 2003-11-04 2005-02-01 Taiwan Semiconductor Manufacturing Co. Method for improving interlevel dielectric gap filling over semiconductor structures having high aspect ratios
US6849549B1 (en) * 2003-12-04 2005-02-01 Taiwan Semiconductor Manufacturing Co., Ltd Method for forming dummy structures for improved CMP and reduced capacitance
US7220630B2 (en) * 2004-05-21 2007-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Method for selectively forming strained etch stop layers to improve FET charge carrier mobility
US7432553B2 (en) * 2005-01-19 2008-10-07 International Business Machines Corporation Structure and method to optimize strain in CMOSFETs

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102214575A (zh) * 2010-04-02 2011-10-12 中芯国际集成电路制造(上海)有限公司 Mos晶体管的制作方法
CN108987261A (zh) * 2017-06-01 2018-12-11 联华电子股份有限公司 半导体结构及其制造方法
CN108987261B (zh) * 2017-06-01 2022-05-17 联华电子股份有限公司 半导体结构及其制造方法
US11367781B2 (en) 2017-06-01 2022-06-21 United Microelectronics Corp. Manufacturing method of semiconductor structure
CN109148371A (zh) * 2017-06-16 2019-01-04 台湾积体电路制造股份有限公司 半导体装置及其制造方法
CN109300838A (zh) * 2017-07-25 2019-02-01 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
CN107437508A (zh) * 2017-09-13 2017-12-05 上海华力微电子有限公司 场效应晶体管及其形成方法

Also Published As

Publication number Publication date
TWI328876B (en) 2010-08-11
TW200737517A (en) 2007-10-01
US20070235823A1 (en) 2007-10-11
CN100505263C (zh) 2009-06-24
US7378308B2 (en) 2008-05-27

Similar Documents

Publication Publication Date Title
CN101047182A (zh) 半导体结构及其形成方法
CN1913175A (zh) 半导体元件及其形成方法
CN1293637C (zh) 具有应变沟道的互补式金属氧化物半导体及其制作方法
CN1177357C (zh) 具有最小覆盖电容的金属氧化物半导体场效应晶体管
CN100345301C (zh) 整合型晶体管及其制造方法
CN1263119C (zh) 用于生产cmos器件的方法
CN1191637C (zh) 金属氧化物半导体场效应管半导体器件及其制造方法
CN100346472C (zh) 具多厚度绝缘层上半导体的结构及其形成方法
US8928032B2 (en) Fully isolated LIGBT and methods for forming the same
CN1797786A (zh) 半导体元件及其制造方法
CN1819201A (zh) 具有提高的载流子迁移率的半导体结构及其制造方法
CN1705077A (zh) 半导体材料和形成半导体材料的方法
CN101064310A (zh) 应用自对准双应力层的cmos结构和方法
CN1941411A (zh) 包括横向延伸的有源区的晶体管及其制造方法
CN1206712C (zh) 半导体装置的制造方法
CN1941387A (zh) 半导体结构及其制造方法
CN1825625A (zh) 具混成应变诱导层的应变晶体管及其形成方法
CN101038920A (zh) 半导体结构及其形成方法
CN1797743A (zh) 具有完全硅化闸电极的拉伸型通道cmos装置及其形成方法
CN101047129A (zh) 半导体结构及n型金属氧化物半导体晶体管的形成方法
CN1913111A (zh) 半导体元件及其形成方法
CN1790638A (zh) 具有区域化应力结构的金属氧化物半导体的场效晶体管
CN1897303A (zh) 半导体装置及其形成方法
CN101030541A (zh) 半导体晶体管元件及其制作方法
CN1763909A (zh) 绝缘硅基板上单片集成铅直装置制造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant