WO2022214907A1 - 発光デバイスの製造装置 - Google Patents

発光デバイスの製造装置 Download PDF

Info

Publication number
WO2022214907A1
WO2022214907A1 PCT/IB2022/052794 IB2022052794W WO2022214907A1 WO 2022214907 A1 WO2022214907 A1 WO 2022214907A1 IB 2022052794 W IB2022052794 W IB 2022052794W WO 2022214907 A1 WO2022214907 A1 WO 2022214907A1
Authority
WO
WIPO (PCT)
Prior art keywords
cluster
light
film
layer
emitting device
Prior art date
Application number
PCT/IB2022/052794
Other languages
English (en)
French (fr)
Inventor
山崎舜平
方堂涼太
神保安弘
岡崎健一
Original Assignee
株式会社半導体エネルギー研究所
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 株式会社半導体エネルギー研究所 filed Critical 株式会社半導体エネルギー研究所
Priority to CN202280023454.3A priority Critical patent/CN117044393A/zh
Priority to US18/281,619 priority patent/US20240186160A1/en
Priority to JP2023512491A priority patent/JPWO2022214907A1/ja
Priority to KR1020237037167A priority patent/KR20230167059A/ko
Publication of WO2022214907A1 publication Critical patent/WO2022214907A1/ja

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K71/00Manufacture or treatment specially adapted for the organic devices covered by this subclass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67236Apparatus for manufacturing or treating in a plurality of work-stations the substrates being processed being not semiconductor wafers, e.g. leadframes or chips
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/02Pretreatment of the material to be coated
    • C23C14/021Cleaning or etching treatments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/0641Nitrides
    • C23C14/0652Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/24Vacuum evaporation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/568Transferring the substrates through a series of coating stations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/58After-treatment
    • C23C14/5873Removal of material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0236Pretreatment of the material to be coated by cleaning or etching by etching with a reactive gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0245Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/403Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0035Multiple processes, e.g. applying a further resist layer on an already in a previously step, processed pattern or textured surface
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70733Handling masks and workpieces, e.g. exchange of workpiece or mask, transport of workpiece or mask
    • G03F7/7075Handling workpieces outside exposure position, e.g. SMIF box
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05BELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
    • H05B33/00Electroluminescent light sources
    • H05B33/02Details
    • H05B33/04Sealing arrangements, e.g. against humidity
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05BELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
    • H05B33/00Electroluminescent light sources
    • H05B33/10Apparatus or processes specially adapted to the manufacture of electroluminescent light sources

Definitions

  • One aspect of the present invention relates to an apparatus and method for manufacturing a light-emitting device.
  • one embodiment of the present invention is not limited to the above technical field.
  • a technical field of one embodiment of the invention disclosed in this specification and the like relates to a product, a method, or a manufacturing method.
  • one aspect of the invention relates to a process, machine, manufacture, or composition of matter. Therefore, the technical field of one embodiment of the present invention disclosed in this specification more specifically includes semiconductor devices, display devices, liquid crystal display devices, light-emitting devices, lighting devices, power storage devices, storage devices, imaging devices, and the like. Methods of operation or methods of their manufacture may be mentioned as an example.
  • Devices that require high-definition display panels include, for example, smartphones, tablet terminals, and notebook computers.
  • stationary display devices such as television devices and monitor devices are also required to have higher definition accompanying higher resolution.
  • devices that require the highest definition include, for example, devices for virtual reality (VR) or augmented reality (AR).
  • VR virtual reality
  • AR augmented reality
  • Display devices applicable to the display panel typically include liquid crystal display devices, light emitting devices equipped with light emitting devices such as organic EL (Electro Luminescence) elements or light emitting diodes (LED: Light Emitting Diode), and electrophoretic display devices. Examples include electronic paper that performs display by, for example.
  • organic EL Electro Luminescence
  • LED Light Emitting Diode
  • electrophoretic display devices Examples include electronic paper that performs display by, for example.
  • the basic configuration of an organic EL element which is a light-emitting element, is to sandwich a layer containing a light-emitting organic compound between a pair of electrodes. By applying a voltage to this device, light can be obtained from the light-emitting organic compound.
  • a display device to which such an organic EL element is applied does not require a backlight, which is required in a liquid crystal display device or the like.
  • Patent Document 1 describes an example of a display device using an organic EL element.
  • an organic EL display capable of full-color display a structure in which a white light-emitting device and a color filter are combined and R (red), G (green), and B (blue) light-emitting devices are formed on the same surface. configuration is known.
  • an object of one embodiment of the present invention is to provide an apparatus for manufacturing a light-emitting device that can continuously perform processes from processing an organic compound film to sealing without exposure to the atmosphere.
  • another object is to provide a light-emitting device manufacturing apparatus capable of continuously performing steps from formation of a light-emitting device to sealing.
  • Another object is to provide a light-emitting device manufacturing apparatus that can form a light-emitting device without using a metal mask.
  • Another object is to provide a method for manufacturing a light-emitting device.
  • One aspect of the present invention relates to an apparatus for manufacturing a light-emitting device.
  • a load chamber, a first etching apparatus, a plasma processing apparatus, a standby chamber, a first film forming apparatus, a second film forming apparatus, a second etching apparatus, An unloading chamber, a transfer chamber, and a transfer device wherein the transfer device is provided in the transfer chamber, includes a load chamber, a first etching device, a plasma processing device, a waiting room, a first film forming device, The second film forming apparatus, the second etching apparatus, and the unloading chamber are connected to the transfer chamber via respective gate valves, and the transfer apparatus includes the load chamber, the first etching apparatus, the plasma processing apparatus, and the waiting room.
  • the workpiece can be transferred to any other one,
  • a work piece in which an organic compound film, a first inorganic film and a resist mask are laminated in order on a glass substrate is carried into a load chamber, and a first etching device, a plasma processing device, a waiting room, and a first film forming device are provided.
  • the first etching apparatus is a dry etching apparatus, in which a resist mask is used as a mask to form a first inorganic film in an island shape, and an island-like organic compound film is formed as an island-like organic compound layer using the island-like first inorganic film as a mask. can be formed into
  • the first etching apparatus can have an ashing function of removing the resist mask.
  • the plasma processing apparatus can clean the side surface of the island-shaped organic compound layer by irradiating the side surface of the island-shaped organic compound layer with plasma generated from an inert gas.
  • the waiting chamber can accommodate multiple workpieces.
  • One of the first film forming apparatus and the second film forming apparatus is an ALD apparatus, and the other of the first film forming apparatus and the second film forming apparatus is a sputtering apparatus or a CVD apparatus.
  • a second inorganic film having a two-layer structure covering the inorganic film and the island-shaped organic compound layer can be formed.
  • the ALD apparatus can be of a batch type.
  • the second etching device is a dry etching device, and can form a protective layer on the side surface of the island-shaped organic compound layer by anisotropically etching the second inorganic film.
  • the light-emitting device manufacturing apparatus is defined as a third cluster, and a plurality of apparatuses for performing the photolithography process of the resist mask is defined as a second cluster, and a plurality of apparatuses for performing the film formation process of the organic compound film and the first inorganic film. may be used as the first cluster to form a light-emitting device manufacturing apparatus.
  • the first cluster, second cluster, and third cluster can be connected in that order.
  • the workpiece is placed in a container controlled by an inert gas atmosphere and transferred.
  • the light-emitting device manufacturing apparatus may be configured by having three combinations of the first cluster, the second cluster, and the third cluster.
  • the first cluster may have surface treatment equipment.
  • the surface treatment apparatus can use plasma generated from a halogen-containing gas.
  • the first cluster can have one or more deposition devices selected from vapor deposition devices, sputtering devices, CVD devices, and ALD devices.
  • a second cluster can have a coater, an exposer, a developer, and a baker.
  • an apparatus for manufacturing a light-emitting device in which processes from processing an organic compound film to sealing can be performed continuously without exposure to the atmosphere.
  • a light-emitting device manufacturing apparatus capable of continuously processing the steps from formation of a light-emitting device to sealing.
  • a light-emitting device manufacturing apparatus capable of forming a light-emitting device without using a metal mask.
  • a method for manufacturing a light emitting device can be provided.
  • FIG. 1 is a diagram illustrating a manufacturing apparatus.
  • 2A and 2B are diagrams illustrating the manufacturing apparatus.
  • FIG. 3 is a block diagram illustrating the manufacturing equipment.
  • FIG. 4 is a diagram for explaining the manufacturing apparatus.
  • FIG. 5 is a diagram for explaining the manufacturing apparatus.
  • FIG. 6 is a diagram for explaining the manufacturing apparatus.
  • FIG. 7 is a diagram explaining a manufacturing apparatus.
  • FIG. 8 is a diagram explaining a manufacturing apparatus.
  • FIG. 9 is a block diagram illustrating a manufacturing apparatus;
  • FIG. 10 is a diagram explaining a manufacturing apparatus.
  • FIG. 11 is a diagram illustrating a manufacturing apparatus;
  • FIG. 12 is a diagram illustrating a manufacturing apparatus;
  • 13A and 13B are diagrams for explaining loading and unloading of the cassette.
  • 13C is a diagram illustrating a transport vehicle and a transport container; 14A to 14C are diagrams illustrating a film forming apparatus.
  • 15A to 15C are diagrams for explaining loading of the substrate into the film forming apparatus and operation of the film forming apparatus.
  • 16A and 16B are diagrams for explaining the operation of the film forming apparatus.
  • FIG. 16C is a diagram illustrating a mask unit; 17A to 17F are diagrams illustrating a vacuum process apparatus.
  • FIG. 18 is a diagram illustrating a display device.
  • 19A to 19C are diagrams illustrating a display device.
  • 20A to 20F are diagrams illustrating a method for manufacturing a display device.
  • 21A to 21F are diagrams illustrating a method for manufacturing a display device.
  • 22A to 22F are diagrams illustrating a method for manufacturing a display device.
  • 23A to 23F are diagrams illustrating a method for manufacturing a display device.
  • 24A and 24B are diagrams illustrating a method for manufacturing a display device.
  • Figures 24C and 24D are enlarged views of Figure 24B.
  • 24E and 24F are diagrams illustrating the display device.
  • FIG. 25 is a diagram illustrating a manufacturing apparatus
  • One embodiment of the present invention is a manufacturing apparatus mainly used for forming a display device including a light-emitting device (also referred to as a light-emitting element) such as an organic EL element.
  • a light-emitting device also referred to as a light-emitting element
  • a lithography process is preferably used to miniaturize the organic EL element or increase the area occupied by the pixel.
  • impurities such as water, oxygen, and hydrogen enter the organic EL element, the reliability is impaired. Therefore, it is necessary to seal the surface and side surfaces of the patterned organic layer so that they are not exposed to the air, and to control the atmosphere to an inert gas atmosphere with a low dew point from the manufacturing stage.
  • the manufacturing apparatus of one embodiment of the present invention can continuously perform a film formation process, a lithography process, an etching process, and a sealing process for forming an organic EL element without exposure to the atmosphere. Therefore, a fine organic EL device with high luminance and high reliability can be formed. Further, the manufacturing apparatus of one embodiment of the present invention is an in-line type in which the apparatuses are arranged in the order of the steps of the light-emitting device, and can be manufactured with high throughput.
  • a large substrate such as a glass substrate can be used as a support substrate for forming the organic EL element.
  • a glass substrate on which pixel circuits and the like are formed in advance can be used as a support substrate, and organic EL elements can be formed on these circuits.
  • the glass substrate for example, a large rectangular substrate such as G5 to G10 can be used. Note that the substrate is not limited to these, and a round substrate, a small substrate, or the like can also be used.
  • FIG. 1 is a diagram illustrating an apparatus for manufacturing a light-emitting device that is one embodiment of the present invention.
  • the manufacturing apparatus can perform a process of processing an organic compound film into an island-shaped organic compound layer and a process of forming a layer for protecting the organic compound layer. Therefore, the organic compound layer, which is a component of the light-emitting device, can be taken out from the unloading chamber without being exposed to the air, so that a highly reliable light-emitting device can be formed.
  • the manufacturing apparatus has a load chamber LD, an unload chamber ULD, a waiting chamber W, a transfer chamber TF, and a plurality of processing chambers.
  • a transfer device 70 is provided in the transfer chamber TF.
  • the load chamber LD, the waiting chamber W, the unload chamber ULD, and the plurality of processing chambers are each connected to the transfer chamber TF via gate valves 20 .
  • the transfer device 70 can transfer a workpiece from any one of the load chamber LD, the waiting chamber W, the unload chamber ULD, and the individual processing chambers to any one of the other processing chambers.
  • a device group that shares a transport device or the like is called a cluster.
  • a workpiece is an object to be processed by a manufacturing apparatus, and includes not only an object before processing but also an object subjected to multiple processes.
  • the load chamber LD and the unload chamber ULD are controlled to have reduced pressure or normal pressure. Also, the transfer chamber TF, the waiting chamber W and the plurality of processing chambers are controlled to be decompressed.
  • an etching device E1, a plasma processing device C, a film forming device D, and an etching device E2 can be applied to the plurality of processing chambers.
  • the workpiece to be put into the manufacturing apparatus can have a laminate in which an organic compound film, an inorganic film, and a resist mask are laminated in order, for example.
  • the etching device E1 can be a dry etching device.
  • the etching apparatus E1 can be used in a step of processing an inorganic film and an organic compound film, which are objects to be processed, into an island-shaped organic compound layer.
  • the etching apparatus E1 may have an ashing function. The ashing function can remove the resist mask.
  • the plasma processing apparatus C has, for example, a pair of parallel plate type electrodes, and can generate plasma by applying a voltage to the electrodes in an inert gas atmosphere under reduced pressure. By irradiating the work piece with the plasma generated from the inert gas, it is possible to remove reaction products, adsorbed gas, etc. remaining on the surface of the work piece.
  • the inert gas for example, noble gas such as high-purity helium, argon, and neon, nitrogen, or mixed gas thereof can be used.
  • the vacuum baking process may be performed in the film forming apparatus D before film formation in the next step.
  • the waiting room W can make a plurality of workpieces stand by.
  • the processing in the etching apparatus E1 and the plasma processing apparatus C is advanced, and a plurality of workpieces are kept waiting in the waiting room W, thereby improving the throughput. can be done.
  • a plurality of waiting rooms W may be provided. For example, after the batch processing in the film forming apparatus D is finished, a waiting room W may be provided for waiting the workpiece. By taking out all the workpieces from the film forming apparatus D, the next processing can be performed in the film forming apparatus D, and the throughput can be improved.
  • the film forming apparatus D for example, a vapor deposition apparatus, a sputtering apparatus, a CVD (Chemical Vapor Deposition) apparatus, an ALD (Atomic Layer Deposition) apparatus, or the like can be applied. In particular, it is preferable to use an ALD apparatus that is excellent in multiplicity.
  • the film forming apparatus D can form a protective film such as an inorganic film that covers the island-shaped organic compound layer. In the film forming apparatus D, not only a single layer but also two or more different types of films can be formed. Further, the film forming apparatus D is not limited to a batch processing type, and may be a single wafer processing type.
  • the etching device E2 can be a dry etching device capable of anisotropic etching. By anisotropically etching the protective film covering the island-shaped organic compound layer, a part of the protective film can be left on the side surface of the island-shaped organic compound layer. A part of the protective film can function as a protective layer that protects the side surface of the island-shaped organic compound layer.
  • An inorganic film or the like is provided in advance on the upper surface of the island-shaped organic compound layer, and the processes in the etching device E1, the plasma processing device C, the film-forming device D, and the etching device E2 are sequentially performed to form the island-shaped organic compound.
  • the protective layer is provided on the side surface of the layer, the island-shaped organic compound layer is sealed.
  • the island-like organic compound layer is not exposed to the atmosphere, and a highly reliable light-emitting device can be formed. Details of the manufacturing process of the light-emitting device using the manufacturing apparatus will be described later.
  • the manufacturing apparatus may have the configuration shown in FIG. 2A.
  • the manufacturing apparatus shown in FIG. 2A differs from the manufacturing apparatus shown in FIG. 1 in that a surface treatment apparatus S is provided.
  • the surface treatment apparatus S can have the same configuration as the plasma treatment apparatus C, and can perform a surface treatment process.
  • the surface state (wettability, etc.) of the workpiece may change due to the processing in the etching apparatus E2.
  • the next process of the work carried out from the unload chamber ULD is to form an organic compound film, defects such as peeling may occur if the surface of the work is not in an appropriate state. Therefore, it is preferable to improve the surface condition of the workpiece by plasma treatment using a halogen-containing gas by the surface treatment apparatus S.
  • the oxide surface may become hydrophilic due to the treatment in the etching apparatus E1 or E2.
  • the hydrophilic groups on the surface of the film-forming surface can be replaced with fluorine or fluoroalkyl groups by plasma treatment using a fluorine-based gas to make the surface hydrophobic, thereby preventing peeling defects.
  • Fluorocarbons such as CF 4 , C 2 F 6 , C 4 F 6 , C 4 F 8 and CHF 3 , SF 6 and NF 3 can be used as the fluorine-based gas.
  • helium, argon, hydrogen, or the like may be added to these gases.
  • a coating device may be used as the surface treatment device S.
  • a method such as spin coating, dip coating, or spray coating, or a method of exposing the workpiece to the atmosphere of the coating agent can be used.
  • a silane coupling agent such as HMDS (Hexamethyldisilazane) can be used as the coating agent, and the surface of the workpiece can be hydrophobized.
  • the surface treatment apparatus S is unnecessary, another apparatus may be provided at the position of the surface treatment apparatus S.
  • another apparatus may be provided at the position of the surface treatment apparatus S.
  • the etching apparatus E1 the plasma processing apparatus C, the film forming apparatus D, and the etching apparatus E2
  • a plurality of apparatuses having long processing times are used, and the throughput can be increased by performing processing in parallel with these apparatuses.
  • a plurality of film forming apparatuses D may be provided.
  • the film forming apparatus D included in the manufacturing apparatus of FIG. 1 two or more layers of different types of films may be provided. Even if there is only one film forming apparatus D, if the film forming apparatus D is an ALD apparatus or a CVD apparatus, different films can be formed by switching source gases, or by switching targets in a sputtering apparatus. .
  • a plurality of film forming apparatuses D may be provided.
  • a surface treatment apparatus S may be provided in the configuration of FIG. Also, the surface treatment apparatus S may be provided in another cluster that is in charge of the film forming process.
  • the manufacturing apparatus may have the configuration shown in FIG. 2B.
  • the manufacturing apparatus shown in FIG. 2B differs from the manufacturing apparatus shown in FIG. 1 in that the waiting room W is omitted.
  • the waiting room W can be omitted.
  • the film forming apparatus D is a single-wafer type and can form films at high speed, the configuration shown in FIG. 2B can be used.
  • FIG. 3 is a block diagram illustrating a light-emitting device manufacturing apparatus that is one embodiment of the present invention.
  • the manufacturing apparatus has a plurality of clusters arranged in the order of processes, and the manufacturing apparatus of Configuration Example 1 described above is included as a cluster.
  • a substrate forming a light-emitting device is sequentially moved through a plurality of clusters and subjected to each process.
  • the manufacturing apparatus shown in FIG. 3 is an example having clusters C1 to C18.
  • the clusters C1 to C18 are connected in order, and the substrate 60a put into the cluster C1 can be taken out from the cluster C18 as the substrate 60b on which the light emitting device is formed.
  • clusters C1, C3, C5, C7, C9, C11, C13, C15 and C17 have equipment groups for performing processes under atmospheric control.
  • Clusters C2, C4, C6, C8, C10, C12, C14, C16, and C18 each have a device group for performing a vacuum process (reduced pressure process).
  • the clusters shown in configuration example 1 can be used as clusters C4, C8, and C12. Note that the load chamber LD and the unload chamber ULD shown in the configuration example 1 can be appropriately replaced with a load lock chamber.
  • Clusters C1, C5, and C9 mainly have devices for cleaning and baking substrates.
  • Clusters C2, C6, and C10 mainly have devices for forming organic compounds that light-emitting devices have.
  • Clusters C3, C7, C11, and C15 mainly have apparatuses and the like for performing the lithography process.
  • Clusters C4, C8, C12, and C14 mainly have devices for performing the etching process, the ashing process, and the protective layer forming process.
  • the cluster C13 has devices and the like that perform a resin filling step.
  • the clusters C16 and C17 have devices and the like that mainly perform an etching process.
  • the cluster C18 mainly has an apparatus for forming an organic compound possessed by the light emitting device, an apparatus for forming a protective film that seals the light emitting device, and the like.
  • FIG. 4 is a top view for explaining the clusters C1 to C4.
  • Cluster C1 is connected to cluster C2 via load lock chamber B1.
  • Cluster C2 is connected to cluster C3 via load lock chamber B2.
  • Cluster C3 is connected to cluster C4 via load lock chamber B3.
  • Cluster C4 is connected to cluster C5 (see FIG. 5) through load lock chamber B4.
  • Cluster C1 and cluster C3 have atmospheric process equipment A;
  • the cluster C1 has a transfer chamber TF1 and normal pressure process equipment A (normal pressure process equipment A1, A2) that mainly performs processes under normal pressure.
  • Cluster C3 has a transfer chamber TF3 and atmospheric process equipment A (atmospheric process equipment A3 to A7).
  • a load chamber LD is provided in the cluster C1.
  • the number of atmospheric pressure process apparatuses A included in each cluster may be one or more depending on the purpose.
  • the normal pressure process apparatus A is not limited to a process under normal pressure, and may be controlled to have a slightly negative or positive pressure relative to normal pressure. Further, when a plurality of atmospheric pressure process apparatuses A are provided, the atmospheric pressure may be different for each.
  • a valve for introducing an inert gas (IG) is connected to the transfer chambers TF1, TF3 and the normal pressure process apparatus A, so that the inside thereof can be controlled to an inert gas atmosphere.
  • Nitrogen or noble gases such as argon and helium can be used as the inert gas.
  • the inert gas preferably has a low dew point (for example, minus 50° C. or lower). By performing the process in an inert gas atmosphere with a low dew point, contamination of impurities can be prevented and a highly reliable light-emitting device can be formed.
  • a cleaning device, a baking device, or the like can be applied as the atmospheric pressure process device A of the cluster C1.
  • a spin cleaning device, a hot plate type baking device, or the like can be applied.
  • the baking apparatus may be a vacuum baking apparatus.
  • a device for performing a lithography process can be applied as the normal pressure process device A of the cluster C3.
  • a resin (photoresist) coating device for example, a resin (photoresist) coating device, an exposure device, a developing device, a baking device, etc. may be applied.
  • An apparatus, a nanoimprint apparatus, or the like may be applied.
  • a cleaning device, a wet etching device, a coating device, a resist stripping device, or the like may be applied to the normal pressure process device A depending on the application.
  • Cluster C1 shows an example in which atmospheric pressure process apparatuses A1 and A2 are each connected to transfer chamber TF1 via a gate valve.
  • cluster C3 shows an example in which each of normal pressure process apparatuses A3 to A7 is connected to transfer chamber TF3 via a gate valve.
  • Transfer chamber TF1 is connected to load chamber LD via a gate valve. Also, it is connected to the load lock chamber B1 via another gate valve.
  • a transfer device 70a is provided in the transfer chamber TF1. The transfer device 70a can transfer the substrate from the load chamber LD to the normal pressure process apparatus A. FIG. Also, the substrate taken out from the normal pressure process apparatus A can be carried out to the load lock chamber B1.
  • Transfer chamber TF3 is connected to load lock chamber B2 via a gate valve. Also, it is connected to the load lock chamber B3 via another gate valve.
  • a transfer device 70c is provided in the transfer chamber TF3. The transfer device 70c can transfer the substrate from the load lock chamber B2 to the atmospheric pressure process device A. Further, the substrate taken out from the normal pressure process apparatus A can be carried out to the load lock chamber B3.
  • Cluster C2 and cluster C4 have a vacuum process device V.
  • FIG. The cluster C2 has a transfer chamber TF2 and vacuum process equipment V (vacuum process equipment V1 to V5).
  • Cluster C4 has transfer chamber TF4 and vacuum process equipment V (vacuum process equipment V6 to V10).
  • the number of vacuum process apparatuses V included in each cluster may be one or more according to the purpose.
  • a vacuum pump VP is connected to the vacuum process apparatus V, and gate valves are provided between the transfer chambers TF (transfer chambers TF2 and TF4). Therefore, each vacuum process apparatus V can perform different processes in parallel.
  • the vacuum process means processing in an environment controlled under reduced pressure. Therefore, the vacuum process includes not only processing under high vacuum but also processing in which a process gas is introduced and pressure is controlled under reduced pressure.
  • the transfer chambers TF2 and TF4 are also provided with independent vacuum pumps VP, so that cross-contamination in the process performed in the vacuum process apparatus V can be prevented.
  • a surface treatment device and a film forming device such as a vapor deposition device, a sputtering device, a CVD device, and an ALD device can be applied.
  • the surface treatment apparatus can have the functions of the surface treatment apparatus S described in FIG. 2B, and is preferably a plasma treatment apparatus here.
  • a thermal CVD apparatus using heat a PECVD apparatus using plasma (Plasma Enhanced CVD apparatus), or the like can be used.
  • a thermal ALD apparatus using heat or a PEALD apparatus (Plasma Enhanced ALD apparatus) using a plasma-excited reactant can be used.
  • the equipment shown in Configuration Example 1 can be used. can be applied. Also, the waiting room W shown in FIG. 1 may be applied.
  • an apparatus in which a substrate is placed with its film formation surface facing downward is called a face-down type apparatus.
  • An apparatus in which a substrate is placed with the film formation surface facing upward is called a face-up type apparatus.
  • the face-down type apparatus includes, for example, a deposition apparatus such as a vapor deposition apparatus and a sputtering apparatus.
  • face-up type equipment includes film forming equipment such as CVD equipment and ALD equipment, as well as dry etching equipment, ashing equipment, baking equipment, and equipment related to lithography.
  • the manufacturing apparatus in the present embodiment may have an apparatus that is not limited to the above.
  • a face-up type sputtering apparatus or the like can be used.
  • Transfer chamber TF2 is connected to load lock chamber B1 via a gate valve. Also, it is connected to the load lock chamber B2 via another gate valve. A transfer device 70b is provided in the transfer chamber TF2. The transfer device 70b can transfer the substrate placed in the load lock chamber B1 to the vacuum process device V. FIG. Moreover, the substrate taken out from the vacuum process apparatus V can be carried out to the load lock chamber B2.
  • Transfer chamber TF4 is connected to load lock chamber B3 via a gate valve. Also, it is connected to the load lock chamber B4 via another gate valve. A transfer device 70d is provided in the transfer chamber TF4. The transfer device 70d can transfer from the load-lock chamber B3 to the vacuum process device V and unload it to the load-lock chamber B4.
  • the load lock chambers B1, B2, B3 and B4 are provided with a vacuum pump VP and a valve for introducing an inert gas (IG). Therefore, the load lock chambers B1, B2, B3 and B4 can be controlled to have a reduced pressure or an inert gas atmosphere. For example, when transferring a substrate from the cluster C2 to the cluster C3, the load lock chamber B2 is depressurized to carry in the substrate from the cluster C2, and after the load lock chamber B2 is brought into an inert gas atmosphere, the substrate is carried out to the cluster C3. It can be carried out.
  • IG inert gas
  • the transport devices 70a, 70b, 70c, and 70d each have a mechanism for transporting the substrate while placing it on the hand portion. Since the transfer devices 70a and 70c are operated under normal pressure, the hand portion may be provided with a vacuum suction mechanism or the like. Since the conveying devices 70b and 70d are operated under reduced pressure, the hand portion may be provided with an electrostatic adsorption mechanism or the like.
  • stages 80a, 80b, 80c, 80d are provided on which substrates can be placed on the pins. Note that these are only examples, and stages with other configurations may be used.
  • FIG. 5 is a top view for explaining clusters C5 to C8.
  • Cluster C5 is connected to cluster C6 via load lock chamber B5.
  • Cluster C6 is connected to cluster C7 via load lock chamber B6.
  • Cluster C7 is connected to cluster C8 via load lock chamber B7.
  • Cluster C8 is connected to cluster C9 (see FIG. 6) through load lock chamber B8.
  • clusters C5 to C8 are the same as clusters C1 to C4, cluster C5 corresponds to cluster C1, cluster C6 corresponds to cluster C2, cluster C7 corresponds to cluster C3, and cluster C7 corresponds to cluster C3.
  • C8 corresponds to cluster C4.
  • the load chamber LD in the cluster C1 is replaced with the load lock chamber B4 in the cluster C5.
  • the load-lock chamber B5 corresponds to the load-lock chamber B1
  • the load-lock chamber B6 corresponds to the load-lock chamber B2
  • the load-lock chamber B7 corresponds to the load-lock chamber B3
  • the load-lock chamber B8 corresponds to the load-lock chamber B4.
  • Cluster C5 and cluster C7 have atmospheric process equipment A;
  • Cluster C5 has transfer chamber TF5 and normal pressure process equipment A (normal pressure process equipment A8, A9) that mainly performs processes under normal pressure.
  • Cluster C7 has a transfer chamber TF7 and atmospheric process equipment A (atmospheric process equipment A10 to A14).
  • Cluster C6 and cluster C8 have vacuum process equipment V.
  • Cluster C6 has transfer chamber TF6 and vacuum process equipment V (vacuum process equipment V11 to V15).
  • Cluster C8 has transfer chamber TF8 and vacuum process equipment V (vacuum process equipment V16 to V20).
  • Transfer chamber TF5 is connected to load lock chamber B4 via a gate valve. Also, it is connected to the load lock chamber B5 via another gate valve.
  • a transfer device 70e is provided in the transfer chamber TF5. The transfer device 70e can transfer the substrate from the load lock chamber B4 to the normal pressure process device A. Further, the substrate taken out from the normal pressure process apparatus A can be carried out to the load lock chamber B5.
  • Transfer chamber TF6 is connected to load lock chamber B5 via a gate valve. Also, it is connected to the load lock chamber B6 via another gate valve.
  • a transfer device 70f is provided in the transfer chamber TF6. The transfer device 70f can transfer the substrate placed in the load lock chamber B5 to the vacuum process device V. FIG. Also, the substrate taken out from the vacuum process apparatus V can be carried out to the load lock chamber B6.
  • the transfer chamber TF7 is connected to the load lock chamber B6 via a gate valve. Also, it is connected to the load lock chamber B7 via another gate valve.
  • a transfer device 70g is provided in the transfer chamber TF7. The transfer device 70g can transfer the substrate from the load lock chamber B6 to the normal pressure process device A. Also, the substrate taken out from the normal pressure process apparatus A can be carried out to the load lock chamber B7.
  • Transfer chamber TF8 is connected to load lock chamber B7 via a gate valve. Also, it is connected to the load lock chamber B8 via another gate valve.
  • a transfer device 70h is provided in the transfer chamber TF8. The transfer device 70h can transfer the substrate from the load lock chamber B7 to the vacuum process device V. FIG. Also, the substrate taken out from the vacuum process apparatus V can be carried out to the load lock chamber B8.
  • stages 80e, 80f, 80g and 80h are provided on which the substrate can be placed on the pins.
  • FIG. 6 is a top view for explaining the clusters C9 to C12.
  • Cluster C9 is connected to cluster C10 via load lock chamber B9.
  • Cluster C10 is connected to cluster C11 via load lock chamber B10.
  • Cluster C11 is connected to cluster C12 via load lock chamber B11.
  • Cluster C12 is connected to cluster C13 (see FIG. 7) through load lock chamber B12.
  • clusters C9 to C12 are the same as clusters C1 to C4, cluster C9 corresponds to cluster C1, cluster C10 corresponds to cluster C2, cluster C11 corresponds to cluster C3, and cluster C9 corresponds to cluster C1 to cluster C4.
  • C12 corresponds to cluster C4.
  • the load chamber LD in the cluster C1 is replaced with the load lock chamber B8 in the cluster C9. Also, in cluster C12, the vacuum process apparatus V10 in cluster C4 is omitted.
  • Load-lock chamber B9 corresponds to load-lock chamber B1
  • load-lock chamber B10 corresponds to load-lock chamber B2
  • load-lock chamber B11 corresponds to load-lock chamber B3
  • load-lock chamber B12 corresponds to load-lock chamber B4.
  • Cluster C9 and cluster C11 have atmospheric process equipment A; Cluster C9 has transfer chamber TF9 and normal pressure process equipment A (normal pressure process equipment A15, A16) that mainly performs processes under normal pressure.
  • Cluster C11 has transfer chamber TF11 and normal pressure process equipment A (normal pressure process equipment A17 to A21).
  • a cluster C10 and a cluster C12 have a vacuum process apparatus V.
  • FIG. The cluster C10 has a transfer chamber TF10 and vacuum process equipment V (vacuum process equipment V21 to V25).
  • Cluster C12 has transfer chamber TF12 and vacuum process equipment V (vacuum process equipment V26 to V29).
  • Transfer chamber TF9 is connected to load lock chamber B8 via a gate valve. Also, it is connected to the load lock chamber B9 via another gate valve.
  • a transfer device 70i is provided in the transfer chamber TF9. The transfer device 70i can transfer the substrate from the load lock chamber B8 to the atmospheric pressure process device A. Further, the substrate taken out from the normal pressure process apparatus A can be carried out to the load lock chamber B9.
  • Transfer chamber TF10 is connected to load lock chamber B9 via a gate valve. It is also connected to the load lock chamber B10 via another gate valve. A transfer device 70j is provided in the transfer chamber TF10. The transfer device 70j can transfer the substrate placed in the load lock chamber B9 to the vacuum process device V. FIG. Also, the substrate taken out from the vacuum process apparatus V can be carried out to the load lock chamber B10.
  • the transfer chamber TF11 is connected to the load lock chamber B10 via a gate valve. Also, it is connected to the load lock chamber B11 via another gate valve.
  • a transfer device 70k is provided in the transfer chamber TF11. The transfer device 70k can transfer the substrate from the load lock chamber B10 to the atmospheric pressure process device A. FIG. Further, the substrate taken out from the normal pressure process apparatus A can be carried out to the load lock chamber B11.
  • Transfer chamber TF12 is connected to load lock chamber B11 via a gate valve. Also, it is connected to the load lock chamber B12 via another gate valve. A transfer device 70m is provided in the transfer chamber TF12. The substrate can be transferred from the load-lock chamber B11 to the vacuum process apparatus V and unloaded to the load-lock chamber B12 by the transfer device 70m.
  • Stages 80i, 80j, 80k, 80m on which substrates can be placed on pins are provided in load lock chambers B9, B10, B11, B12.
  • FIG. 7 is a top view for explaining the clusters C13 to C16.
  • Cluster C13 is connected to cluster C14 via load lock chamber B13.
  • Cluster C14 is connected to cluster C15 via load lock chamber B14.
  • Cluster C15 is connected to cluster C16 via load lock chamber B15.
  • Cluster C16 is connected to cluster C17 (see FIG. 8) through load lock chamber B16.
  • Cluster C13 and cluster C15 have atmospheric process equipment A.
  • the cluster C13 has a transfer chamber TF13 and normal pressure process equipment A (normal pressure process equipment A22 to A26) that mainly perform processes under normal pressure.
  • the cluster C15 has a transfer chamber TF15 and normal pressure process equipment A (normal pressure process equipment A27 to A31) that mainly perform processes under normal pressure.
  • an apparatus for performing the same lithography process as that of the cluster C3 can be applied.
  • a resin filling process can be performed in an apparatus for performing a lithography process.
  • Transfer chamber TF13 is connected to load lock chamber B12 via a gate valve. Also, it is connected to the load lock chamber B13 via another gate valve. A transfer device 70n is provided in the transfer chamber TF13. The transfer device 70n can transfer the substrate from the load lock chamber B12 to the atmospheric pressure process device A. Further, the substrate taken out from the normal pressure process apparatus A can be carried out to the load lock chamber B13.
  • Transfer chamber TF15 is connected to load lock chamber B14 via a gate valve. It is also connected to the load lock chamber B15 via another gate valve.
  • a transfer device 70q is provided in the transfer chamber TF15. The transfer device 70q can transfer the substrate from the load lock chamber B14 to the atmospheric pressure process device A. FIG. Further, the substrate taken out from the normal pressure process apparatus A can be carried out to the load lock chamber B15.
  • a cluster C14 and a cluster C16 have a vacuum process apparatus V.
  • FIG. Cluster C14 has transfer chamber TF14 and vacuum process equipment V (vacuum process equipment V30 and V31).
  • Cluster C16 has transfer chamber TF16 and vacuum process equipment V (vacuum process equipment V32).
  • an ashing device for example, an ashing device, a dry etching device (having an ashing function), an ALD device, a CVD device, a sputtering device, etc. can be applied.
  • Transfer chamber TF14 is connected to load lock chamber B13 via a gate valve. Also, it is connected to the load lock chamber B14 via another gate valve.
  • a transfer device 70p is provided in the transfer chamber TF14. The transfer device 70p can transfer the substrate from the load lock chamber B13 to the vacuum process device V. FIG. Also, the substrate taken out from the vacuum process apparatus V can be carried out to the load lock chamber B14.
  • a dry etching device or the like can be applied as the vacuum process device V that the cluster C16 has.
  • Transfer chamber TF16 is connected to load lock chamber B15 via a gate valve. It is also connected to the load lock chamber B16 via another gate valve.
  • a transfer device 70r is provided in the transfer chamber TF16. The transfer device 70r can transfer the substrate from the load lock chamber B15 to the vacuum process device V. FIG. Also, the substrate taken out from the vacuum process apparatus V can be carried out to the load lock chamber B16.
  • stages 80n, 80p, 80q, 80r are provided on which substrates can be placed on the pins. Further, the load lock chambers B13 to B16 are provided with a vacuum pump VP and a valve for introducing an inert gas (IG). Therefore, the load lock chambers B13 to B16 can be controlled to have a reduced pressure or an inert gas atmosphere.
  • IG inert gas
  • FIG. 8 is a top view for explaining clusters C17 and C18.
  • Cluster C17 is connected to cluster C18 via load lock chamber B17.
  • Cluster C17 has atmospheric process equipment A.
  • the cluster C17 has a transfer chamber TF17 and atmospheric process equipment A (atmospheric process equipment A32 and A33) that mainly perform processes under normal pressure.
  • An etching device and a baking device can be applied as the normal pressure process device A of the cluster C17.
  • a wet etching device can be applied as the etching device.
  • a dry etching apparatus can be applied, but in that case, the cluster C17 can be omitted because the processing can be performed in the cluster C16.
  • a dry etching apparatus it is preferable to enable isotropic etching by reducing the bias toward the substrate side or eliminating the bias toward the substrate side.
  • Transfer chamber TF17 is connected to load lock chamber B16 via a gate valve. It is also connected to the load lock chamber B17 via another gate valve. A transfer device 70s is provided in the transfer chamber TF17. The transfer device 70s can transfer the substrate from the load lock chamber B16 to the atmospheric pressure process device A. Further, the substrate taken out from the normal pressure process apparatus A can be carried out to the load lock chamber B17.
  • Cluster C18 has vacuum process equipment V. As shown in FIG. The cluster C18 has a transfer chamber TF18 and vacuum process equipment V (vacuum process equipment V33 to V35) that mainly perform processes under reduced pressure.
  • the vacuum process device V of the cluster C18 for example, a deposition device, a sputtering device, a CVD device, a film forming device such as an ALD device, a counter substrate bonding device, and the like can be applied.
  • Transfer chamber TF18 is connected to load lock chamber B17 via a gate valve. It is also connected to the unload chamber ULD through another gate valve.
  • a transfer device 71t is provided in the transfer chamber TF18. The transfer device 71t can transfer the substrate from the load lock chamber B17 to the vacuum process device V. FIG. Also, the substrate taken out from the vacuum process apparatus V can be unloaded to the unload chamber ULD.
  • the load lock chamber B17 In the load lock chamber B17, a stage 80s is provided on which the substrate can be placed on the pins. Further, the load lock chamber B17 is provided with a vacuum pump VP and a valve for introducing an inert gas (IG). Therefore, the load lock chamber B17 can be controlled to have a reduced pressure or an inert gas atmosphere.
  • a vacuum pump VP and a valve for introducing an inert gas (IG). Therefore, the load lock chamber B17 can be controlled to have a reduced pressure or an inert gas atmosphere.
  • clusters C1 to C4 form a light emitting device that emits light of a first color
  • clusters C5 to C8 form a light emitting device that emits light of a second color
  • clusters C9 to C12 form a light emitting device that emits light of a third color.
  • a light-emitting device that emits light is formed, an insulating layer is filled with cluster C13, unnecessary elements are removed with clusters C14 to C17, and a protective film or the like is formed with cluster C18. can be performed. Details of these steps will be described later.
  • clusters C1, C2, C3, C4, and C13 are used. , C14, C15, C16, C17 and C18 can be connected in order.
  • FIG. 9 is a block diagram illustrating a light-emitting device manufacturing apparatus different from that of FIG.
  • the manufacturing apparatus shown in FIG. 9 is an example having clusters C1, C2, C3, C4, C6, C7, C8, C10, C11, C12, C13, C14, C15, C16, C17, and C18, and is shown in FIG.
  • the configuration is such that the clusters C5 and C9 are omitted from the manufacturing apparatus.
  • Clusters C1, C2, C3, C4, C6, C7, C8, C10, C11, C12, C13, C14, C15, C16, C17, and C18 are connected in order, and the substrate 60a introduced into cluster C1 has a light emitting device
  • the formed substrate 60b can be removed from the cluster C18.
  • clusters C5 and C9 have cleaning equipment and baking equipment.
  • the process before the cleaning process is an etching (dry etching) process.
  • the cleaning step can be omitted if residual gas components, residues, deposits, etc. in the relevant step do not adversely affect subsequent steps.
  • the cleaning process is omitted, it becomes unnecessary to consider residual moisture on the substrate, so that the baking process can also be eliminated. Therefore, depending on the circumstances, the configuration of FIG. 9 may be used by omitting the clusters C5 and C9 from the manufacturing apparatus shown in FIG. By omitting clusters C5 and C9, the total number of clusters and the number of load lock chambers can be reduced.
  • Cluster C1 to Cluster C4 The configuration of clusters C1 to C4 can be the same as the configuration shown in FIG. However, load lock chamber B4 is connected to cluster C6.
  • FIG. 10 is a top view illustrating clusters C6, C7, C8, and C10.
  • Cluster C6 is connected to cluster C7 via load lock chamber B6.
  • Cluster C7 is connected to cluster C8 via load lock chamber B7.
  • Cluster C8 is connected to cluster C10 via load lock chamber B9.
  • Cluster C10 is connected to cluster C11 (see FIG. 11) through load lock chamber B10.
  • a transfer chamber TF6 of cluster C6 is connected to load lock chamber B4 via a gate valve. Also, it is connected to the load lock chamber B6 via another gate valve.
  • a transfer device 70f is provided in the transfer chamber TF6. The transfer device 70f can transfer the substrate placed in the load lock chamber B4 to the vacuum process device V. FIG. Also, the substrate taken out from the vacuum process apparatus V can be carried out to the load lock chamber B6.
  • a transfer chamber TF7 of cluster C7 is connected to load lock chamber B6 via a gate valve. Also, it is connected to the load lock chamber B7 via another gate valve.
  • a transfer device 70g is provided in the transfer chamber TF7. The transfer device 70g can transfer the substrate from the load lock chamber B6 to the normal pressure process device A. Also, the substrate taken out from the normal pressure process apparatus A can be carried out to the load lock chamber B7.
  • a transfer chamber TF8 of cluster C8 is connected to load lock chamber B7 via a gate valve. Also, it is connected to the load lock chamber B9 via another gate valve.
  • a transfer device 70h is provided in the transfer chamber TF8. The transfer device 70h can transfer the substrate from the load lock chamber B7 to the vacuum process device V. FIG. Moreover, the substrate taken out from the vacuum process apparatus V can be carried out to the load lock chamber B9.
  • a transfer chamber TF10 of the cluster C10 is connected to the load lock chamber B9 via a gate valve. It is also connected to the load lock chamber B10 via another gate valve.
  • a transfer device 70j is provided in the transfer chamber TF10. The transfer device 70j can transfer the substrate placed in the load lock chamber B9 to the vacuum process device V. FIG. Also, the substrate taken out from the vacuum process apparatus V can be carried out to the load lock chamber B10.
  • FIG. 11 is a top view illustrating clusters C11, C12, C13, and C14.
  • Cluster C11 is connected to cluster C12 via load lock chamber B11.
  • Cluster C12 is connected to cluster C13 via load lock chamber B12.
  • Cluster C13 is connected to cluster C14 via load lock chamber B13.
  • a transfer chamber TF11 of the cluster C11 is connected to the load lock chamber B10 via a gate valve. Also, it is connected to the load lock chamber B11 via another gate valve.
  • a transfer device 70k is provided in the transfer chamber TF11. The transfer device 70k can transfer the substrate from the load lock chamber B10 to the atmospheric pressure process device A. FIG. Further, the substrate taken out from the normal pressure process apparatus A can be carried out to the load lock chamber B11.
  • a transfer chamber TF12 of the cluster C12 is connected to the load lock chamber B11 via a gate valve. Also, it is connected to the load lock chamber B12 via another gate valve.
  • a transfer device 70m is provided in the transfer chamber TF12. The transfer device 70m can transfer the substrate from the load lock chamber B11 to the vacuum process device V. FIG. Moreover, the substrate taken out from the vacuum process apparatus V can be carried out to the load lock chamber B12.
  • a transfer chamber TF13 of the cluster C13 is connected to the load lock chamber B12 via a gate valve. Also, it is connected to the load lock chamber B13 via another gate valve.
  • a transfer device 70n is provided in the transfer chamber TF13. The transfer device 70n can transfer the substrate from the load lock chamber B12 to the atmospheric pressure process device A. Further, the substrate taken out from the normal pressure process apparatus A can be carried out to the load lock chamber B13.
  • a transfer chamber TF14 of the cluster C14 is connected to the load lock chamber B13 via a gate valve. Also, it is connected to the load lock chamber B14 via another gate valve.
  • a transfer device 70p is provided in the transfer chamber TF13. The transfer device 70p can transfer the substrate from the load lock chamber B13 to the vacuum process device V. FIG. Also, the substrate taken out from the vacuum process apparatus V can be carried out to the load lock chamber B14.
  • Cluster C15 to Cluster C18 The configurations of the clusters C15 to C18 can be the same as the configurations shown in FIGS. 7 and 8.
  • FIG. 7 and 8 The configurations of the clusters C15 to C18 can be the same as the configurations shown in FIGS. 7 and 8.
  • Configuration Examples 1 to 3 show examples of in-line manufacturing apparatuses in which each cluster is connected via a load lock chamber, but each cluster independently has a load chamber LD and an unload chamber ULD. may be
  • the workpiece in order to prevent the workpiece from being exposed to the atmosphere, the workpiece may be enclosed in a container whose atmosphere is controlled, and the container may be moved between clusters.
  • FIG. 12 is a diagram showing an example in which clusters C1, C2, C3, and C4 are made independent, and each cluster is provided with a load chamber LD and an unload chamber ULD.
  • the workpieces are stored in a cassette CT, and the cassette CT is placed in a transfer container BX whose atmosphere is controlled to move between clusters.
  • FIG. 13A is a diagram illustrating unloading of cassettes CT in cluster C2.
  • the gate valve is omitted, and the diagram shows the chamber wall of the unload chamber ULD as a transparent view.
  • the atmosphere in the unload chamber ULD is replaced with an inert gas atmosphere while all the workpieces are stored in the cassette CT installed in the unload chamber ULD.
  • the inside of the transfer container BX provided on the transfer vehicle VE is replaced with an inert gas atmosphere.
  • the unloading chamber ULD and the transfer container BX are in a positive pressure state so as to prevent the inflow of air.
  • the transfer container BX may have a structure in which the atmosphere does not flow in, and the transfer container BX may be evacuated to a negative pressure state.
  • the loading/unloading port of the unloading chamber ULD and the loading/unloading port of the transfer container BX are docked, and the transfer device 200 transfers the cassette CT from the unloading chamber ULD to the transfer container BX. Then, the loading/unloading port of the transport container BX is closed to keep the inside of the transport container BX in an inert gas atmosphere, and the transport vehicle VE moves the transport container BX to the cluster C2.
  • FIG. 13B is a diagram for explaining loading of cassettes CT in cluster C3.
  • the drawing shows a transparent wall of the transport container BX.
  • the atmosphere in the load chamber LD is replaced with an inert gas atmosphere.
  • the loading port of the load chamber LD and the loading/unloading port of the transfer container BX are docked, and the transfer device 209 transfers the cassette CT from the transfer container BX to the load chamber LD.
  • the loading port of the load chamber UL is closed, and the processing in the cluster C2 is started.
  • FIG. 13C is a diagram illustrating the transport container BX and the transport vehicle VE.
  • the transport vehicle VE has therein a controller 201, a power source 202, a battery 203, a gas cylinder 205 filled with an inert gas, and the like.
  • Power source 202 is connected to battery 203 and wheels 204 .
  • the transport vehicle VE can be moved manually or automatically under the control of the controller 201 .
  • the transfer container BX has a gas inlet 210 and a gas outlet 211 , and the inlet 210 is connected to a gas cylinder 205 via a valve 206 .
  • the outlet 211 is connected with the valve 207 .
  • One or both of the valves 206 and 207 are conductance valves, and can control the inside of the transfer container BX to a positive pressure with an inert gas. Nitrogen, argon, or the like is preferably used as the inert gas.
  • the transport container BX has a carry-in/out port 208 and a transfer device 209 .
  • the form of the loading/unloading port 208 is not limited, and for example, a door type, a shutter type, or the like can be used.
  • the transfer device 209 can transfer the cassette CT. 12A and 12B, the transfer device 200 included in the unload chamber ULD is used for unloading into the transfer container BX, and the transfer device 209 included in the transfer container BX is used for transfer into the load chamber LD.
  • the transfer device 200 or the transfer device 209 may be used to perform these operations.
  • one of the transfer device 200 and the transfer device 209 may be omitted.
  • FIG. 14A is a diagram for explaining a vacuum process apparatus V (face-down type film forming apparatus) in which the surface of the substrate to be film-formed faces downward, and the film-forming apparatus 30 is illustrated here.
  • V face-down type film forming apparatus
  • the diagram is a transparent diagram of the chamber wall, and the gate valve is omitted.
  • the film forming apparatus 30 has a film forming material supply unit 31 , a mask unit 32 and a stage 50 for setting the substrate 60 .
  • the film forming material supply unit 31 is a portion where a vapor deposition source is installed.
  • the film-forming apparatus 30 is a sputtering apparatus, it is a part in which a target (cathode) is installed.
  • stage 50 Details of stage 50 are shown in the exploded view of FIG. 14B.
  • the stage 50 has a configuration in which the cylinder unit 33, the electromagnet unit 34, and the electrostatic adsorption unit 35 are stacked in that order.
  • the cylinder unit 33 has multiple cylinders 40 .
  • the cylinder 40 has a function of vertically moving a cylinder rod connected to the pusher pin 41 .
  • Pusher pin 41 is inserted into through hole 42 provided in electromagnet unit 34 and electrostatic attraction unit 35 .
  • the tip of the pusher pin 41 contacts the substrate 60 by the operation of the cylinder 40, and the substrate 60 can be raised and lowered.
  • FIG. 14A shows the substrate 60 placed on the raised pusher pins 41 .
  • FIG. 14B shows a configuration in which one pusher pin 41 is connected to one cylinder 40
  • a configuration in which a plurality of pusher pins 41 are connected to one cylinder 40 may be employed.
  • the number and positions of the pusher pins 41 may be appropriately determined at positions that do not interfere with the hand portion of the conveying device.
  • the electromagnet unit 34 can generate magnetic force when energized, and has a function of bringing a mask jig, which will be described later, into close contact with the substrate 60 .
  • the mask jig is preferably made of a ferromagnetic material such as stainless steel.
  • the electrostatic chucking unit 35 has a function of applying a voltage to the substrate 60 from the internal electrodes of the electrostatic chucking unit 35, thereby causing the charges in the electrostatic chucking unit 35 and the charges in the substrate 60 to attract each other, thereby causing chucking. have Therefore, unlike the vacuum adsorption mechanism, the substrate can be adsorbed even under vacuum. Moreover, it is preferable that the electrostatic adsorption unit is formed of dielectric ceramics or the like and does not contain a ferromagnetic material.
  • a rotating mechanism 36 such as a motor is connected to a first end surface of the stage 50 and a second end surface facing the first end surface, so that the stage 50 can be turned upside down.
  • the combination of stage 50 and rotation mechanism 36 can be called a substrate reversing device.
  • the mask unit 32 is provided with an elevating mechanism 37 connected to a first end surface of the mask unit 32 and a second end surface facing the first end surface.
  • the mask unit 32 has a mask jig and an alignment mechanism, and can align and bring the mask jig into close contact with the substrate 60 .
  • 15A to 16B a description will be given from carrying the substrate into the film forming apparatus 30 to the film forming process.
  • 15A to 16B omit chamber walls, gate valves, and the like for clarity.
  • the substrate 60 placed on the hand portion of the transfer device 70 is moved onto the electrostatic attraction unit 35 . Then, the substrate 60 is lifted by the pusher pins 41 . Alternatively, the substrate 60 is placed on the raised pusher pins 41 by lowering the hand portion of the transfer device 70 (see FIG. 15A).
  • the pusher pin 41 is lowered, the substrate 60 is placed on the electrostatic adsorption unit 35, and the electrostatic adsorption unit 35 is operated to adsorb the substrate 60 (see FIG. 15B).
  • the stage 50 is rotated by the rotating mechanism 36 .
  • the substrate 60 is turned upside down (see FIGS. 15C and 16A).
  • the mask unit 32 is lifted by the lifting mechanism 37 and the mask jig is aligned and brought into contact with the substrate 60 .
  • the electromagnet unit 34 is energized to bring the mask jig into close contact with the substrate 60 (see FIG. 16B).
  • a mask jig 39 included in the mask unit 32 is shown in FIG. 16C.
  • a circuit or the like is provided in advance on the surface of the substrate 60, and the substrate 60 and the mask jig 39 are brought into close contact so that no film is formed on unnecessary regions.
  • the mask unit 32 has an alignment mechanism including a camera 45, and can perform positional adjustment (X, Y, ⁇ directions) between a portion of the substrate 60 on which film formation is required and the opening of the mask jig 39.
  • the substrate After performing the film formation process in the state shown in FIG. 16B, the substrate can be taken out by performing the operations in the reverse order.
  • a substrate reversing device may be provided only in a film forming device (a face-down type film forming device) that requires the substrate to be turned upside down. Therefore, there is no need to provide a substrate reversing mechanism in the substrate transfer device or the load lock chamber, and the cost of the entire apparatus can be reduced. In particular, it is useful for a manufacturing apparatus in which a face-down type apparatus (film formation apparatus) and a face-up type apparatus (film formation apparatus, lithography apparatus, etc.) are mixed, like the manufacturing apparatus of one embodiment of the present invention. .
  • FIG. 17A to 17F show configuration examples of a film forming apparatus that can be applied to the vacuum process apparatus V.
  • FIG. FIG. 17A shows a vacuum deposition apparatus, which has a substrate holder 51 on which a substrate 60 is placed, a deposition source 52 such as a crucible, and a shutter 53 . Also, the exhaust port 54 is connected to a vacuum pump. A film can be formed by heating the vapor deposition source under reduced pressure to evaporate or sublimate the film forming material, and then opening the shutter.
  • FIG. 17B shows a sputtering apparatus having an upper electrode 58 on which a substrate 60 is placed, a lower electrode 56 on which a target 57 is placed, and a shutter 53 .
  • the gas introduction port 55 is connected to a sputtering gas supply source, and the exhaust port 54 is connected to a vacuum pump.
  • a sputtering phenomenon occurs by applying DC power or RF power between the upper electrode 58 and the lower electrode 56 under reduced pressure containing a noble gas or the like. materials can be deposited.
  • FIG. 17C shows a plasma CVD apparatus having an upper electrode 58 with a gas inlet 55 and a shower plate 59 and a lower electrode 56 on which a substrate 60 is placed.
  • the gas introduction port 55 is connected to a raw material gas supply source, and the exhaust port 54 is connected to a vacuum pump.
  • a raw material gas is introduced under reduced pressure, and high-frequency power or the like is applied between the upper electrode 58 and the lower electrode 56 to decompose the raw material gas and form a film of the desired material on the surface of the substrate 60 .
  • FIG. 17D shows a dry etching apparatus having an upper electrode 58 and a lower electrode on which a substrate 60 is placed.
  • the gas introduction port 55 is connected to an etching gas supply source, and the exhaust port 54 is connected to a vacuum pump.
  • An etching gas is introduced under reduced pressure, and high-frequency power or the like is applied between the upper electrode 58 and the lower electrode 56 to activate the etching gas and etch the inorganic film or organic film formed on the substrate 60 .
  • an ashing apparatus and a plasma processing apparatus can have the same configuration.
  • FIG. 17E is a waiting room having a substrate holder 62 that accommodates a plurality of substrates 60 .
  • the exhaust port 54 is connected to a vacuum pump, and the substrate 60 waits under reduced pressure.
  • the number of substrates 60 that can be accommodated in the substrate holder 62 may be appropriately determined in consideration of the process time before and after.
  • FIG. 17F is an ALD apparatus, here showing a batch configuration.
  • the ALD apparatus has a heater 61, a gas introduction port 55 is connected to a supply source such as a precursor, and an exhaust port 54 is connected to a vacuum pump.
  • a substrate holder 63 accommodates a plurality of substrates 60 and is placed on a heater 61 . By alternately introducing a precursor or an oxidizing agent from the gas introduction port 55 under reduced pressure, film formation is repeatedly performed on the substrate 60 in units of atomic layers. In the case of a single-wafer type, a configuration that does not use the substrate holder 62 may be employed.
  • a thermal CVD apparatus can also have a similar configuration.
  • a device manufactured using a metal mask or FMM fine metal mask, high-definition metal mask
  • a device with an MM (metal mask) structure is sometimes referred to as a device with an MML (metal maskless) structure.
  • a structure in which a light-emitting layer is separately formed or a light-emitting layer is separately painted in each color light-emitting device is referred to as SBS (Side By Side) structure.
  • SBS Side By Side
  • a light-emitting device capable of emitting white light is sometimes referred to as a white light-emitting device.
  • a white light emitting device can be combined with a colored layer (for example, a color filter) to realize a full-color display device.
  • light-emitting devices can be broadly classified into a single structure and a tandem structure.
  • a single-structure device preferably has one light-emitting unit between a pair of electrodes, and the light-emitting unit preferably includes one or more light-emitting layers.
  • two light-emitting layers may be selected so that their respective colors are complementary. For example, by making the luminescent color of the first luminescent layer and the luminescent color of the second luminescent layer have a complementary color relationship, it is possible to obtain a configuration in which the entire light emitting device emits white light. The same applies to light-emitting devices having three or more light-emitting layers.
  • a device with a tandem structure preferably has a pair of electrodes and two or more light-emitting units between the pair of electrodes, and each light-emitting unit includes one or more light-emitting layers.
  • each light-emitting unit includes one or more light-emitting layers.
  • a structure in which white light emission is obtained by combining light from the light emitting layers of a plurality of light emitting units may be employed. Note that the structure for obtaining white light emission is the same as the structure of the single structure.
  • the white light emitting device when comparing the white light emitting device (single structure or tandem structure) and the light emitting device having the SBS structure, the light emitting device having the SBS structure can consume less power than the white light emitting device. If it is desired to keep power consumption low, it is preferable to use a light-emitting device with an SBS structure. On the other hand, the white light emitting device is preferable because the manufacturing process is simpler than that of the SBS structure light emitting device, so that the manufacturing cost can be lowered or the manufacturing yield can be increased.
  • the tandem structure device may have a structure (BB, GG, RR, etc.) having light-emitting layers that emit light of the same color.
  • a tandem structure in which light is emitted from a plurality of layers, requires a high voltage for light emission, but requires a smaller current value to obtain the same light emission intensity as a single structure. Therefore, in the tandem structure, the current stress per light emitting unit can be reduced, and the device life can be extended.
  • FIG. 18 shows a schematic top view of a display device 100 manufactured using the light-emitting device manufacturing apparatus of one embodiment of the present invention.
  • the display device 100 has a plurality of light emitting devices 110R exhibiting red, light emitting devices 110G exhibiting green, and light emitting devices 110B exhibiting blue.
  • the light-emitting region of each light-emitting device is labeled with R, G, and B. As shown in FIG.
  • the light emitting device 110R, the light emitting device 110G, and the light emitting device 110B are each arranged in a matrix.
  • FIG. 18 shows a so-called stripe arrangement in which light emitting devices of the same color are arranged in one direction. Note that the arrangement method of the light emitting devices is not limited to this, and an arrangement method such as a delta arrangement or a zigzag arrangement may be applied, or a pentile arrangement or other arrangements may be used.
  • an EL element such as an OLED (Organic Light Emitting Diode) or a QLED (Quantum-dot Light Emitting Diode).
  • OLED Organic Light Emitting Diode
  • QLED Quadantum-dot Light Emitting Diode
  • light-emitting substances that EL devices have include substances that emit fluorescence (fluorescent materials), substances that emit phosphorescence (phosphorescent materials), inorganic compounds (quantum dot materials, etc.), and substances that exhibit heat-activated delayed fluorescence (heat-activated delayed fluorescence (thermally activated delayed fluorescence: TADF) material) and the like.
  • FIG. 19A is a schematic cross-sectional view corresponding to the dashed-dotted line A1-A2 in FIG. 18.
  • FIG. 19A is a schematic cross-sectional view corresponding to the dashed-dotted line A1-A2 in FIG. 18.
  • FIG. 19A shows cross sections of light emitting device 110R, light emitting device 110G, and light emitting device 110B.
  • the light-emitting device 110R, the light-emitting device 110G, and the light-emitting device 110B are each provided on the pixel circuit and have a pixel electrode 111 and a common electrode 113.
  • FIG. 19A shows cross sections of light emitting device 110R, light emitting device 110G, and light emitting device 110B.
  • the light-emitting device 110R, the light-emitting device 110G, and the light-emitting device 110B are each provided on the pixel circuit and have a pixel electrode 111 and a common electrode 113.
  • the light emitting device 110R has an EL layer 112R between the pixel electrode 111 and the common electrode 113. As shown in FIG.
  • the EL layer 112R contains a light-emitting organic compound that emits light having a peak in at least the red wavelength range.
  • the EL layer 112G included in the light-emitting device 110G contains a light-emitting organic compound that emits light having a peak in at least the green wavelength range.
  • the EL layer 112B included in the light-emitting device 110B contains at least a light-emitting organic compound that emits light having a peak in the blue wavelength range. Note that a structure in which the EL layer 112R, the EL layer 112G, and the EL layer 112B emit light of different colors may be called an SBS structure.
  • Each of the EL layer 112R, the EL layer 112G, and the EL layer 112B includes a layer containing a light-emitting organic compound (light-emitting layer), an electron injection layer, an electron transport layer, a hole injection layer, and a hole transport layer. You may have one or more of them.
  • a pixel electrode 111 is provided for each light-emitting device. Also, the common electrode 113 is provided as a continuous layer common to each light emitting device. A conductive film that transmits visible light is used for one of the pixel electrode 111 and the common electrode 113, and a conductive film that reflects visible light is used for the other. By making the pixel electrode 111 translucent and the common electrode 113 reflective, a bottom emission type display device can be obtained. By making the display device light, a top emission display device can be obtained. Note that by making both the pixel electrode 111 and the common electrode 113 transparent, a dual-emission display device can be obtained. In this embodiment mode, an example of manufacturing a top emission display device will be described.
  • Each of the EL layer 112R, the EL layer 112G, and the EL layer 112B has a region in contact with the upper surface of the pixel electrode 111.
  • a gap is provided between the two EL layers between the different color light emitting devices.
  • the EL layer 112R, the EL layer 112G, and the EL layer 112B are preferably provided so as not to be in contact with each other. This can suitably prevent current from flowing through two adjacent EL layers and causing unintended light emission. Therefore, the contrast can be increased, and a display device with high display quality can be realized.
  • a protective layer 121 is provided on the common electrode 113 to cover the light emitting device 110R, the light emitting device 110G, and the light emitting device 110B.
  • the protective layer 121 has a function of preventing impurities from diffusing into each light-emitting device from above.
  • the protective layer 121 has a function of trapping (also referred to as gettering) impurities (typically, impurities such as water and hydrogen) that may enter each light-emitting device.
  • the protective layer 121 can have, for example, a single-layer structure or a laminated structure including at least an inorganic insulating film.
  • inorganic insulating films include oxide films and nitride films such as silicon oxide films, silicon oxynitride films, silicon nitride oxide films, silicon nitride films, aluminum oxide films, aluminum oxynitride films, and hafnium oxide films.
  • a semiconductor material such as indium gallium oxide or indium gallium zinc oxide may be used for the protective layer 121 .
  • the pixel electrode 111 is electrically connected to one of the source and drain of the transistor 116 .
  • the transistor 116 for example, a transistor including a metal oxide in a channel formation region (hereinafter referred to as an OS transistor) can be used.
  • OS transistors have higher mobility and better electrical characteristics than amorphous silicon.
  • the OS transistor does not require a crystallization step in the manufacturing process of polycrystalline silicon, and can be formed in a wiring process or the like. Therefore, it can be formed over the transistor 115 (hereinafter referred to as Si transistor) having silicon in the channel formation region formed over the substrate 60 without using a bonding step or the like.
  • the transistor 116 is a transistor forming a pixel circuit.
  • a transistor 115 is a transistor that forms a driver circuit of a pixel circuit or the like. That is, since the pixel circuit can be formed over the driver circuit, a display device with a narrow frame can be formed.
  • a metal oxide with an energy gap of 2 eV or more, preferably 2.5 eV or more, more preferably 3 eV or more can be used.
  • an OS transistor Since an OS transistor has a large energy gap in a semiconductor layer, it exhibits extremely low off-current characteristics of several yA/ ⁇ m (current value per 1 ⁇ m channel width).
  • the off-current value of the OS transistor per 1 ⁇ m channel width at room temperature is 1 aA (1 ⁇ 10 ⁇ 18 A) or less, 1 zA (1 ⁇ 10 ⁇ 21 A) or less, or 1 yA (1 ⁇ 10 ⁇ 24 A) or less.
  • the off current value of the Si transistor per 1 ⁇ m channel width at room temperature is 1 fA (1 ⁇ 10 ⁇ 15 A) or more and 1 pA (1 ⁇ 10 ⁇ 12 A) or less. Therefore, it can be said that the off-state current of the OS transistor is about ten digits lower than the off-state current of the Si transistor.
  • the OS transistor has characteristics different from the Si transistor, such as impact ionization, avalanche breakdown, short channel effect, and the like, and can form a circuit with high breakdown voltage and high reliability.
  • variations in electrical characteristics due to non-uniform crystallinity, which is a problem in Si transistors, are less likely to occur in OS transistors.
  • the semiconductor layer included in the OS transistor is, for example, In-M containing indium, zinc, and M (one or more of metals such as aluminum, titanium, gallium, germanium, yttrium, zirconium, lanthanum, cerium, tin, neodymium, and hafnium).
  • a film represented by a -Zn-based oxide can be used.
  • An In-M-Zn-based oxide can be typically formed by a sputtering method. Alternatively, it may be formed using an ALD (atomic layer deposition) method.
  • an oxide (IGZO) containing indium (In), gallium (Ga), and zinc (Zn) can be used as the In-M-Zn-based oxide.
  • an oxide (IAZO) containing indium (In), aluminum (Al), and zinc (Zn) may be used.
  • an oxide (IAGZO) containing indium (In), aluminum (Al), gallium (Ga), and zinc (Zn) may be used.
  • the atomic ratio of the metal elements in the sputtering target used for forming the In-M-Zn-based oxide by sputtering preferably satisfies In ⁇ M and Zn ⁇ M.
  • the atomic ratio of the semiconductor layers to be deposited includes a variation of plus or minus 40% of the atomic ratio of the metal element contained in the sputtering target.
  • the semiconductor layer has a carrier density of 1 ⁇ 10 17 /cm 3 or less, preferably 1 ⁇ 10 15 /cm 3 or less, more preferably 1 ⁇ 10 13 /cm 3 or less, more preferably 1 ⁇ 10 11 /cm 3 or less.
  • An oxide semiconductor with a carrier density of 3 or less, more preferably less than 1 ⁇ 10 10 /cm 3 and greater than or equal to 1 ⁇ 10 ⁇ 9 /cm 3 can be used.
  • Such an oxide semiconductor is called a highly purified intrinsic or substantially highly purified intrinsic oxide semiconductor. It can be said that the oxide semiconductor has a low defect state density and stable characteristics.
  • the material is not limited to these, and a material having an appropriate composition may be used according to the required semiconductor characteristics and electrical characteristics (field effect mobility, threshold voltage, etc.) of the transistor.
  • the semiconductor layer has appropriate carrier density, impurity concentration, defect density, atomic ratio between metal element and oxygen, interatomic distance, density, and the like. .
  • the display device shown in FIG. 19A has an OS transistor and a light-emitting device with an MML (metal maskless) structure.
  • MML metal maskless
  • leakage current that can flow through the transistor and leakage current that can flow between adjacent light-emitting elements also referred to as lateral leakage current, side leakage current, or the like
  • an observer can observe any one or more of the sharpness of the image, the sharpness of the image, and the high contrast ratio.
  • a structure in which leakage current that can flow in a transistor and lateral leakage current between light-emitting elements are extremely low enables display with extremely low light leakage (also referred to as pure black display) during black display. .
  • FIG. 19A exemplifies the structure in which the light-emitting layers of the light-emitting elements of R, G, and B are different from each other, but the present invention is not limited to this.
  • an EL layer 112W that emits white light is provided, and colored layers 114R (red), 114G (green), and 114B (blue) are provided so as to overlap the EL layer 112W.
  • a method of forming 110G and 110B and colorizing them may be used.
  • the EL layer 112W can have, for example, a tandem structure in which EL layers that emit light of R, G, and B are connected in series. Alternatively, a structure in which light-emitting layers emitting light of R, G, and B are connected in series may be used.
  • the colored layers 114R, 114G, and 114B for example, red, green, and blue color filters can be used.
  • a transistor 115 included in the substrate 60 may form a pixel circuit, and one of the source or drain of the transistor 115 and the pixel electrode 111 may be electrically connected.
  • Example of manufacturing method> An example of a method for manufacturing a light-emitting device that can be manufactured with the manufacturing apparatus of one embodiment of the present invention is described below.
  • a light-emitting device included in the display device 100 shown in the above configuration example will be described as an example.
  • FIGS. 20A to 24B are cross-sectional schematic diagrams in each step of a method for manufacturing a light-emitting device illustrated below. Note that FIGS. 20A to 24B omit the transistor 116 which is a component of the pixel circuit and the transistor 115 which is a component of the driver circuit shown in FIG. 19A.
  • Thin films (insulating films, semiconductor films, conductive films, etc.) that constitute a display device can be formed using a sputtering method, a chemical vapor deposition (CVD) method, a vacuum deposition method, an atomic layer deposition (ALD) method, or the like.
  • CVD methods include plasma-enhanced chemical vapor deposition (PECVD) methods, thermal CVD methods, and the like.
  • PECVD plasma-enhanced chemical vapor deposition
  • thermal CVD methods is the metal organic CVD (MOCVD) method.
  • a manufacturing apparatus of one embodiment of the present invention can include an apparatus for forming a thin film by the above method.
  • a manufacturing apparatus of one embodiment of the present invention can include an apparatus for forming a thin film by the above method. Further, the manufacturing apparatus of one embodiment of the present invention can include an apparatus for applying resin by the above method.
  • a photolithography method or the like can be used when processing a thin film forming a display device.
  • the thin film may be processed by using a nanoimprint method.
  • a method of directly forming an island-shaped thin film may be used in combination with a film forming method using a shielding mask.
  • a thin film processing method using the photolithographic method there are typically the following two methods.
  • One is a method of forming a resist mask on a thin film to be processed, processing the thin film by etching or the like, and removing the resist mask.
  • the other is a method of forming a photosensitive thin film, then performing exposure and development to process the thin film into a desired shape.
  • the light used for exposure can be, for example, i-line (wavelength 365 nm), g-line (wavelength 436 nm), h-line (wavelength 405 nm), or a mixture thereof.
  • ultraviolet rays, KrF laser light, ArF laser light, or the like can also be used.
  • extreme ultraviolet (EUV: Extreme Ultra-violet) light or X-rays may be used.
  • An electron beam can also be used instead of the light used for exposure. The use of extreme ultraviolet light, X-rays, or electron beams is preferable because extremely fine processing is possible.
  • a photomask is not necessary when exposure is performed by scanning a beam such as an electron beam.
  • a dry etching method, a wet etching method, or the like can be used for etching the thin film.
  • a manufacturing apparatus of one embodiment of the present invention can have an apparatus for processing a thin film by the above method.
  • a substrate having heat resistance that can withstand at least subsequent heat treatment can be used.
  • a substrate having heat resistance that can withstand at least subsequent heat treatment can be used.
  • a glass substrate, a quartz substrate, a sapphire substrate, a ceramic substrate, an organic resin substrate, or the like can be used.
  • a semiconductor substrate such as a single crystal semiconductor substrate, a polycrystalline semiconductor substrate, a compound semiconductor substrate made of silicon germanium or the like, or an SOI substrate can be used.
  • the shape of the substrate is not limited to that of a wafer, and a rectangular substrate can also be used.
  • the 3rd generation (substrate size, 550 mm ⁇ 650 mm), the 3.5th generation (substrate size, 600 mm ⁇ 720 mm), the 6th generation (1500 mm ⁇ 1850 mm), the 8th generation (2160 mm ⁇ 2460 mm) ), and large substrate sizes such as 10th generation (2850 mm ⁇ 3050 mm).
  • the substrate 60 it is preferable to use a substrate in which a semiconductor circuit including a semiconductor element such as a Si transistor is formed on the above semiconductor substrate or insulating substrate.
  • the semiconductor circuit preferably constitutes, for example, a pixel circuit, a gate line driver circuit (gate driver), a source line driver circuit (source driver), and the like.
  • gate driver gate line driver
  • source driver source driver
  • an arithmetic circuit, a memory circuit, and the like may be configured.
  • a plurality of pixel circuits are formed on the substrate 60, and pixel electrodes 111 are formed in each pixel circuit (see FIG. 20A).
  • a conductive film to be the pixel electrode 111 is formed, a resist mask is formed by photolithography, and unnecessary portions of the conductive film are removed by etching. After that, the pixel electrode 111 can be formed by removing the resist mask.
  • the pixel electrode 111 it is preferable to use a material (for example, silver or aluminum) that has a high reflectance over the entire wavelength range of visible light.
  • the pixel electrode 111 formed of the material can be said to be an electrode having light reflectivity. Thereby, not only can the light extraction efficiency of the light emitting device be improved, but also the color reproducibility can be improved.
  • the light-emitting device has a micro-optical resonator (microcavity) structure. Therefore, one of the pair of electrodes of the light-emitting device preferably has an electrode (semi-transmissive/semi-reflective electrode) that is transparent and reflective to visible light, and the other is an electrode that is reflective to visible light ( reflective electrode). Since the light-emitting device has a microcavity structure, the light emitted from the light-emitting layer can be resonated between both electrodes, and the light emitted from the light-emitting device can be enhanced. Therefore, the pixel electrode 111 may have a layered structure of the material with high reflectance and a light-transmitting conductive film (indium tin oxide or the like).
  • a baking process is performed to remove moisture remaining on the surface of the pixel electrode 111 .
  • the baking process can be performed in a vacuum baking apparatus or a film forming apparatus.
  • the vacuum baking conditions are preferably 100° C. or higher.
  • surface treatment of the pixel electrode 111 is performed.
  • plasma is generated from a fluorine-based gas such as CF 4 and the surface of the pixel electrode 111 is irradiated with the plasma.
  • CF 4 fluorine-based gas
  • adhesion between the pixel electrode 111 and an EL film formed in the next step can be improved, and peeling defects can be suppressed.
  • the EL film 112Rf has a film containing at least a red light-emitting organic compound.
  • a structure in which an electron injection layer, an electron transport layer, a charge generation layer, a hole transport layer, and a hole injection layer are laminated may be employed.
  • the EL film 112Rf can be formed by vapor deposition, sputtering, or the like, for example. Note that the method is not limited to this, and the film forming method described above can be used as appropriate.
  • a protective film 125Rf which later becomes the protective layer 125R, is formed on the EL film 112Rf (see FIG. 20B).
  • the protective layer 125R is a temporary protective layer used to prevent deterioration and disappearance of the EL layer 112R during the manufacturing process of the light emitting device, and is also called a sacrificial layer.
  • the protective film 125Rf has a high barrier property against moisture and the like, and is preferably formed by a film formation method that does not easily damage the organic compound during film formation. In addition, it is preferable to use a material for which an etchant that hardly damages an organic compound can be used in an etching process.
  • An inorganic film such as a metal film, an alloy film, a metal oxide film, a semiconductor film, or an inorganic insulating film can be used for the protective film 125Rf.
  • a metal such as tungsten, an inorganic insulating film such as aluminum oxide, or a laminated film thereof.
  • a stacked structure of an aluminum oxide film formed by an ALD method and a silicon nitride film formed by a sputtering method may be used.
  • the film formation temperature is set to be room temperature or higher and 120° C. or lower, preferably room temperature or higher and 100° C. or lower when the film is formed by the ALD method or the sputtering method, so that the effect on the EL layer is reduced.
  • the protective layer 125R is a laminated film
  • the stress of each layer constituting the laminated film is -500 MPa or more and +500 MPa or less, more preferably -200 MPa or more and +200 MPa or less, so that process troubles such as film peeling and peeling can be suppressed. .
  • a resist mask 143a is formed on the pixel electrode 111 corresponding to the light emitting device 110R (see FIG. 20C).
  • the resist mask 143a can be formed by a lithography process.
  • the protective film 125Rf is etched using the resist mask 143a as a mask to form an island-shaped protective layer 125R.
  • a dry etching method or a wet etching method can be used for the etching process.
  • the resist mask 143a is removed by ashing or resist remover (see FIG. 20D).
  • EL layer 112Rf is etched using the protective layer 125R as a mask to form an island-shaped EL layer 112R (see FIG. 20E).
  • a dry etching method is preferably used for the etching step.
  • the side surface of the EL layer 112R and the like are cleaned using a plasma processing apparatus or the like.
  • a protective film 126Rf and a protective film 128Rf are formed to cover the EL layer 112R and the protective layer 125R (see FIG. 20F).
  • An inorganic film or the like similar to the protective film 125Rf can be used for the protective film 126Rf and the protective film 128Rf.
  • the protective film 126Rf and the protective film 128Rf are preferably formed by the ALD method, which has excellent coverage.
  • the protective film 126Rf may be formed by ALD, and the protective film 128Rf may be formed by CVD or sputtering.
  • the protective film 126Rf can be aluminum oxide and the protective film 128Rf can be silicon nitride.
  • the protective film 126Rf and the protective film 128Rf are anisotropically etched using a dry etching method to leave a part of the protective film 126Rf and the protective film 128Rf, thereby forming the protective layer 126R and the protective layer 128R (FIG. 21A). reference).
  • the protective layer 126R and the protective layer 128R are formed on the side surface of the EL layer 112R, the protective layer 125R, and the side surface of the pixel electrode 111, but it is sufficient to cover at least the side surface of the EL layer 112R.
  • a baking process is performed to remove moisture remaining on the surface of the pixel electrode 111 .
  • the baking process can be performed in a vacuum baking apparatus or a film forming apparatus.
  • the vacuum baking conditions are 100° C. or lower, preferably 90° C. or lower, more preferably 80° C. or lower so as not to damage the EL layer 112R.
  • TDS temperature-programmed desorption spectroscopy
  • a plasma processing apparatus is used to generate plasma from a fluorine-based gas such as CF4, and the surface of the pixel electrode 111 is irradiated with the plasma. Then, on the pixel electrode 111, an EL film 112Gf to be the EL layer 112G is formed.
  • the EL film 112Gf has a film containing at least a green light-emitting organic compound.
  • a structure in which an electron injection layer, an electron transport layer, a charge generation layer, a hole transport layer, and a hole injection layer are laminated may be employed.
  • a protective film 125Gf which later becomes the protective layer 125G, is formed on the EL film 112Gf (see FIG. 21B).
  • the protective film 125Gf can be made of the same material as the protective film 125Rf.
  • a resist mask 143b is formed on the pixel electrode 111 corresponding to the light emitting device 110G (see FIG. 21C).
  • the resist mask 143b can be formed by a lithography process.
  • the protective film 125Gf is etched using the resist mask 143b as a mask to form an island-shaped protective layer 125G.
  • a dry etching method or a wet etching method can be used for the etching process.
  • the resist mask 143b is removed by ashing or resist remover (see FIG. 21D).
  • EL layer 112Gf is etched using the protective layer 125G as a mask to form an island-shaped EL layer 112G (see FIG. 21E).
  • a dry etching method is preferably used for the etching step.
  • the side surfaces of the EL layer 112G and the like are cleaned using a plasma processing apparatus or the like.
  • a protective film 126Gf and a protective film 128Gf are formed to cover the EL layer 112G and the protective layer 125G (see FIG. 21F).
  • An inorganic film or the like similar to the protective film 126Rf can be used for the protective film 126Gf.
  • the protective film 128Gf can use an inorganic film or the like similar to the protective film 128Rf.
  • the protective film 126Gf and the protective film 128Gf are anisotropically etched using a dry etching method to leave a part of the protective film 126Gf and the protective film 128Gf, thereby forming the protective layer 126G and the protective layer 128G (FIG. 22A). reference).
  • the protective layer 126G and the protective layer 128G are formed on the side surfaces of the EL layer 112G, the protective layer 125G, and the pixel electrode 111, but it is sufficient to cover at least the side surface of the EL layer 112G.
  • the protective layer 126G and the protective layer 128G may be formed so as to overlap with the protective layer 126R and the protective layer 128R.
  • a baking process is performed to remove moisture remaining on the surface of the pixel electrode 111 .
  • the baking process can be performed in a vacuum baking apparatus or a film forming apparatus.
  • the vacuum baking conditions are 100° C. or lower, preferably 90° C. or lower, more preferably 80° C. or lower so as not to damage the EL layers 112R and 112G.
  • surface treatment of the exposed pixel electrode 111 is performed.
  • plasma is generated from a fluorine-based gas such as CF 4 and the surface of the pixel electrode 111 is irradiated with the plasma.
  • an EL film 112Bf to be the EL layer 112B is formed on the pixel electrode 111.
  • the EL film 112Bf has a film containing at least a blue light-emitting organic compound.
  • a structure in which an electron injection layer, an electron transport layer, a charge generation layer, a hole transport layer, and a hole injection layer are laminated may be employed.
  • a protective film 125Bf which later becomes the protective layer 125B, is formed on the EL film 112Bf (see FIG. 22B).
  • the protective film 125Bf can be made of the same material as the protective film 125Rf.
  • a resist mask 143c is formed on the pixel electrode 111 corresponding to the light emitting device 110B (see FIG. 22C).
  • the resist mask 143c can be formed by a lithography process.
  • the protective film 125Bf is etched using the resist mask 143c as a mask to form the island-shaped protective layer 125B.
  • a dry etching method or a wet etching method can be used for the etching process.
  • the resist mask 143c is removed by ashing or a resist remover (see FIG. 22D).
  • EL film 112Bf is etched using the protective layer 125B as a mask to form an island-shaped EL layer 112B (see FIG. 22E).
  • a dry etching method is preferably used for the etching step.
  • the side surfaces of the EL layer 112B and the like are cleaned using a plasma processing apparatus or the like.
  • a protective film 126Bf and a protective film 128Bf are formed to cover the EL layer 112B and the protective layer 125B (see FIG. 22F).
  • An inorganic film or the like similar to the protective film 126Rf can be used for the protective film 126Bf.
  • the protective film 128Bf can use an inorganic film or the like similar to the protective film 128Rf.
  • an insulating layer 127 is formed to fill between the pixel electrodes and between the EL layers (see FIG. 23A).
  • a step can be eliminated, and a conductive film (cathode) formed over the EL layer in a later step can be prevented from being disconnected.
  • the insulating layer 127 can also be called an interlayer insulating layer provided between the conductive film and the pixel electrode 111 .
  • An insulating layer containing an organic material is preferably used for the insulating layer 127 .
  • acrylic resin, polyimide resin, epoxy resin, imide resin, polyamide resin, polyimideamide resin, silicone resin, siloxane resin, benzocyclobutene resin, phenol resin, and precursors of these resins are applied. can do.
  • an organic material such as polyvinyl alcohol (PVA), polyvinyl butyral, polyvinylpyrrolidone, polyethylene glycol, polyglycerin, pullulan, water-soluble cellulose, or alcohol-soluble polyamide resin may be used for the insulating layer 127 .
  • a photosensitive resin such as an ultraviolet curable resin can be used as the insulating layer 127.
  • the photosensitive resin may be either a positive-type material or a negative-type material, and may be formed by a process similar to the lithography process using, for example, a photoresist or the like.
  • the insulating layer 127 is preferably baked at a temperature within a range in which the EL layer is not damaged in order to reduce moisture and oxygen contained in the insulating layer 127 .
  • an ashing process is performed to planarize the insulating layer 127 (see FIG. 23B). If there is a region where the insulating layer 127 overlaps with each EL layer, the aperture ratio is reduced; therefore, it is preferable that the insulating layer 127 is not over each EL layer. Note that this step is not necessary if the insulating layer 127 is not formed over each EL layer when the insulating layer 127 is formed. Also, if the insulating layer 127 on each EL layer can be removed, the upper surface of the insulating layer 127 may be slightly concave or convex as indicated by the dashed lines in the drawing.
  • a barrier film 130f is formed on the protective film 128Bf and the insulating layer 127 (see FIG. 23C).
  • the barrier film 130f can be formed by using an inorganic film similar to the protective film 125Rf by CVD, ALD, sputtering, or the like.
  • a resist mask 143d is formed over the insulating layer 127 (see FIG. 23D).
  • the resist mask 143d can be formed by a lithography process.
  • the resist mask 143d is preferably formed so as not to overlap with each EL layer.
  • the protective layer 126B is formed by etching the protective film 126Bf using the barrier layer 130 as a mask. Furthermore, protective layers 125R, 125G, and 125B are removed (see FIG. 23F).
  • the protective layer 126B and the protective layer 128B are formed on the side surface of the EL layer 112B, the protective layer 125B, and the side surface of the pixel electrode 111, but it is sufficient to cover at least the side surface of the EL layer 112B.
  • the protective layer 126B and the protective layer 128B may be formed so as to overlap with the protective layer 126G and the protective layer 128G.
  • a wet etching method using an etchant suitable for the constituent material is preferably used for etching a portion of the protective film 126Bf and removing the protective layers 125R, 125G, and 125B.
  • baking treatment is preferably performed after this step.
  • the baking process can be performed by a vacuum baking apparatus or a film forming apparatus for the next process.
  • the vacuum baking conditions are 100° C. or lower, preferably 90° C. or lower, more preferably 80° C. or lower so as not to damage the EL layers 112R, 112G, and 112B.
  • vacuum baking is preferable to air baking because degassing such as moisture can be desorbed at a lower temperature.
  • the ultimate vacuum pressure for vacuum baking is not particularly limited, and may be a pressure lower than normal pressure.
  • a conductive layer that becomes the common electrode 113 of the light-emitting device is formed on the EL layer 112R, the EL layer 112G, the EL layer 112B, and the barrier layer 130 exposed in the previous step (see FIG. 24A).
  • a thin metal film for example, an alloy of silver and magnesium
  • a light-transmitting conductive film for example, indium tin oxide, or indium, gallium, zinc, or the like
  • a single film or a laminated film of both can be used.
  • the common electrode 113 made of such a film can be said to be an electrode having light transmission properties.
  • An evaporation apparatus and/or a sputtering apparatus, or the like can be used for the step of forming the conductive layer to be the common electrode 113 .
  • a layer having the function of any one of an electron injection layer, an electron transport layer, a charge generation layer, a hole transport layer, and a hole injection layer is used as a common layer. It may be provided over the layer 112R, the EL layer 112G, and the EL layer 112B.
  • a light-reflective electrode as the pixel electrode 111 and a light-transmitting electrode as the common electrode 113 , light emitted from the light-emitting layer can be emitted to the outside through the common electrode 113 . That is, a top emission type light emitting device is formed.
  • a protective layer 121 is formed on the common electrode 113 (see FIG. 24B).
  • a sputtering apparatus, a CVD apparatus, an ALD apparatus, or the like can be used for the step of forming the protective layer 121 .
  • FIG. 24C is an enlarged view of the region a shown in FIG. 24B.
  • 24D is an enlarged view of region b shown in FIG. 24B.
  • the pixel electrode and the EL layer may have the same area as illustrated in FIG. 24E.
  • the EL layer may have a larger area than the pixel electrode. With such a configuration, the aperture ratio can be further increased.
  • FIG. 25 shows an example of a manufacturing apparatus that can be used for the manufacturing steps from the formation of the EL film 112Rf to the formation of the protective layer 121 described above.
  • the basic configuration of the manufacturing apparatus shown in FIG. 25 is the same as the manufacturing apparatus shown in FIGS.
  • FIG. 25 is a schematic perspective view of the entire manufacturing apparatus, omitting the illustration of utilities, gate valves, and the like. Also, the insides of the transfer chambers TF1 to TF18 and the load lock chambers B1 to B17 are visualized for clarity.
  • the cluster C1 has a load chamber LD and normal pressure process devices A1 and A2.
  • the atmospheric process apparatus A1 can be a cleaning apparatus, and the atmospheric process apparatus A2 can be a baking apparatus.
  • a cleaning process is performed before forming the EL film 112Rf.
  • Cluster C2 has vacuum process equipment V1 to V5.
  • the vacuum process apparatuses V1 to V5 include a surface treatment apparatus for surface treatment of a base (pixel electrode) for forming the EL film 112Rf, a vapor deposition apparatus for forming the EL film 112Rf, and a protective film 125Rf.
  • a film forming apparatus for example, a sputtering apparatus, an ALD apparatus, etc.
  • the vacuum process apparatus V1 can be used as a plasma processing apparatus
  • the vacuum process apparatus V2 can be used as an apparatus for forming an organic compound layer serving as a light-emitting layer (R).
  • the vacuum process apparatuses V3 and V4 can be assigned to forming apparatuses for forming organic compound layers such as an electron injection layer, an electron transport layer, a charge generation layer, a hole transport layer, and a hole injection layer.
  • the vacuum process apparatus V5 can be assigned to the apparatus for forming the protective film 125Rf.
  • Cluster C3 has atmospheric process equipment A3 through A7.
  • the atmospheric pressure process apparatuses A3 to A7 can be apparatuses used in the lithography process.
  • the normal pressure process equipment A3 is a resin (photoresist) coater
  • the normal pressure process equipment A4 is a prebake equipment
  • the normal pressure process equipment A5 is an exposure equipment
  • the normal pressure process equipment A6 is a development equipment
  • the normal pressure process equipment A7 is a post. It can be a baking device.
  • the normal pressure process apparatus A5 may be used as a nanoimprint apparatus.
  • Cluster C4 has vacuum process equipment V6 to V10.
  • the vacuum process equipment V6 can be a dry etching equipment for forming the EL layer 112R.
  • the vacuum process device V7 can be a plasma processing device that cleans the side surfaces of the EL layer 112R.
  • the vacuum process device V8 can be a waiting room.
  • the vacuum process apparatus V9 can be an ALD apparatus for forming the protective films 126Rf and 128Rf.
  • Vacuum process equipment V10 can be a dry etching equipment for forming protective layer 126R and protective layer 128R.
  • Cluster C5 has atmospheric process units A8 and A9.
  • the atmospheric process apparatus A8 can be a cleaning apparatus, and the atmospheric process apparatus A9 can be a baking apparatus.
  • cluster C5 a cleaning process is performed before forming the EL film 112Gf.
  • Cluster C6 has vacuum process equipment V11 to V15.
  • the vacuum process apparatuses V11 to V15 include a surface treatment apparatus for surface treatment of a base (pixel electrode) for forming the EL film 112Gf, a vapor deposition apparatus for forming the EL film 112Gf, and a protective film 125Gf.
  • a film forming apparatus for example, a sputtering apparatus, an ALD apparatus, etc.
  • the vacuum process apparatus V11 can be used as a plasma processing apparatus
  • the vacuum process apparatus V12 can be used as an apparatus for forming an organic compound layer serving as a light emitting layer (G).
  • the vacuum process apparatuses V13 and V14 can be assigned to forming apparatuses for forming organic compound layers such as an electron injection layer, an electron transport layer, a charge generation layer, a hole transport layer, and a hole injection layer.
  • the vacuum process apparatus V15 can be assigned to the protective film 125Gf forming apparatus.
  • Cluster C7 has atmospheric process equipment A10 to A14.
  • the atmospheric pressure process apparatuses A10 to A14 can be apparatuses used for lithography processes.
  • the device allocation can be similar to cluster C3.
  • Cluster C8 has vacuum process equipment V16 to V20.
  • the vacuum process equipment V16 can be a dry etching equipment for forming the EL layer 112G.
  • the vacuum process device V17 can be a plasma processing device that cleans the side surfaces of the EL layer 112G.
  • the vacuum process device V18 can be a waiting room.
  • the vacuum process apparatus V19 can be an ALD apparatus for forming the protective films 126Gf and 128Gf.
  • Vacuum process equipment V20 can be a dry etching equipment for forming protective layer 126G and protective layer 128G.
  • Cluster C9 has atmospheric process equipment A15 and A16.
  • the atmospheric process apparatus A15 can be a cleaning apparatus, and the atmospheric process apparatus A16 can be a baking apparatus.
  • cluster C9 a cleaning process is performed before forming the EL film 112Bf.
  • Cluster C10 has vacuum process equipment V21 to V25.
  • the vacuum process apparatuses V21 to V25 include a surface treatment apparatus for surface treatment of a base (pixel electrode) for forming the EL film 112Bf, a vapor deposition apparatus for forming the EL film 112Bf, and a protective film 125Bf for forming the protective film 125Bf.
  • a film forming apparatus for example, a sputtering apparatus, an ALD apparatus, etc.
  • the vacuum process apparatus V21 can be used as a plasma processing apparatus
  • the vacuum process apparatus V22 can be used as an apparatus for forming an organic compound layer serving as a light-emitting layer (B).
  • the vacuum process apparatuses V23 and V24 can be assigned to apparatuses for forming organic compound layers such as an electron injection layer, an electron transport layer, a charge generation layer, a hole transport layer, and a hole injection layer.
  • the vacuum process apparatus V25 can be assigned to the apparatus for forming the protective film 125Bf.
  • Cluster C11 has atmospheric process equipment A17 to A21.
  • the atmospheric pressure process equipment A17 to A21 can be equipment used in the lithography process.
  • the device allocation can be similar to cluster C3.
  • Cluster C12 has vacuum process equipment V26 to V29.
  • the vacuum process equipment V26 can be a dry etching equipment for forming the EL layer 112B.
  • the vacuum process device V27 can be a plasma processing device that cleans the side surfaces of the EL layer 112G.
  • the vacuum process device V28 can be a waiting room.
  • the vacuum process device V29 can be an ALD device that forms the protective film 126Bf and the protective film 128Bf.
  • Cluster C13 has atmospheric process equipment A22 to A26. Atmospheric process equipment A22 to A26 can be equipment used in lithography processes. The device allocation can be similar to cluster C3.
  • Cluster C14 has vacuum process equipment V30 and V31.
  • the vacuum process equipment V30 can be an ashing equipment for flattening the insulating layer 127 or a dry etching equipment having an ashing function.
  • the vacuum process device V31 can be a film forming device (for example, a sputtering device, an ALD device, a CVD device, etc.) for forming the barrier film 130f.
  • Cluster C15 has atmospheric process equipment A27 to A31.
  • the atmospheric pressure process equipment A27 to A31 can be equipment used in the lithography process.
  • the device allocation can be similar to cluster C3.
  • Cluster C16 has vacuum process equipment V32.
  • the vacuum process equipment V32 can be a dry etching equipment for etching the barrier film 130f and the protective film 128Bf.
  • Cluster C17 has atmospheric process units A32 and A33.
  • the atmospheric pressure process equipment A32 can be a wet etching equipment. In the normal pressure process equipment A32, etching steps of the protective film 126Bf and the protective layers 125R, 125G and 125B are performed.
  • Cluster C18 has vacuum process equipment V33 to V35 and unload chamber ULD.
  • the vacuum process apparatus V33 can be assigned to a forming apparatus (for example, a vapor deposition apparatus) for forming any one of organic compound layers such as an electron injection layer, an electron transport layer, a charge generation layer, a hole transport layer, and a hole injection layer.
  • the vacuum process device V34 can be a film forming device (for example, a sputtering device) that forms the common electrode 113 .
  • the vacuum process device V35 can be a film forming device (for example, a sputtering device) that forms the protective layer 121 .
  • a vacuum process apparatus V may be provided separately, a plurality of different film forming apparatuses (eg, a vapor deposition apparatus, an ALD apparatus, etc.) may be provided, and the common electrode 113 and the protective layer 121 may be formed of laminated films.
  • a vapor deposition apparatus e.g., a vapor deposition apparatus, an ALD apparatus, etc.
  • the common electrode 113 and the protective layer 121 may be formed of laminated films.
  • Tables 1 and 2 summarize elements corresponding to the process using the manufacturing apparatus shown in FIG. 25, the processing apparatus, and the manufacturing method shown in FIGS. 20A to 24B. It should be noted that the loading and unloading of substrates into and out of the load lock chamber and each device are omitted.
  • a manufacturing apparatus includes process Nos. shown in Tables 1 and 2. 1 to process No. It has a function to automatically process up to 72.

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Electroluminescent Light Sources (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

有機化合物膜の加工から封止までの工程を連続処理できる製造装置を提供する。 発光デバイスのパターニング工程および有機層の表面および側面が大気暴露されないように封止する工程を連続して行える製造装置であり、高輝度、高信頼性の微細な発光デバイスを形成することができる。また、当該製造装置は、発光デバイスの工程順に装置が配置されたインライン型の製造装置に組み込むことができ、高スループットで製造を行うことができる。

Description

発光デバイスの製造装置
本発明の一態様は、発光デバイスの製造装置および製造方法に関する。
なお、本発明の一態様は、上記の技術分野に限定されない。本明細書等で開示する発明の一態様の技術分野は、物、方法、または、製造方法に関するものである。または、本発明の一態様は、プロセス、マシン、マニュファクチャ、または、組成物(コンポジション・オブ・マター)に関するものである。そのため、より具体的に本明細書で開示する本発明の一態様の技術分野としては、半導体装置、表示装置、液晶表示装置、発光装置、照明装置、蓄電装置、記憶装置、撮像装置、それらの動作方法、または、それらの製造方法、を一例として挙げることができる。
近年、ディスプレイパネルの高精細化が求められている。高精細なディスプレイパネルが要求される機器としては、例えばスマートフォン、タブレット端末、ノート型コンピュータなどがある。また、テレビジョン装置、モニター装置などの据え置き型のディスプレイ装置においても、高解像度化に伴う高精細化が求められている。さらに、最も高精細度が要求される機器としては、例えば、仮想現実(VR:Virtual Reality)、または拡張現実(AR:Augmented Reality)向けの機器がある。
また、ディスプレイパネルに適用可能な表示装置としては、代表的には液晶表示装置、有機EL(Electro Luminescence)素子または発光ダイオード(LED:Light Emitting Diode)等の発光デバイスを備える発光装置、電気泳動方式などにより表示を行う電子ペーパなどが挙げられる。
例えば、発光素子である有機EL素子の基本的な構成は、一対の電極間に発光性の有機化合物を含む層を挟持したものである。この素子に電圧を印加することにより、発光性の有機化合物から発光を得ることができる。このような有機EL素子が適用された表示装置は、液晶表示装置等で必要であったバックライトが不要なため、薄型、軽量、高コントラストで且つ低消費電力な表示装置を実現できる。例えば、有機EL素子を用いた表示装置の一例が、特許文献1に記載されている。
特開2002−324673号公報
フルカラー表示が可能な有機EL表示装置では、白色発光デバイスとカラーフィルタとを組み合わせた構成と、R(赤)、G(緑)、B(青)のそれぞれの発光デバイスを同一面上に形成する構成が知られている。
消費電力の面では後者の構成が理想的であり、現状では中小型パネルの製造では、メタルマスクなどを用いて発光材料の塗分けが行われている。しかしながら、メタルマスクを用いたプロセスでは合わせ精度が低いため、画素内において発光デバイスの占有面積を小さくしなければならず、開口率を高めにくい。
そのため、メタルマスクを用いたプロセスでは、画素の高密度化または発光強度を高めることに課題を有する。開口率を高めるには、リソグラフィ工程などを用いて発光デバイスの面積を拡大することが好ましい。しかしながら、発光デバイスを構成する材料は大気中の不純物(水、酸素、水素など)の侵入によって信頼性が悪化するため、複数の工程を雰囲気が制御された領域で行う必要がある。
または、メタルマスクを用いた真空蒸着法を用いて発光デバイスを作製する場合、製造装置が複数ライン必要となるといった課題を有する。例えば、定期的にメタルマスクを洗浄する必要があるため、少なくとも2ライン以上の製造装置を準備し、一つの製造装置をメンテナンス中に他の製造装置を用いて製造する必要があるため、量産を考慮すると、製造装置が複数ライン必要となる。したがって、製造装置を導入するための初期投資が非常に大きくなるといった課題を有する。
したがって、本発明の一態様では、有機化合物膜の加工から封止までの工程を大気開放することなく連続で行うことができる発光デバイスの製造装置を提供することを目的の一つとする。または、発光デバイスの形成から封止までの工程を連続処理できる発光デバイスの製造装置を提供することを目的の一つとする。または、メタルマスクを用いずに発光デバイスを形成することができる発光デバイスの製造装置を提供することを目的の一つとする。または、発光デバイスの製造方法を提供することを目的の一つとする。
なお、これらの課題の記載は、他の課題の存在を妨げるものではない。なお、本発明の一態様は、これらの課題の全てを解決する必要はないものとする。なお、これら以外の課題は、明細書、図面、請求項などの記載から、自ずと明らかとなるものであり、明細書、図面、請求項などの記載から、これら以外の課題を抽出することが可能である。
本発明の一態様は、発光デバイスの製造装置に関する。
本発明の一態様は、ロード室と、第1のエッチング装置と、プラズマ処理装置と、待機室と、第1の成膜装置と、第2の成膜装置と、第2のエッチング装置と、アンロード室と、トランスファー室と、搬送装置と、を有し、搬送装置は、トランスファー室に設けられ、ロード室、第1のエッチング装置、プラズマ処理装置、待機室、第1の成膜装置、第2の成膜装置、第2のエッチング装置、およびアンロード室は、トランスファー室とそれぞれゲートバルブを介して接続され、搬送装置は、ロード室、第1のエッチング装置、プラズマ処理装置、待機室、第1の成膜装置、第2の成膜装置、第2のエッチング装置、およびアンロード室のいずれか一つから、他のいずれか一つに被加工物を移載することができ、ガラス基板上に有機化合物膜、第1の無機膜およびレジストマスクが順に積層された被加工物をロード室に搬入し、第1のエッチング装置、プラズマ処理装置、待機室、第1の成膜装置、第2の成膜装置、第2のエッチング装置の順で被加工物を搬送し、有機化合物膜を島状の有機化合物層に加工し、有機化合物層の側面に保護層を形成して、被加工物をアンロード室に搬出する発光デバイスの製造装置である。
第1のエッチング装置はドライエッチング装置であり、レジストマスクをマスクとして第1の無機膜を島状に形成し、島状の第1の無機膜をマスクとして有機化合物膜を島状の有機化合物層に形成することができる。
また、第1のエッチング装置は、レジストマスクを除去するアッシング機能を有することができる。
プラズマ処理装置は、不活性ガスから生成されたプラズマを島状の有機化合物層の側面に照射し、島状の有機化合物層の側面のクリーニングを行うことができる。
待機室は、複数の被加工物を収納することができる。
第1の成膜装置および第2の成膜装置の一方はALD装置であり、第1の成膜装置および第2の成膜装置の他方はスパッタリング装置またはCVD装置であり、島状の第1の無機膜および島状の有機化合物層を覆う2層構造の第2の無機膜を成膜することができる。また、ALD装置はバッチ式とすることができる。
第2のエッチング装置はドライエッチング装置であり、第2の無機膜を異方性エッチングすることにより、島状の有機化合物層の側面に保護層を形成することができる。
上記の発光デバイスの製造装置を第3のクラスタとし、レジストマスクのフォトリソグラフィ工程を行う複数の装置を第2のクラスタとし、有機化合物膜および第1の無機膜の成膜工程を行う複数の装置を第1のクラスタとして発光デバイスの製造装置を構成してもよい。
第1のクラスタ、第2のクラスタ、第3のクラスタは、当該順序で接続することができる。
また、第1のクラスタと第2のクラスタとの間、および第2のクラスタと第3のクラスタとの間において、被加工物を不活性ガス雰囲気に制御された容器に収納して移載してもよい。
また、第1のクラスタ、第2のクラスタ、第3のクラスタの組み合わせを3個有して発光デバイスの製造装置を構成してもよい。
第1のクラスタは表面処理装置を有してもよい。表面処理装置は、ハロゲンを含むガスから生成されたプラズマを用いることができる。
第1のクラスタは、蒸着装置、スパッタリング装置、CVD装置、ALD装置から選ばれる一つ以上の成膜装置を有することができる。
第2のクラスタは、塗布装置、露光装置、現像装置、およびベーク装置を有することができる。
本発明の一態様を用いることで、有機化合物膜の加工から封止までの工程を大気開放することなく連続で行うことができる発光デバイスの製造装置を提供することができる。または、発光デバイスの形成から封止までの工程を連続処理できる発光デバイスの製造装置を提供することができる。または、メタルマスクを用いずに発光デバイスを形成することができる発光デバイスの製造装置を提供することができる。または、発光デバイスの製造方法を提供することができる。
なお、これらの効果の記載は、他の効果の存在を妨げるものではない。なお、本発明の一態様は、必ずしも、これらの効果の全てを有する必要はない。なお、これら以外の効果は、明細書、図面、請求項などの記載から抽出することが可能である。
図1は、製造装置を説明する図である。
図2Aおよび図2Bは、製造装置を説明する図である。
図3は、製造装置を説明するブロック図である。
図4は、製造装置を説明する図である。
図5は、製造装置を説明する図である。
図6は、製造装置を説明する図である。
図7は、製造装置を説明する図である。
図8は、製造装置を説明する図である。
図9は、製造装置を説明するブロック図である。
図10は、製造装置を説明する図である。
図11は、製造装置を説明する図である。
図12は、製造装置を説明する図である。
図13Aおよび図13Bは、カセットの搬出入を説明する図である。図13Cは、搬送車及び搬送容器を説明する図である。
図14A乃至図14Cは、成膜装置を説明する図である。
図15A乃至図15Cは、成膜装置への基板の搬入および成膜装置の動作を説明する図である。
図16Aおよび図16Bは、成膜装置の動作を説明する図である。図16Cは、マスクユニットを説明する図である。
図17A乃至図17Fは、真空プロセス装置を説明する図である。
図18は、表示装置を説明する図である。
図19A乃至図19Cは、表示装置を説明する図である。
図20A乃至図20Fは、表示装置の作製方法を説明する図である。
図21A乃至図21Fは、表示装置の作製方法を説明する図である。
図22A乃至図22Fは、表示装置の作製方法を説明する図である。
図23A乃至図23Fは、表示装置の作製方法を説明する図である。
図24Aおよび図24Bは、表示装置の作製方法を説明する図である。図24Cおよび図24Dは、図24Bの拡大図である。図24Eおよび図24Fは、表示装置を説明する図である。
図25は、製造装置を説明する図である。
実施の形態について、図面を用いて詳細に説明する。但し、本発明は以下の説明に限定されず、本発明の趣旨およびその範囲から逸脱することなくその形態および詳細を様々に変更し得ることは当業者であれば容易に理解される。したがって、本発明は以下に示す実施の形態の記載内容に限定して解釈されるものではない。なお、以下に説明する発明の構成において、同一部分または同様な機能を有する部分には同一の符号を異なる図面間で共通して用い、その繰り返しの説明は省略することがある。なお、図を構成する同じ要素のハッチングを異なる図面間で適宜省略または変更する場合もある。
(実施の形態1)
本実施の形態では、本発明の一態様である発光デバイスの製造装置について、図面を参照して説明する。
本発明の一態様は、主に有機EL素子などの発光デバイス(発光素子とも言う)を有する表示装置の形成に用いられる製造装置である。有機EL素子の微細化または画素における占有面積の増大を行うには、リソグラフィ工程を用いることが好ましい。しかしながら、有機EL素子に水、酸素、水素などの不純物が侵入すると信頼性を損なってしまう。したがって、パターニングされた有機層の表面および側面が大気暴露されないように封止すること、および製造段階から露点の低い不活性ガス雰囲気に制御するなどの工夫が必要である。
また、本発明の一態様の製造装置は、有機EL素子を形成するための成膜工程、リソグラフィ工程、エッチング工程、および封止工程を大気開放することなく連続して行うことができる。したがって、高輝度、高信頼性の微細な有機EL素子を形成することができる。また、本発明の一態様の製造装置は、発光デバイスの工程順に装置が配置されたインライン型であり、高スループットで製造を行うことができる。
また、有機EL素子を形成する支持基板として、ガラス基板等の大型基板を用いることができる。予め画素回路などを形成したガラス基板を支持基板として用い、これらの回路上に有機EL素子を形成することができる。ガラス基板としては、例えばG5乃至G10などの大型の角形基板を用いることができる。なお、これらに限定されず、丸形の基板、小型の基板などを用いることもできる。
<構成例1>
図1は、本発明の一態様である発光デバイスの製造装置を説明する図である。当該製造装置では、発光デバイスの作製工程において、有機化合物膜を島状の有機化合物層に加工する工程および当該有機化合物層を保護する層を形成する工程を行うことができる。したがって、発光デバイスの構成要素である有機化合物層が大気暴露されない状態でアンロード室から取り出すことができるため、信頼性の高い発光デバイスを形成することができる。
製造装置は、ロード室LD、アンロード室ULD、待機室W、トランスファー室TF、および複数の処理チャンバーを有する。トランスファー室TFには搬送装置70が設けられる。
ロード室LD、待機室W、アンロード室ULDおよび複数の処理チャンバーは、それぞれゲートバルブ20を介してトランスファー室TFと接続される。
搬送装置70は、ロード室LD、待機室W、アンロード室ULD、個々の処理チャンバーのいずれか一つから、他のいずれか一つに被加工物を移載することができる。なお、本明細書において、搬送装置などを共有する装置群をクラスタと呼ぶ。また、被加工物とは、製造装置における加工の対象となる物であって、加工前の物に限らず、複数の加工が施された物も含む。
なお、製造装置の稼働時には、ロード室LDおよびアンロード室ULDは、減圧または常圧に制御される。また、トランスファー室TF、待機室Wおよび複数の処理チャンバーは減圧に制御される。
複数の処理チャンバーには、例えば、エッチング装置E1、プラズマ処理装置C、成膜装置D、およびエッチング装置E2を適用することができる。また、当該製造装置に投入する被加工物は、例えば、有機化合物膜、無機膜、およびレジストマスクを順に積層した積層物を有することができる。
エッチング装置E1は、ドライエッチング装置とすることができる。エッチング装置E1は、被加工物である無機膜および有機化合物膜を島状の有機化合物層に加工する工程に用いることができる。また、エッチング装置E1は、アッシング機能を備えていてもよい。アッシング機能により、レジストマスクを除去することができる。
プラズマ処理装置Cは、例えば、平行平板型の一対の電極を有し、減圧下の不活性ガス雰囲気で当該電極に電圧を印加することでプラズマを発生させることができる。不活性ガスから生成されたプラズマを被加工物に照射することにより、被加工物の表面に残存する反応生成物および吸着ガス等の除去を行うことができる。不活性ガスとしては、例えば、高純度のヘリウム、アルゴン、ネオンなどの貴ガス、窒素、または、それらの混合ガスなどを用いることができる。
また、上記プラズマ処理の前後いずれかにおいて、同装置内で真空ベーク処理を行い、表面吸着水などの除去を行うことが好ましい。真空ベーク処理の条件としては、有機化合物層を変質させない温度範囲で行うことが好ましく、例えば、70℃以上120℃以下、より好ましくは、80℃以上100℃以下とすることができる。なお、真空ベーク処理は、次工程の成膜前に成膜装置Dで行ってもよい。
待機室Wは、複数の被加工物を待機させることができる。例えば、成膜装置Dがバッチ処理式であるとき、エッチング装置E1およびプラズマ処理装置Cでの処理を進め、待機室Wで複数の被加工物を待機させておくことで、スループットを向上させることができる。
また、待機室Wを複数設けてもよい。例えば、成膜装置Dでバッチ処理が終了した後に、被加工物を待機させるための待機室Wを設けてもよい。成膜装置Dから全ての被加工物を取り出すことで、成膜装置Dで次の処理を行うことができ、スループットを向上させることができる。
成膜装置Dは、例えば、蒸着装置、スパッタリング装置、CVD(Chemical Vapor Deposition)装置、ALD(Atomic Layer Deposition)装置などの成膜装置を適用することができる。特に、被複性に優れるALD装置を用いることが好ましい。成膜装置Dにより、島状の有機化合物層を覆う無機膜などの保護膜を形成することができる。成膜装置Dでは、単層に限らず、異なる種類の膜を2層以上成膜することもできる。また、成膜装置Dはバッチ処理式に限らず、枚葉処理式であってもよい。
エッチング装置E2は、異方性エッチング処理が可能なドライエッチング装置とすることができる。島状の有機化合物層を覆う保護膜を異方性エッチングすることにより、島状の有機化合物層の側面に保護膜の一部を残すことができる。当該保護膜の一部は、島状の有機化合物層の側面を保護する保護層として機能させることができる。
島状の有機化合物層の上面に予め無機膜などを設けておくこと、および上記エッチング装置E1、プラズマ処理装置C、成膜装置D、エッチング装置E2での処理を順次行い、島状の有機化合物層の側面に保護層を設けることで、島状の有機化合物層は封止された状態になる。
したがって、加工後に被加工物をアンロード室から大気中に取り出した場合でも島状の有機化合物層は大気暴露されず、信頼性の高い発光デバイスを形成することができる。なお、当該製造装置を用いた発光デバイスの製造工程の詳細は後述する。
また、製造装置は、図2Aに示す構成としてもよい。図2Aに示す製造装置は、表面処理装置Sを有する点が図1に示す製造装置と異なる。
表面処理装置Sは、プラズマ処理装置Cと同様の構成とすることができ、表面処理工程を行うことができる。被加工物は、エッチング装置E2での処理によって表面状態(濡れ性など)が変化することがある。アンロード室ULDから搬出された被加工物の次工程が有機化合物膜の成膜である場合、被加工物の表面が適切な状態でなければピーリングなどの不良を引き起こすことがある。したがって、表面処理装置Sによるハロゲンを含むガスを用いたプラズマ処理で被加工物の表面状態を改善することが好ましい。
例えば、被成膜面が酸化物である場合、エッチング装置E1またはE2での処理で酸化物表面が親水性となる場合がある。この場合、フッ素系ガスを用いたプラズマ処理で被成膜面の表面の親水基をフッ素またはフルオロアルキル基で置換することで疎水化することができ、ピーリング不良を防止することができる。フッ素系ガスとしては、例えば、CF、C、C、C、CHFなどのフルオロカーボン、SF、NFなどを用いることができる。また、これらのガスに、ヘリウム、アルゴン、または水素などを添加してもよい。
または、表面処理装置Sとして、コーティング装置を用いてもよい。例えば、スピンコート、ディップコート、スプレーコートなどの方法、またはコーティング剤の雰囲気に被加工物を暴露する方法などを用いることができる。コーティング剤には、例えば、HMDS(Hexamethyldisilazane)などのシランカップリング剤を用いることができ、被加工物の表面を疎水化することができる。
なお、表面処理装置Sが不要な場合は、他の装置を表面処理装置Sの位置に設けてもよい。例えば、エッチング装置E1、プラズマ処理装置C、成膜装置D、エッチング装置E2のうち、処理時間の長い装置を複数とし、それらの装置で並行して処理することで、スループットを高めることができる。
また、成膜装置Dを複数設けてもよい。図1の製造装置が有する成膜装置Dでは、異なる種類の膜を2層以上設ける場合がある。成膜装置Dが一つであっても、成膜装置DがALD装置またはCVD装置の場合、原料ガスを切り替えること、また、スパッタリング装置ではターゲットを切り替えることで異なる膜を成膜することができる。
しかしながら、ALD装置とスパッタリング装置など、異なる形式の成膜装置を一つのチャンバーに設けることは困難である。したがって、成膜装置Dを複数設けてもよい。
または、表面処理装置Sの位置に設けた他の装置で、他の工程を行ってもよい。なお、図1の構成に表面処理装置Sを設けてもよい。また、表面処理装置Sは、成膜工程を担う別のクラスタに設けてもよい。
また、製造装置は、図2Bに示す構成としてもよい。図2Bに示す製造装置は、待機室Wを省いた点が図1に示す製造装置と異なる。
成膜装置Dの工程時間が装置全体のスループットの律速とならない場合は、待機室Wを省くことができる。例えば、成膜装置Dが枚葉式であり、高速成膜できる場合は、図2Bに示す構成とすることができる。
<構成例2>
図3は、本発明の一態様である発光デバイスの製造装置を説明するブロック図である。製造装置は、工程順に配置された複数のクラスタを有し、その一部に前述した構成例1の製造装置をクラスタとして有する。発光デバイスを形成する基板は、複数のクラスタを順に移動して各工程が施される。
図3に示す製造装置は、クラスタC1乃至クラスタC18を有する例である。クラスタC1乃至クラスタC18は順に接続され、クラスタC1に投入された基板60aは、発光デバイスが形成された基板60bとしてクラスタC18から取り出すことができる。
ここで、クラスタC1、C3、C5、C7、C9、C11、C13、C15、C17は、雰囲気制御下でプロセスを行うための装置群を有する。また、クラスタC2、C4、C6、C8、C10、C12、C14、C16、C18は、真空プロセス(減圧プロセス)を行うための装置群を有する。構成例1で示したクラスタは、クラスタC4、C8、C12として用いることができる。なお、構成例1で示したロード室LDおよびアンロード室ULDは、適宜ロードロック室に置き換えることができる。
クラスタC1、C5、C9は、主に基板の洗浄、およびベークを行うための装置等を有する。クラスタC2、C6、C10は、主に発光デバイスが有する有機化合物を形成するための装置等を有する。クラスタC3、C7、C11、C15は、主にリソグラフィ工程を行うための装置等を有する。クラスタC4、C8、C12、C14は、主にエッチング工程、アッシング工程、および保護層形成工程を行うための装置等を有する。クラスタC13は、樹脂の充填工程を行う装置等を有する。クラスタC16、C17は、主にエッチング工程を行う装置等を有する。クラスタC18は、主に発光デバイスが有する有機化合物を形成するための装置、および発光デバイスを封止する保護膜を形成するための装置等を有する。
次に、図4乃至図8を用いて、クラスタC1乃至クラスタC18の詳細を説明する。
<クラスタC1乃至クラスタC4>
図4は、クラスタC1乃至クラスタC4を説明する上面図である。クラスタC1は、ロードロック室B1を介してクラスタC2と接続される。クラスタC2は、ロードロック室B2を介してクラスタC3と接続される。クラスタC3は、ロードロック室B3を介してクラスタC4と接続される。クラスタC4は、ロードロック室B4を介してクラスタC5(図5参照)と接続される。
<常圧プロセス装置A>
クラスタC1およびクラスタC3は、常圧プロセス装置Aを有する。クラスタC1は、トランスファー室TF1と、主に常圧下で工程を行う常圧プロセス装置A(常圧プロセス装置A1、A2)を有する。クラスタC3は、トランスファー室TF3と、常圧プロセス装置A(常圧プロセス装置A3乃至A7)を有する。また、クラスタC1には、ロード室LDが設けられる。
なお、各クラスタが有する常圧プロセス装置Aの数は、目的に合わせて1つ以上であればよい。なお、常圧プロセス装置Aは、常圧下での工程に限らず、常圧よりも若干の陰圧または陽圧に制御されていてもよい。また、常圧プロセス装置Aが複数設けられる場合、それぞれで気圧が異なっていてもよい。
トランスファー室TF1、TF3および常圧プロセス装置Aには、不活性ガス(IG)を導入するバルブが接続され、それらの内部を不活性ガス雰囲気に制御することができる。不活性ガスとしては、窒素、またはアルゴン、ヘリウムなどの貴ガスを用いることができる。また、不活性ガスは露点が低いこと(例えば、マイナス50℃以下)が好ましい。露点が低い不活性ガス雰囲気で工程を行うことで、不純物の混入を防止でき、信頼性の高い発光デバイスを形成することができる。
クラスタC1が有する常圧プロセス装置Aとしては、洗浄装置、ベーク装置などを適用することができる。例えば、スピン洗浄装置、ホットプレート型のベーク装置などを適用することができる。なお、ベーク装置は、真空ベーク装置であってもよい。
クラスタC3が有する常圧プロセス装置Aとしては、リソグラフィ工程を行うための装置を適用することができる。例えば、フォトリソグラフィ工程を行う場合は、樹脂(フォトレジスト)塗布装置、露光装置、現像装置、ベーク装置などを適用すればよい、ナノインプリントによるリソグラフィ工程を行う場合は、樹脂(UV硬化樹脂など)塗布装置、ナノインプリント装置などを適用すればよい。その他、用途に応じて、洗浄装置、ウェットエッチング装置、塗布装置、レジスト剥離装置などを常圧プロセス装置Aに適用してもよい。
クラスタC1では、常圧プロセス装置A1、A2のそれぞれが、トランスファー室TF1とゲートバルブを介して接続されている例を示している。また、クラスタC3では、常圧プロセス装置A3乃至A7のそれぞれが、トランスファー室TF3とゲートバルブを介して接続されている例を示している。ゲートバルブを設けることで、気圧制御、不活性ガス種の制御、クロスコンタミネーションの防止などを行うことができる。
トランスファー室TF1は、ゲートバルブを介してロード室LDと接続される。また、他のゲートバルブを介してロードロック室B1と接続される。トランスファー室TF1には搬送装置70aが設けられる。搬送装置70aは、基板をロード室LDから常圧プロセス装置Aに搬送することができる。また、常圧プロセス装置Aから取り出した基板をロードロック室B1に搬出することができる。
トランスファー室TF3は、ゲートバルブを介してロードロック室B2と接続される。また、他のゲートバルブを介してロードロック室B3と接続される。トランスファー室TF3には搬送装置70cが設けられる。搬送装置70cは、基板をロードロック室B2から常圧プロセス装置Aに搬送することができる。また、常圧プロセス装置Aから取り出した基板をロードロック室B3に搬出することができる。
<真空プロセス装置V>
クラスタC2およびクラスタC4は、真空プロセス装置Vを有する。クラスタC2は、トランスファー室TF2と、真空プロセス装置V(真空プロセス装置V1乃至V5)を有する。クラスタC4は、トランスファー室TF4と、真空プロセス装置V(真空プロセス装置V6乃至V10)を有する。
なお、各クラスタが有する真空プロセス装置Vの数は、目的に合わせて1つ以上であればよい。真空プロセス装置Vには、真空ポンプVPが接続され、トランスファー室TF(トランスファー室TF2、TF4)との間にはそれぞれゲートバルブが設けられる。したがって、それぞれの真空プロセス装置Vで、異なるプロセスを並行して行うことができる。
なお、真空プロセスとは、減圧下に制御された環境での処理を意味する。したがって、真空プロセスには、高真空下での処理のほか、プロセスガスを導入して減圧下で圧力制御を行う処理も含まれる。
トランスファー室TF2、TF4にも独立した真空ポンプVPが設けられ、真空プロセス装置Vで行われるプロセスにおけるクロスコンタミネーションを防止することができる。
クラスタC2が有する真空プロセス装置Vとしては、例えば、表面処理装置、および、蒸着装置、スパッタリング装置、CVD装置、ALD装置などの成膜装置を適用することができる。なお、表面処理装置は、図2Bで説明した表面処理装置Sの機能を有することができ、ここではプラズマ処理装置であることが好ましい。
CVD装置としては、熱を利用した熱CVD装置、またはプラズマを利用したPECVD装置(Plasma Enhanced CVD装置)などを用いることができる。また、ALD装置としては、熱を利用した熱ALD装置、またはプラズマ励起されたリアクタントを利用したPEALD装置(Plasma Enhanced ALD装置)などを用いることができる。
クラスタC4が有する真空プロセス装置Vとしては、構成例1で示した装置を用いることができ、例えば、ドライエッチング装置(アッシング機能を有する)、プラズマ処理装置(クリーニング)、ALD装置、ドライエッチング装置などを適用することができる。また、図1に示した待機室Wを適用してもよい。
なお、本実施の形態では、被成膜面を下向きにして基板を設置する装置をフェイスダウン型の装置と呼ぶ。また、被成膜面を上向きにして基板を設置する装置をフェイスアップ型の装置と呼ぶ。フェイスダウン型の装置には、例えば、蒸着装置、スパッタリング装置などの成膜装置が含まれる。また、フェイスアップ型の装置には、CVD装置、ALD装置などの成膜装置のほか、ドライエッチング装置、アッシング装置、ベーク装置およびリソグラフィ関連の装置などが含まれる。ただし、本実施の形態における製造装置は、上記に限定されない装置を有する場合もある。例えば、フェイスアップ型のスパッタリング装置などを用いることもできる。
トランスファー室TF2は、ゲートバルブを介してロードロック室B1と接続される。また、他のゲートバルブを介してロードロック室B2と接続される。トランスファー室TF2には、搬送装置70bが設けられる。搬送装置70bは、ロードロック室B1に設置された基板を真空プロセス装置Vに搬送することができる。また、真空プロセス装置Vから取り出した基板をロードロック室B2に搬出することができる。
トランスファー室TF4は、ゲートバルブを介してロードロック室B3と接続される。また、他のゲートバルブを介してロードロック室B4と接続される。トランスファー室TF4には、搬送装置70dが設けられる。搬送装置70dにより、ロードロック室B3から真空プロセス装置Vに搬送し、ロードロック室B4に搬出することができる。
ロードロック室B1、B2、B3、B4には、真空ポンプVPおよび不活性ガス(IG)を導入するバルブが設けられる。したがって、ロードロック室B1、B2、B3、B4は、減圧または不活性ガス雰囲気に制御することができる。例えば、クラスタC2からクラスタC3に基板を搬送する場合、ロードロック室B2を減圧として基板をクラスタC2から搬入し、ロードロック室B2を不活性ガス雰囲気にした後にクラスタC3に基板を搬出する動作を行うことができる。
なお、搬送装置70a、70b、70c、70dは、基板をハンド部に載せて搬送する機構を有する。搬送装置70a、70cは、常圧下で動作させるため、当該ハンド部に真空吸着機構などを設けてもよい。搬送装置70b、70dは減圧下で動作させるため、当該ハンド部に静電吸着機構などを設けてもよい。
ロードロック室B1、B2、B3、B4では、基板をピン上に設置することができるステージ80a、80b、80c、80dが設けられる。なお、これらは一例であり、他の構成のステージを用いてもよい。
<クラスタC5乃至クラスタC8>
図5は、クラスタC5乃至クラスタC8を説明する上面図である。クラスタC5は、ロードロック室B5を介してクラスタC6と接続される。クラスタC6は、ロードロック室B6を介してクラスタC7と接続される。クラスタC7は、ロードロック室B7を介してクラスタC8と接続される。クラスタC8は、ロードロック室B8を介してクラスタC9(図6参照)と接続される。
クラスタC5乃至クラスタC8の基本的な構成は、クラスタC1乃至クラスタC4と同様であり、クラスタC5はクラスタC1に対応し、クラスタC6はクラスタC2に対応し、クラスタC7はクラスタC3に対応し、クラスタC8はクラスタC4に対応する。なお、クラスタC1におけるロード室LDは、クラスタC5においてロードロック室B4に置き換えられている。
また、ロードロック室B5はロードロック室B1に対応し、ロードロック室B6はロードロック室B2に対応し、ロードロック室B7はロードロック室B3に対応し、ロードロック室B8はロードロック室B4に対応する。
以下では構成のみを説明する。クラスタおよびロードロック室の詳細は、クラスタC1乃至クラスタC4およびロードロック室B1乃至B4の説明を参照できる。
クラスタC5およびクラスタC7は、常圧プロセス装置Aを有する。クラスタC5は、トランスファー室TF5と、主に常圧下で工程を行う常圧プロセス装置A(常圧プロセス装置A8、A9)を有する。クラスタC7は、トランスファー室TF7と、常圧プロセス装置A(常圧プロセス装置A10乃至A14)を有する。
クラスタC6およびクラスタC8は、真空プロセス装置Vを有する。クラスタC6は、トランスファー室TF6と、真空プロセス装置V(真空プロセス装置V11乃至V15)を有する。クラスタC8は、トランスファー室TF8と、真空プロセス装置V(真空プロセス装置V16乃至V20)を有する。
トランスファー室TF5は、ゲートバルブを介してロードロック室B4と接続される。また、他のゲートバルブを介してロードロック室B5と接続される。トランスファー室TF5には搬送装置70eが設けられる。搬送装置70eは、基板をロードロック室B4から常圧プロセス装置Aに搬送することができる。また、常圧プロセス装置Aから取り出した基板をロードロック室B5に搬出することができる。
トランスファー室TF6は、ゲートバルブを介してロードロック室B5と接続される。また、他のゲートバルブを介してロードロック室B6と接続される。トランスファー室TF6には、搬送装置70fが設けられる。搬送装置70fは、ロードロック室B5に設置された基板を真空プロセス装置Vに搬送することができる。また、真空プロセス装置Vから取り出した基板をロードロック室B6に搬出することができる。
また、トランスファー室TF7は、ゲートバルブを介してロードロック室B6と接続される。また、他のゲートバルブを介してロードロック室B7と接続される。トランスファー室TF7には搬送装置70gが設けられる。搬送装置70gは、基板をロードロック室B6から常圧プロセス装置Aに搬送することができる。また、常圧プロセス装置Aから取り出した基板をロードロック室B7に搬出することができる。
トランスファー室TF8は、ゲートバルブを介してロードロック室B7と接続される。また、他のゲートバルブを介してロードロック室B8と接続される。トランスファー室TF8には、搬送装置70hが設けられる。搬送装置70hは、基板をロードロック室B7から真空プロセス装置Vに搬送することができる。また、真空プロセス装置Vから取り出した基板をロードロック室B8に搬出することができる。
ロードロック室B5、B6、B7、B8では、基板をピン上に設置することができるステージ80e、80f、80g、80hが設けられる。
<クラスタC9乃至クラスタC12>
図6は、クラスタC9乃至クラスタC12を説明する上面図である。クラスタC9は、ロードロック室B9を介してクラスタC10と接続される。クラスタC10は、ロードロック室B10を介してクラスタC11と接続される。クラスタC11は、ロードロック室B11を介してクラスタC12と接続される。クラスタC12は、ロードロック室B12を介してクラスタC13(図7参照)と接続される。
クラスタC9乃至クラスタC12の基本的な構成は、クラスタC1乃至クラスタC4と同様であり、クラスタC9はクラスタC1に対応し、クラスタC10はクラスタC2に対応し、クラスタC11はクラスタC3に対応し、クラスタC12はクラスタC4に対応する。なお、クラスタC1におけるロード室LDは、クラスタC9においてロードロック室B8に置き換えられている。また、クラスタC12では、クラスタC4における真空プロセス装置V10が省かれている。
また、ロードロック室B9はロードロック室B1に対応し、ロードロック室B10はロードロック室B2に対応し、ロードロック室B11はロードロック室B3に対応し、ロードロック室B12はロードロック室B4に対応する。
以下では構成のみを説明する。クラスタおよびロードロック室の詳細は、クラスタC1乃至クラスタC4およびロードロック室B1乃至B4の説明を参照できる。
クラスタC9およびクラスタC11は、常圧プロセス装置Aを有する。クラスタC9は、トランスファー室TF9と、主に常圧下で工程を行う常圧プロセス装置A(常圧プロセス装置A15、A16)を有する。クラスタC11は、トランスファー室TF11と、常圧プロセス装置A(常圧プロセス装置A17乃至A21)を有する。
クラスタC10およびクラスタC12は、真空プロセス装置Vを有する。クラスタC10は、トランスファー室TF10と、真空プロセス装置V(真空プロセス装置V21乃至V25)を有する。クラスタC12は、トランスファー室TF12と、真空プロセス装置V(真空プロセス装置V26乃至V29)を有する。
トランスファー室TF9は、ゲートバルブを介してロードロック室B8と接続される。また、他のゲートバルブを介してロードロック室B9と接続される。トランスファー室TF9には搬送装置70iが設けられる。搬送装置70iは、基板をロードロック室B8から常圧プロセス装置Aに搬送することができる。また、常圧プロセス装置Aから取り出した基板をロードロック室B9に搬出することができる。
トランスファー室TF10は、ゲートバルブを介してロードロック室B9と接続される。また、他のゲートバルブを介してロードロック室B10と接続される。トランスファー室TF10には、搬送装置70jが設けられる。搬送装置70jは、ロードロック室B9に設置された基板を真空プロセス装置Vに搬送することができる。また、真空プロセス装置Vから取り出した基板をロードロック室B10に搬出することができる。
また、トランスファー室TF11は、ゲートバルブを介してロードロック室B10と接続される。また、他のゲートバルブを介してロードロック室B11と接続される。トランスファー室TF11には搬送装置70kが設けられる。搬送装置70kは、基板をロードロック室B10から常圧プロセス装置Aに搬送することができる。また、常圧プロセス装置Aから取り出した基板をロードロック室B11に搬出することができる。
トランスファー室TF12は、ゲートバルブを介してロードロック室B11と接続される。また、他のゲートバルブを介してロードロック室B12と接続される。トランスファー室TF12には、搬送装置70mが設けられる。搬送装置70mにより、基板をロードロック室B11から真空プロセス装置Vに搬送し、ロードロック室B12に搬出することができる。
ロードロック室B9、B10、B11、B12では、基板をピン上に設置することができるステージ80i、80j、80k、80mが設けられる。
<クラスタC13乃至C16>
図7は、クラスタC13乃至クラスタC16を説明する上面図である。クラスタC13は、ロードロック室B13を介してクラスタC14と接続される。クラスタC14は、ロードロック室B14を介してクラスタC15と接続される。クラスタC15は、ロードロック室B15を介してクラスタC16と接続される。クラスタC16は、ロードロック室B16を介してクラスタC17(図8参照)と接続される。
クラスタC13およびクラスタC15は、常圧プロセス装置Aを有する。クラスタC13は、トランスファー室TF13と、主に常圧下で工程を行う常圧プロセス装置A(常圧プロセス装置A22乃至A26)を有する。クラスタC15は、トランスファー室TF15と、主に常圧下で工程を行う常圧プロセス装置A(常圧プロセス装置A27乃至A31)を有する。
クラスタC13が有する常圧プロセス装置Aとしては、クラスタC3と同様のリソグラフィ工程を行うための装置を適用することができる。リソグラフィ工程を行うための装置では樹脂の充填処理を行うことができる。
トランスファー室TF13は、ゲートバルブを介してロードロック室B12と接続される。また、他のゲートバルブを介してロードロック室B13と接続される。トランスファー室TF13には搬送装置70nが設けられる。搬送装置70nは、基板をロードロック室B12から常圧プロセス装置Aに搬送することができる。また、常圧プロセス装置Aから取り出した基板をロードロック室B13に搬出することができる。
クラスタC15の基本的な構成は、クラスタC3と同様である。トランスファー室TF15は、ゲートバルブを介してロードロック室B14と接続される。また、他のゲートバルブを介してロードロック室B15と接続される。トランスファー室TF15には搬送装置70qが設けられる。搬送装置70qは、基板をロードロック室B14から常圧プロセス装置Aに搬送することができる。また、常圧プロセス装置Aから取り出した基板をロードロック室B15に搬出することができる。
クラスタC14およびクラスタC16は、真空プロセス装置Vを有する。クラスタC14は、トランスファー室TF14と、真空プロセス装置V(真空プロセス装置V30およびV31)を有する。クラスタC16は、トランスファー室TF16と、真空プロセス装置V(真空プロセス装置V32)を有する。
クラスタC14が有する真空プロセス装置Vとしては、例えば、アッシング装置、ドライエッチング装置(アッシング機能を有する)、ALD装置、CVD装置、スパッタリング装置などを適用することができる。
トランスファー室TF14は、ゲートバルブを介してロードロック室B13と接続される。また、他のゲートバルブを介してロードロック室B14と接続される。トランスファー室TF14には搬送装置70pが設けられる。搬送装置70pは、基板をロードロック室B13から真空プロセス装置Vに搬送することができる。また、真空プロセス装置Vから取り出した基板をロードロック室B14に搬出することができる。
クラスタC16が有する真空プロセス装置Vとしては、例えば、ドライエッチング装置などを適用することができる。
トランスファー室TF16は、ゲートバルブを介してロードロック室B15と接続される。また、他のゲートバルブを介してロードロック室B16と接続される。トランスファー室TF16には搬送装置70rが設けられる。搬送装置70rは、基板をロードロック室B15から真空プロセス装置Vに搬送することができる。また、真空プロセス装置Vから取り出した基板をロードロック室B16に搬出することができる。
ロードロック室B13乃至B16では、基板をピンに設置することができるステージ80n、80p、80q、80rが設けられる。また、ロードロック室B13乃至B16には、真空ポンプVPおよび不活性ガス(IG)を導入するバルブが設けられる。したがって、ロードロック室B13乃至B16は、減圧または不活性ガス雰囲気に制御することができる。
<クラスタC17、C18>
図8は、クラスタC17、C18を説明する上面図である。クラスタC17は、ロードロック室B17を介してクラスタC18と接続される。
クラスタC17は、常圧プロセス装置Aを有する。クラスタC17は、トランスファー室TF17と、主に常圧下で工程を行う常圧プロセス装置A(常圧プロセス装置A32およびA33)を有する。
クラスタC17が有する常圧プロセス装置Aとしては、エッチング装置およびベーク装置を適用することができる。エッチング装置としては、ウェットエッチング装置を適用することができる。なお、ドライエッチング装置を適用することができるが、その場合はクラスタC16で処理が行えるため、クラスタC17を省くこともできる。なお、ドライエッチング装置を適用する場合は、基板側へのバイアスを低くする、または基板側へのバイアスを無しとすることで、等方性エッチング処理が可能とすることが好ましい。
トランスファー室TF17は、ゲートバルブを介してロードロック室B16と接続される。また、他のゲートバルブを介してロードロック室B17と接続される。トランスファー室TF17には搬送装置70sが設けられる。搬送装置70sは、基板をロードロック室B16から常圧プロセス装置Aに搬送することができる。また、常圧プロセス装置Aから取り出した基板をロードロック室B17に搬出することができる。
クラスタC18は、真空プロセス装置Vを有する。クラスタC18は、トランスファー室TF18と、主に減圧下で工程を行う真空プロセス装置V(真空プロセス装置V33乃至V35)を有する。
クラスタC18が有する真空プロセス装置Vとしては、例えば、蒸着装置、スパッタリング装置、CVD装置、ALD装置などの成膜装置および対向基板貼り合わせ装置などを適用することができる。
トランスファー室TF18は、ゲートバルブを介してロードロック室B17と接続される。また、他のゲートバルブを介してアンロード室ULDと接続される。トランスファー室TF18には、搬送装置71tが設けられる。搬送装置71tは、基板をロードロック室B17から真空プロセス装置Vに搬送することができる。また、真空プロセス装置Vから取り出した基板をアンロード室ULDに搬出することができる。
ロードロック室B17では、基板をピン上に設置することができるステージ80sが設けられる。また、ロードロック室B17には、真空ポンプVPおよび不活性ガス(IG)を導入するバルブが設けられる。したがって、ロードロック室B17は、減圧または不活性ガス雰囲気に制御することができる。
以上の構成の製造装置を用いることにより、保護膜で封止された信頼性の高い発光デバイスを形成することができる。
例えば、クラスタC1乃至C4で第1の色の光を発する発光デバイスを形成し、クラスタC5乃至C8で第2の色の光を発する発光デバイスを形成し、クラスタC9乃至C12で第3の色の光を発する発光デバイスを形成し、クラスタC13で絶縁層を充填し、クラスタC14乃至C17で不要な要素を除去し、クラスタC18で保護膜等を形成するまで、雰囲気が制御された装置内で連続した工程を行うことができる。これらの工程の詳細は後述する。
なお、白色光を発する発光デバイスを形成し、カラーフィルタなどの着色層を用いて第1乃至第3の色の光を発する発光デバイスを形成する場合は、クラスタC1、C2、C3、C4、C13、C14、C15、C16、C17、C18を順に接続した構成とすることができる。
<構成例3>
図9は、図3とは異なる発光デバイスの製造装置を説明するブロック図である。図9に示す製造装置は、クラスタC1、C2、C3、C4、C6、C7、C8、C10、C11、C12、C13、C14、C15、C16、C17、C18を有する例であり、図3に示す製造装置からクラスタC5、C9を省いた構成となっている。クラスタC1、C2、C3、C4、C6、C7、C8、C10、C11、C12、C13、C14、C15、C16、C17、C18は順に接続され、クラスタC1に投入された基板60aは、発光デバイスが形成された基板60bとしてクラスタC18から取り出すことができる。
図3に示す製造装置において、クラスタC5、C9は、洗浄装置およびベーク装置を有する。洗浄工程の前の工程は、エッチング(ドライエッチング)工程である。当該工程における残留ガス成分、残渣物、堆積物などが後工程に悪影響を与えなければ、洗浄工程を省くことができる。また、洗浄工程が省かれた場合、基板の残留水分などを考慮することが不要になるため、ベーク工程も不要とすることができる。したがって、場合によっては、図3に示す製造装置からクラスタC5、C9を省いた図9の構成としてもよい。クラスタC5、C9を省くことで、全体のクラスタの数およびロードロック室の数を削減することができる。
<クラスタC1乃至クラスタC4>
クラスタC1乃至クラスタC4の構成は、図4に示す構成と同様とすることができる。ただし、ロードロック室B4は、クラスタC6と接続される。
<クラスタC6、C7、C8、C10>
図10は、クラスタC6、C7、C8、C10を説明する上面図である。クラスタC6は、ロードロック室B6を介してクラスタC7と接続される。クラスタC7は、ロードロック室B7を介してクラスタC8と接続される。クラスタC8は、ロードロック室B9を介してクラスタC10と接続される。クラスタC10は、ロードロック室B10を介してクラスタC11(図11参照)と接続される。
以下ではクラスタ間の接続の構成を説明する。クラスタおよびロードロック室の詳細は、前述したクラスタC6、C7、C8、C10、およびロードロック室B4、B6、B7、B9、B10の説明を参照できる。
クラスタC6が有するトランスファー室TF6は、ゲートバルブを介してロードロック室B4と接続される。また、他のゲートバルブを介してロードロック室B6と接続される。トランスファー室TF6には搬送装置70fが設けられる。搬送装置70fは、ロードロック室B4に設置された基板を真空プロセス装置Vに搬送することができる。また、真空プロセス装置Vから取り出した基板をロードロック室B6に搬出することができる。
クラスタC7が有するトランスファー室TF7は、ゲートバルブを介してロードロック室B6と接続される。また、他のゲートバルブを介してロードロック室B7と接続される。トランスファー室TF7には搬送装置70gが設けられる。搬送装置70gは、基板をロードロック室B6から常圧プロセス装置Aに搬送することができる。また、常圧プロセス装置Aから取り出した基板をロードロック室B7に搬出することができる。
クラスタC8が有するトランスファー室TF8は、ゲートバルブを介してロードロック室B7と接続される。また、他のゲートバルブを介してロードロック室B9と接続される。トランスファー室TF8には、搬送装置70hが設けられる。搬送装置70hは、基板をロードロック室B7から真空プロセス装置Vに搬送することができる。また、真空プロセス装置Vから取り出した基板をロードロック室B9に搬出することができる。
クラスタC10が有するトランスファー室TF10は、ゲートバルブを介してロードロック室B9と接続される。また、他のゲートバルブを介してロードロック室B10と接続される。トランスファー室TF10には、搬送装置70jが設けられる。搬送装置70jは、ロードロック室B9に設置された基板を真空プロセス装置Vに搬送することができる。また、真空プロセス装置Vから取り出した基板をロードロック室B10に搬出することができる。
<クラスタC11、C12、C13、C14>
図11は、クラスタC11、C12、C13、C14を説明する上面図である。クラスタC11は、ロードロック室B11を介してクラスタC12と接続される。クラスタC12は、ロードロック室B12を介してクラスタC13と接続される。クラスタC13は、ロードロック室B13を介してクラスタC14と接続される。
以下ではクラスタ間の接続の構成を説明する。クラスタおよびロードロック室の詳細は、前述したクラスタC11、C12、C13、C14、およびロードロック室B11、B12、B13の説明を参照できる。
クラスタC11が有するトランスファー室TF11は、ゲートバルブを介してロードロック室B10と接続される。また、他のゲートバルブを介してロードロック室B11と接続される。トランスファー室TF11には搬送装置70kが設けられる。搬送装置70kは、基板をロードロック室B10から常圧プロセス装置Aに搬送することができる。また、常圧プロセス装置Aから取り出した基板をロードロック室B11に搬出することができる。
クラスタC12が有するトランスファー室TF12は、ゲートバルブを介してロードロック室B11と接続される。また、他のゲートバルブを介してロードロック室B12と接続される。トランスファー室TF12には搬送装置70mが設けられる。搬送装置70mは、基板をロードロック室B11から真空プロセス装置Vに搬送することができる。また、真空プロセス装置Vから取り出した基板をロードロック室B12に搬出することができる。
クラスタC13が有するトランスファー室TF13は、ゲートバルブを介してロードロック室B12と接続される。また、他のゲートバルブを介してロードロック室B13と接続される。トランスファー室TF13には搬送装置70nが設けられる。搬送装置70nは、基板をロードロック室B12から常圧プロセス装置Aに搬送することができる。また、常圧プロセス装置Aから取り出した基板をロードロック室B13に搬出することができる。
クラスタC14が有するトランスファー室TF14は、ゲートバルブを介してロードロック室B13と接続される。また、他のゲートバルブを介してロードロック室B14と接続される。トランスファー室TF13には搬送装置70pが設けられる。搬送装置70pは、基板をロードロック室B13から真空プロセス装置Vに搬送することができる。また、真空プロセス装置Vから取り出した基板をロードロック室B14に搬出することができる。
<クラスタC15乃至クラスタC18>
クラスタC15乃至クラスタC18の構成は、図7および図8に示す構成と同様とすることができる。
<構成例4>
構成例1乃至構成例3では、各クラスタがロードロック室を介して接続されたインライン型の製造装置の例を示したが、各クラスタが独立してロード室LDおよびアンロード室ULDを有する構成であってもよい。
このような構成である場合、被加工物を大気暴露させないために、雰囲気管理された容器に被加工物を封じ込め、当該容器をクラスタ間で移動させればよい。
図12は、クラスタC1、C2、C3、C4の各クラスタを独立型にした例を示す図であり、各クラスタには、ロード室LDおよびアンロード室ULDが設けられている。被加工物はカセットCTに収納し、カセットCTを雰囲気制御された搬送容器BXに入れて各クラスタ間を移動する。
図13Aは、クラスタC2におけるカセットCTの搬出を説明する図である。なお、明瞭化のためゲートバルブは省略し、アンロード室ULDのチャンバー壁を透過した図としている。
まず、全ての被加工物がアンロード室ULDに設置されたカセットCTに収納された状態で、アンロード室ULDの雰囲気を不活性ガス雰囲気に置換する。また、搬送車VE上に設けられた搬送容器BXの内部を不活性ガス雰囲気に置換する。このとき、アンロード室ULDおよび搬送容器BXは、大気が流入しないように陽圧状態とすることが好ましい。なお、搬送容器BXは、大気が流入しない構成であればよく、搬送容器BXを真空引きし、陰圧状態としてもよい。
次に、アンロード室ULDの搬出口と、搬送容器BXの搬出入口をドッキングし、移載装置200でアンロード室ULDから搬送容器BXにカセットCTを移載する。そして、搬送容器BXの搬出入口を閉じて搬送容器BXの内部を不活性ガス雰囲気に維持した状態とし、搬送車VEでクラスタC2に移動する。
図13Bは、クラスタC3におけるカセットCTの搬入を説明する図である。なお、明瞭化のため、搬送容器BXの壁を透過した図としている。
まず、ロード室LDの雰囲気を不活性ガス雰囲気に置換する。次に、ロード室LDの搬入口と、搬送容器BXの搬出入口をドッキングし、移載装置209で搬送容器BXからロード室LDにカセットCTを移載する。そして、ロード室ULの搬入口を閉じ、クラスタC2での処理を開始する。
図13Cは、搬送容器BXおよび搬送車VEを説明する図である。搬送車VEは、内部にコントローラ201、動力源202、バッテリー203、不活性ガスが充填されたガスボンベ205等を有する。動力源202は、バッテリー203および車輪204を接続される。搬送車VEは、コントローラ201の制御により、手動または自動で移動させることができる。
搬送容器BXは、ガスの導入口210および排出口211を有し、導入口210はバルブ206を介してガスボンベ205と接続される。排出口211はバルブ207と接続される。バルブ206およびバルブ207の一方または両方はコンダクタンスバルブであり、搬送容器BX内を不活性ガスで陽圧に制御することができる。不活性ガスとしては、窒素またはアルゴンなどを用いることが好ましい。
また、搬送容器BXは搬出入口208および移載装置209を有する。搬出入口208の形態は限定されず、例えば扉型、シャッター型などを用いることができる。
移載装置209は、カセットCTを移載することができる。なお、図12A、図12Bの説明において、搬送容器BXへの搬出にアンロード室ULDが有する移載装置200を用い、ロード室LDへの搬入に搬送容器BXが有する移載装置209を用いたが、これらの動作を行うには移載装置200および移載装置209のどちらを用いてもよい。また、移載装置200および移載装置209の一方が設けられない構成としてもよい。
なお、上記ではクラスタC1乃至C4を例示したが、各クラスタを独立型にする構成は、クラスタC5乃至C18にも適用することができる。また、構成例4は、構成例1乃至構成例3の一部と組合せることもできる。
<成膜装置の構成>
図14Aは、基板の被成膜面を下向きに設置する真空プロセス装置V(フェイスダウン型の成膜装置)を説明する図であり、ここでは成膜装置30を例示している。なお、図の明瞭化のため、チャンバー壁を透過した図とし、ゲートバルブは省略している。
成膜装置30は、成膜材料供給部31、マスクユニット32および基板60を設置するためのステージ50を有する。成膜材料供給部31は、例えば、成膜装置30が蒸着装置であれば、蒸着源が設置される部位である。また、成膜装置30がスパッタリング装置であれば、ターゲット(カソード)が設置される部位である。
ステージ50の詳細を図14Bの分解図に示す。ステージ50は、シリンダーユニット33、電磁石ユニット34および静電吸着ユニット35を当該順で重畳した構成を有する。シリンダーユニット33は、複数のシリンダー40を有する。シリンダー40は、プッシャーピン41と接続されるシリンダーロッドを上下に動かす機能を有する。
プッシャーピン41は、電磁石ユニット34および静電吸着ユニット35に設けられた貫通孔42に挿入される。プッシャーピン41の先端は、シリンダー40の動作で基板60と接し、基板60の上昇、下降を行うことができる。図14Aは、上昇させたプッシャーピン41に基板60を載せた状態を示している。
なお、図14Bでは、一つのシリンダー40に一つのプッシャーピン41が接続されている構成を示しているが、一つのシリンダー40に複数のプッシャーピン41が接続されている構成であってもよい。また、プッシャーピン41の数および位置は、搬送装置のハンド部に干渉しない位置で適宜定めればよい。
電磁石ユニット34は、通電によって磁力を発生させることができ、後述するマスク治具を基板60に密着させる機能を有する。なお、マスク治具はステンレスなどの強磁性を有する材料で形成することが好ましい。
静電吸着ユニット35は、静電吸着ユニット35の内部電極から基板60に電圧を印加することで、静電吸着ユニット35内の電荷と基板60内の電荷が互いに引き合うことにより吸着を生じさせる機能を有する。したがって、真空吸着機構とは異なり、真空下でも基板の吸着を行うことができる。また、静電吸着ユニットは誘電体セラミクスなどで形成し、強磁性体が含まれないことが好ましい。
ステージ50の第1の端面および第1の端面と対向する第2の端面には、モータ等の回転機構36が接続されており、ステージ50を上下反転させることができる。ここで、ステージ50および回転機構36の組み合わせを基板反転装置と呼ぶことができる。
また、マスクユニット32には、図14Cに示すように、マスクユニット32の第1の端面および第1の端面と対向する第2の端面に接続する昇降機構37が設けられる。マスクユニット32は、マスク治具およびアライメント機構を有し、基板60に対してマスク治具をアライメントして密着させることができる。
次に、成膜装置30への基板の搬入から成膜工程までの説明を図15A乃至図16Bを用いて行う。なお、図15A乃至図16Bでは、明瞭化のため、チャンバー壁およびゲートバルブ等は省いて図示している。
まず、ステージ50の静電吸着ユニット35を上面とし、搬送装置70のハンド部上に載せた基板60を静電吸着ユニット35上に移動させる。そして、基板60をプッシャーピン41で上昇させる。または、搬送装置70のハンド部を下降して上昇させてあるプッシャーピン41上に基板60を載せる(図15A参照)。
次に、プッシャーピン41を下降し、静電吸着ユニット35上に基板60を載せ、静電吸着ユニット35を動作させて基板60を吸着させる(図15B参照)。
次に、回転機構36でステージ50を回転させ。基板60を上下反転させる(図15C、図16A参照)。
次に、マスクユニット32を昇降機構37で上昇させ、マスク治具をアライメントして基板60に接させる。そして、電磁石ユニット34を通電させ、マスク治具を基板60に密着させる(図16B参照)。
マスクユニット32が有するマスク治具39を図16Cに示す。基板60の表面には回路等が予め設けられており、不要な領域に成膜されないように基板60とマスク治具39を密着させる。マスクユニット32はカメラ45を含むアライメント機構を有し、基板60における成膜を要する部位とマスク治具39の開口部との位置調整(X、Y、θ方向)を行うことができる。
図16Bに示す状態で成膜工程を行った後、上記と逆の順序で動作を行うことで、基板を取り出すことができる。
基板反転装置は、基板の上下反転を要する成膜装置(フェイスダウン型の成膜装置)のみに設ければよい。したがって、基板搬送装置またはロードロック室内に基板反転機構を設ける必要がなく、装置全体のコストを低減させることができる。特に、本発明の一態様の製造装置のように、フェイスダウン型の装置(成膜装置)およびフェイスアップ型の装置(成膜装置、リソグラフィ装置など)が混在している製造装置に有用である。
図17A乃至図17Fに真空プロセス装置Vに適用できる成膜装置の構成例を示す。図17Aは真空蒸着装置であり、基板60を設置する基板ホルダー51、坩堝等の蒸着源52、シャッター53を有する。また、排気口54は真空ポンプに接続される。減圧下で蒸着源を加熱して成膜材料を蒸発または昇華する状態とし、シャッターを開けることで成膜することができる。
図17Bはスパッタリング装置であり、基板60を設置する上部電極58、ターゲット57が設置される下部電極56、シャッター53を有する。また、ガスの導入口55はスパッタガスの供給源に接続され、排気口54は真空ポンプに接続される。例えば、貴ガスなどを含む減圧下で、上部電極58と下部電極56との間にDC電力またはRF電力等を印加することでスパッタリング現象がおき、シャッターを開けることで基板60の表面にターゲット57の材料を成膜することができる。
図17Cは、プラズマCVD装置であり、ガスの導入口55およびシャワー板59を有する上部電極58、基板60を設置する下部電極56を有する。ガスの導入口55は原料ガスの供給源に接続され、排気口54は真空ポンプに接続される。減圧下で原料ガスを導入し、上部電極58と下部電極56との間に高周波電力等を印加することで原料ガスを分解し、基板60の表面に目的の材料を成膜することができる。
図17Dは、ドライエッチング装置であり、上部電極58、基板60を設置する下部電極を有する。また、ガスの導入口55はエッチングガスの供給源に接続され、排気口54は真空ポンプに接続される。減圧下でエッチングガスを導入し、上部電極58と下部電極56との間に高周波電力等を印加することでエッチングガスを活性化させ、基板60上に形成された無機膜または有機膜をエッチングすることができる。また、アッシング装置およびプラズマ処理装置も同様の構成とすることができる。
図17Eは、待機室であり、複数の基板60を収納する基板ホルダー62を有する。排気口54は真空ポンプに接続され、基板60は減圧下で待機される。基板ホルダー62に収納可能な基板60の数は、前後の工程時間を考慮して適宜決定すればよい。
図17Fは、ALD装置であり、ここではバッチ式の構成を示している。ALD装置は、ヒータ61を有し、ガスの導入口55はプリカーサ等の供給源に接続され、排気口54は真空ポンプに接続される。基板ホルダー63には複数の基板60が収められ、ヒータ61上に設置される。減圧下でガスの導入口55からプリカーサまたは酸化剤などを交互に導入することで、基板60上には原子層単位で成膜が繰り返し行われる。なお、枚葉式の場合は、基板ホルダー62を用いない構成とすればよい。また、熱CVD装置も同様の構成とすることができる。
本実施の形態は、他の実施の形態に記載した構成と適宜組み合わせて実施することが可能である。
(実施の形態2)
本実施の形態では、本発明の一態様の発光デバイスの製造装置を用いて作製することができる有機EL素子の具体例を説明する。
なお、本明細書等において、メタルマスク、またはFMM(ファインメタルマスク、高精細なメタルマスク)を用いて作製されるデバイスをMM(メタルマスク)構造のデバイスと呼称する場合がある。また、本明細書等において、メタルマスク、またはFMMを用いることなく作製されるデバイスをMML(メタルマスクレス)構造のデバイスと呼称する場合がある。
なお、本明細書等において、各色の発光デバイス(ここでは青(B)、緑(G)、及び赤(R))で、発光層を作り分ける、または発光層を塗り分ける構造をSBS(Side By Side)構造と呼ぶ場合がある。また、本明細書等において、白色光を発することのできる発光デバイスを白色発光デバイスと呼ぶ場合がある。なお、白色発光デバイスは、着色層(たとえば、カラーフィルタ)と組み合わせることで、フルカラー表示の表示装置を実現することができる。
また、発光デバイスは、シングル構造と、タンデム構造とに大別することができる。シングル構造のデバイスは、一対の電極間に1つの発光ユニットを有し、当該発光ユニットは、1以上の発光層を含む構成とすることが好ましい。白色発光を得るには、2つの発光層の各々の発光色が補色の関係となるような発光層を選択すればよい。例えば、第1の発光層の発光色と第2の発光層の発光色を補色の関係になるようにすることで、発光デバイス全体として白色発光する構成を得ることができる。また、発光層を3つ以上有する発光デバイスの場合も同様である。
タンデム構造のデバイスは、一対の電極と、その一対の電極間に2以上の複数の発光ユニットを有し、各発光ユニットは、1以上の発光層を含む構成とすることが好ましい。白色発光を得るには、複数の発光ユニットの発光層からの光を合わせて白色発光が得られる構成とすればよい。なお、白色発光が得られる構成については、シングル構造の構成と同様である。なお、タンデム構造のデバイスにおいて、複数の発光ユニットの間には、電荷発生層などの中間層を設けると好適である。
また、上述の白色発光デバイス(シングル構造またはタンデム構造)と、SBS構造の発光デバイスと、を比較した場合、SBS構造の発光デバイスは、白色発光デバイスよりも消費電力を低くすることができる。消費電力を低く抑えたい場合は、SBS構造の発光デバイスを用いると好適である。一方で、白色発光デバイスは、製造プロセスがSBS構造の発光デバイスよりも簡単であるため、製造コストを低くすることができる、または製造歩留まりを高くすることができるため、好適である。
なお、タンデム構造のデバイスは、同色の光を射出する発光層を有する構成(BB、GG、RRなど)であってもよい。複数の層から発光が得られるタンデム構造は、発光に高い電圧を要するが、シングル構造と同じ発光強度を得るための電流値は小さくなる。したがって、タンデム構造では、発光ユニットあたりの電流ストレスを少なくすることができ、素子寿命を延ばすこともできる。
<構成例>
図18に、本発明の一態様の発光デバイスの製造装置を用いて作製される表示装置100の上面概略図を示す。表示装置100は、赤色を呈する発光デバイス110R、緑色を呈する発光デバイス110G、および青色を呈する発光デバイス110Bをそれぞれ複数有する。図18では、各発光デバイスの区別を簡単にするため、各発光デバイスの発光領域内にR、G、Bの符号を付している。
発光デバイス110R、発光デバイス110G、および発光デバイス110Bは、それぞれマトリクス状に配列している。図18は、一方向に同一の色の発光デバイスが配列する、いわゆるストライプ配列を示している。なお、発光デバイスの配列方法はこれに限られず、デルタ配列、ジグザグ配列などの配列方法を適用してもよいし、ペンタイル配列またはその他の配列を用いることもできる。
発光デバイス110R、発光デバイス110G、および発光デバイス110Bとしては、OLED(Organic Light Emitting Diode)、またはQLED(Quantum−dot Light Emitting Diode)などのEL素子を用いることが好ましい。EL素子が有する発光物質としては、蛍光を発する物質(蛍光材料)、燐光を発する物質(燐光材料)、無機化合物(量子ドット材料など)、熱活性化遅延蛍光を示す物質(熱活性化遅延蛍光(Thermally activated delayed fluorescence:TADF)材料)などが挙げられる。
図19Aは、図18中の一点鎖線A1−A2に対応する断面概略図である。
図19Aには、発光デバイス110R、発光デバイス110G、および発光デバイス110Bの断面を示している。発光デバイス110R、発光デバイス110G、および発光デバイス110Bは、それぞれ画素回路上に設けられ、画素電極111、および共通電極113を有する。
発光デバイス110Rは、画素電極111と共通電極113との間に、EL層112Rを有する。EL層112Rは、少なくとも赤色の波長域にピークを有する光を発する発光性の有機化合物を有する。発光デバイス110Gが有するEL層112Gは、少なくとも緑色の波長域にピークを有する光を発する発光性の有機化合物を有する。発光デバイス110Bが有するEL層112Bは、少なくとも青色の波長域にピークを有する光を発する発光性の有機化合物を有する。なお、EL層112R、EL層112G、およびEL層112Bがそれぞれ異なる色の光を発する構造をSBS構造と呼称してもよい。
EL層112R、EL層112G、およびEL層112Bは、それぞれ発光性の有機化合物を含む層(発光層)のほかに、電子注入層、電子輸送層、正孔注入層、および正孔輸送層のうち、一以上を有していてもよい。
画素電極111は、発光デバイス毎に設けられている。また、共通電極113は、各発光デバイスに共通な一続きの層として設けられている。画素電極111と共通電極113のいずれか一方に可視光に対して透光性を有する導電膜を用い、他方に可視光に対して反射性を有する導電膜を用いる。画素電極111を透光性、共通電極113を反射性とすることで、下面射出型(ボトムエミッション型)の表示装置とすることができ、反対に画素電極111を反射性、共通電極113を透光性とすることで、上面射出型(トップエミッション型)の表示装置とすることができる。なお、画素電極111と共通電極113の双方を透光性とすることで、両面射出型(デュアルエミッション型)の表示装置とすることもできる。本実施の形態では、上面射出型(トップエミッション型)の表示装置を作製する例を説明する。
EL層112R、EL層112G、およびEL層112Bは、それぞれ画素電極111の上面に接する領域と、を有する。
図19Aに示すように、異なる色の発光デバイス間において、2つのEL層の間に隙間が設けられている。このように、EL層112R、EL層112G、およびEL層112Bが、互いに接しないように設けられていることが好ましい。これにより、隣接する2つのEL層を介して電流が流れ、意図しない発光が生じることを好適に防ぐことができる。そのため、コントラストを高めることができ、表示品位の高い表示装置を実現できる。
また、共通電極113上には、発光デバイス110R、発光デバイス110G、および発光デバイス110Bを覆って、保護層121が設けられている。保護層121は、上方から各発光デバイスに不純物が拡散することを防ぐ機能を有する。または、保護層121は、各発光デバイスに入り込む得る不純物(代表的には、水および水素などの不純物)を捕獲(ゲッタリングともいう)する機能を有する。
保護層121としては、例えば、少なくとも無機絶縁膜を含む単層構造または積層構造とすることができる。無機絶縁膜としては、例えば、酸化シリコン膜、酸化窒化シリコン膜、窒化酸化シリコン膜、窒化シリコン膜、酸化アルミニウム膜、酸化窒化アルミニウム膜、酸化ハフニウム膜などの酸化物膜または窒化物膜が挙げられる。または、保護層121としてインジウムガリウム酸化物、インジウムガリウム亜鉛酸化物などの半導体材料を用いてもよい。
画素電極111は、トランジスタ116のソースまたはドレインの一方と電気的に接続される。トランジスタ116には、例えば、チャネル形成領域に金属酸化物を有するトランジスタ(以下、OSトランジスタ)を用いることができる。OSトランジスタは非晶質シリコンよりも移動度が高く、電気特性に優れている。また、OSトランジスタでは、多結晶シリコンの製造工程にある結晶化工程は不要であり、配線工程などで形成することができる。したがって、基板60に形成されているチャネル形成領域にシリコンを有するトランジスタ115(以下、Siトランジスタ)上に貼り合わせ工程などを用いずに形成することができる。
ここで、トランジスタ116は画素回路を構成するトランジスタである。また、トランジスタ115は、画素回路の駆動回路などを構成するトランジスタである。すなわち、駆動回路上に画素回路を形成することができるため、狭額縁の表示装置を形成することができる。
OSトランジスタに用いる半導体材料としては、エネルギーギャップが2eV以上、好ましくは2.5eV以上、より好ましくは3eV以上である金属酸化物を用いることができる。
OSトランジスタは半導体層のエネルギーギャップが大きいため、数yA/μm(チャネル幅1μmあたりの電流値)という極めて低いオフ電流特性を示す。室温下における、チャネル幅1μmあたりのOSトランジスタのオフ電流値は、1aA(1×10−18A)以下、1zA(1×10−21A)以下、または1yA(1×10−24A)以下とすることができる。なお、室温下における、チャネル幅1μmあたりのSiトランジスタのオフ電流値は、1fA(1×10−15A)以上1pA(1×10−12A)以下である。したがって、OSトランジスタのオフ電流は、Siトランジスタのオフ電流よりも10桁程度低いともいえる。
また、OSトランジスタは、インパクトイオン化、アバランシェ降伏、および短チャネル効果などが生じないなどSiトランジスタとは異なる特徴を有し、高耐圧で信頼性の高い回路を形成することができる。また、Siトランジスタでは問題となる結晶性の不均一性に起因する電気特性のばらつきもOSトランジスタでは生じにくい。
OSトランジスタが有する半導体層は、例えばインジウム、亜鉛およびM(アルミニウム、チタン、ガリウム、ゲルマニウム、イットリウム、ジルコニウム、ランタン、セリウム、スズ、ネオジムまたはハフニウム等の金属の一つまたは複数)を含むIn−M−Zn系酸化物で表記される膜とすることができる。In−M−Zn系酸化物は、代表的には、スパッタリング法で形成することができる。または、ALD(Atomic layer deposition)法を用いて形成してもよい。
例えば、In−M−Zn系酸化物として、インジウム(In)、ガリウム(Ga)および亜鉛(Zn)を含む酸化物(IGZO)を用いることができる。または、インジウム(In)、アルミニウム(Al)および亜鉛(Zn)を含む酸化物(IAZO)を用いてもよい。または、インジウム(In)、アルミニウム(Al)、ガリウム(Ga)および亜鉛(Zn)を含む酸化物(IAGZO)を用いてもよい。
In−M−Zn系酸化物をスパッタリング法で形成するために用いるスパッタリングターゲットの金属元素の原子数比は、In≧M、Zn≧Mを満たすことが好ましい。このようなスパッタリングターゲットの金属元素の原子数比として、In:M:Zn=1:1:1、In:M:Zn=1:1:1.2、In:M:Zn=1:3:2、In:M:Zn=3:1:2、In:M:Zn=4:2:3、In:M:Zn=4:2:4.1、In:M:Zn=5:1:6、In:M:Zn=5:1:7、In:M:Zn=5:1:8等、またはそれらの近傍の組成であることが好ましい。なお、成膜される半導体層の原子数比はそれぞれ、上記のスパッタリングターゲットに含まれる金属元素の原子数比のプラスマイナス40%の変動を含む。
半導体層としては、キャリア密度の低い酸化物半導体を用いる。例えば、半導体層は、キャリア密度が1×1017/cm以下、好ましくは1×1015/cm以下、さらに好ましくは1×1013/cm以下、より好ましくは1×1011/cm以下、さらに好ましくは1×1010/cm未満であり、1×10−9/cm以上のキャリア密度の酸化物半導体を用いることができる。そのような酸化物半導体を、高純度真性または実質的に高純度真性な酸化物半導体と呼ぶ。当該酸化物半導体は欠陥準位密度が低く、安定な特性を有する酸化物半導体であるといえる。
なお、これらに限られず、必要とするトランジスタの半導体特性および電気特性(電界効果移動度、しきい値電圧等)に応じて適切な組成のものを用いればよい。また、必要とするトランジスタの半導体特性を得るために、半導体層のキャリア密度および不純物濃度、欠陥密度、金属元素と酸素の原子数比、原子間距離、密度等を適切なものとすることが好ましい。
なお、図19Aに示す表示装置は、OSトランジスタを有し、且つMML(メタルマスクレス)構造の発光デバイスを有する構成である。当該構成とすることで、トランジスタに流れうるリーク電流、および隣接する発光素子間に流れうるリーク電流(横リーク電流、サイドリーク電流などともいう)を、極めて低くすることができる。また、上記構成とすることで、表示装置に画像を表示した場合に、観察者が画像のきれ、画像のするどさ、および高いコントラスト比のいずれか一または複数を観測できる。なお、トランジスタに流れうるリーク電流、および発光素子間の横リーク電流が極めて低い構成とすることで、黒表示時に生じうる光漏れなどが限りなく少ない表示(真黒表示ともいう)とすることができる。
図19Aでは、R、G、Bの発光素子の発光層が互いに異なっている構成について例示したが、これに限定されない。例えば、図19Bに示すように白色発光を行うEL層112Wを設け、EL層112Wに重畳するように、着色層114R(赤色)、114G(緑色)、114B(青色)を設けて発光デバイス110R、110G、110Bを形成し、カラー化する方式を用いてもよい。
EL層112Wは、例えば、R、G、Bのそれぞれの発光を行うEL層を直列に接続したタンデム構造を有することができる。または、R、G、Bのそれぞれの発光を行う発光層を直列に接続した構造を用いてもよい。着色層114R、114G、114Bとしては、例えば、赤色、緑色、青色のカラーフィルタなどを用いることができる。
または、図19Cに示すように、基板60が有するトランジスタ115で画素回路を構成し、トランジスタ115のソースまたはドレインの一方と画素電極111を電気的に接続してもよい。
<作製方法例>
以下では、本発明の一態様の製造装置で作製できる発光デバイスの作製方法の例について説明する。ここでは、上記構成例で示した表示装置100が有する発光デバイスを例に挙げて説明する。
図20A乃至図24Bは、以下で例示する発光デバイスの作製方法の、各工程における断面概略図である。なお、図20A乃至図24Bでは、図19Aで示した画素回路の構成要素であるトランジスタ116および駆動回路の構成要素であるトランジスタ115は省略して図示している。
表示装置を構成する薄膜(絶縁膜、半導体膜、導電膜等)は、スパッタリング法、化学気相堆積(CVD)法、真空蒸着法、原子層堆積(ALD)法等を用いて形成することができる。CVD法としては、プラズマ化学気相堆積(PECVD)法、または熱CVD法などがある。また、熱CVD法のひとつに、有機金属化学気相堆積(MOCVD:Metal Organic CVD)法がある。本発明の一態様の製造装置では、上記方法で薄膜を形成するための装置を有することができる。
また、表示装置を構成する薄膜(絶縁膜、半導体膜、導電膜等)の形成およびリソグラフィ工程に用いる樹脂等の塗布は、スピンコート、ディップ、スプレー塗布、インクジェット、ディスペンス、スクリーン印刷、オフセット印刷、ドクターナイフ法、スリットコート、ロールコート、カーテンコート、ナイフコート等の方法を用いることができる。本発明の一態様の製造装置では、上記方法で薄膜を形成するための装置を有することができる。また、本発明の一態様の製造装置では、上記方法で樹脂を塗布するための装置を有することができる。
また、表示装置を構成する薄膜を加工する際には、フォトリソグラフィ法等を用いることができる。または、ナノインプリント法を用いることにより薄膜を加工してもよい。また、遮蔽マスクを用いた成膜方法により、島状の薄膜を直接形成する方法を併用してもよい。
フォトリソグラフィ法を用いた薄膜の加工方法としては、代表的には以下の2つの方法がある。一つは、加工したい薄膜上にレジストマスクを形成して、エッチング等により当該薄膜を加工し、レジストマスクを除去する方法である。もう一つは、感光性を有する薄膜を成膜した後に、露光、現像を行って、当該薄膜を所望の形状に加工する方法である。
フォトリソグラフィ法において、露光に用いる光は、例えばi線(波長365nm)、g線(波長436nm)、h線(波長405nm)、またはこれらを混合させた光を用いることができる。そのほか、紫外線、KrFレーザ光、またはArFレーザ光等を用いることもできる。また、液浸露光技術により露光を行ってもよい。また、露光に用いる光として、極端紫外(EUV:Extreme Ultra−violet)光、またはX線を用いてもよい。また、露光に用いる光に換えて、電子ビームを用いることもできる。極端紫外光、X線または電子ビームを用いると、極めて微細な加工が可能となるため好ましい。なお、電子ビームなどのビームを走査することにより露光を行う場合には、フォトマスクは不要である。
薄膜のエッチングには、ドライエッチング法、ウェットエッチング法などを用いることができる。本発明の一態様の製造装置では、上記方法で薄膜を加工するための装置を有することができる。
<基板60の準備>
基板60としては、少なくとも後の熱処理に耐えうる程度の耐熱性を有する基板を用いることができる。基板60として、絶縁性基板を用いる場合には、ガラス基板、石英基板、サファイア基板、セラミック基板、有機樹脂基板などを用いることができる。また、シリコンまたは炭化シリコンなどを材料とした単結晶半導体基板、多結晶半導体基板、シリコンゲルマニウム等の化合物半導体基板、SOI基板などの半導体基板を用いることができる。なお、基板の形状はウエハ上に限らず、角形基板を用いることもできる。
例えば、ガラス基板を用いる場合、第3世代(基板サイズ、550mm×650mm)、第3.5世代(基板サイズ、600mm×720mm)、第6世代(1500mm×1850mm)、第8世代(2160mm×2460mm)、および第10世代(2850mm×3050mm)などの大型の基板サイズまで適用することができる。
特に、基板60として、上記半導体基板または絶縁性基板上に、Siトランジスタなどの半導体素子を含む半導体回路が形成された基板を用いることが好ましい。当該半導体回路は、例えば画素回路、ゲート線駆動回路(ゲートドライバ)、ソース線駆動回路(ソースドライバ)などを構成していることが好ましい。また、上記に加えて演算回路、記憶回路などが構成されていてもよい。
<画素回路および画素電極111の形成>
続いて、基板60上に複数の画素回路を形成し、それぞれの画素回路に画素電極111を形成する(図20A参照)。まず画素電極111となる導電膜を成膜し、フォトリソグラフィ法によりレジストマスクを形成し、導電膜の不要な部分をエッチングにより除去する。その後、レジストマスクを除去することで、画素電極111を形成することができる。
画素電極111としては、可視光の波長域全域で反射率が高い材料(例えば銀またはアルミニウムなど)を適用することが好ましい。当該材料で形成された画素電極111は、光反射性を有する電極ということができる。これにより、発光デバイスの光取り出し効率を高められるだけでなく、色再現性を高めることができる。
また、発光デバイスには微小光共振器(マイクロキャビティ)構造が適用されていることが好ましい。そのため、発光デバイスが有する一対の電極の一方は、可視光に対する透過性および反射性を有する電極(半透過・半反射電極)を有することが好ましく、他方は、可視光に対する反射性を有する電極(反射電極)を有することが好ましい。発光デバイスがマイクロキャビティ構造を有することで、発光層から得られる発光を両電極間で共振させ、発光デバイスから射出される光を強めることができる。したがって、画素電極111は、上記反射率が高い材料と透光性導電膜(インジウムスズ酸化物など)の積層構成としてもよい。
続いて、画素電極111の表面に残留した水分を除去するためのベーク工程を行う。ベーク工程は、真空ベーク装置または成膜装置で行うことができる。真空ベークの条件は、100℃以上であることが好ましい。
続いて、画素電極111の表面処理を行う。例えば、プラズマ処理装置を用い、CFなどのフッ素系ガスでプラズマを生成し、画素電極111の表面に照射する。当該プラズマ処理により、画素電極111と次工程で形成されるEL膜との密着性を高めることができ、ピーリング不良を抑制することができる。
<EL膜112Rfの形成>
続いて、画素電極111上に、後にEL層112RとなるEL膜112Rfを成膜する。
EL膜112Rfは、少なくとも赤色発光性の有機化合物を含む膜を有する。このほかに、電子注入層、電子輸送層、電荷発生層、正孔輸送層、正孔注入層が積層された構成としてもよい。EL膜112Rfは、例えば蒸着法、またはスパッタリング法等により形成することができる。なおこれに限られず、上述した成膜方法を適宜用いることができる。
<保護膜125Rfの形成>
続いて、EL膜112Rf上に、後に保護層125Rとなる保護膜125Rfを成膜する(図20B参照)。
保護層125Rは、発光デバイスの製造工程においてEL層112Rの劣化および消失を防止するために用いられる一時的な保護層であり、犠牲層とも呼ばれる。保護膜125Rfは、水分などに対してバリア性が高く、成膜時に有機化合物にダメージを与えにくい成膜法で形成することが好ましい。また、エッチング工程において有機化合物にダメージを与えにくいエッチャントを用いることができる材料で形成することが好ましい。保護膜125Rfには、金属膜、合金膜、金属酸化物膜、半導体膜、無機絶縁膜などの無機膜を用いることができる。
例えば、タングステンなどの金属、酸化アルミニウムなどの無機絶縁膜、またはそれらの積層膜を用いることが好ましい。または、ALD法により形成された酸化アルミニウム膜と、スパッタリング法により形成された窒化シリコン膜との積層の構成を用いてもよい。なお、当該構成の場合、ALD法、およびスパッタリング法で成膜する際の成膜温度としては、室温以上120℃以下、好ましくは室温以上100℃以下とすることで、EL層に与える影響を低減することができるため好適である。また、保護層125Rを積層膜とする場合、当該積層膜の応力を小さくすることが好ましい。具体的には、積層膜を構成する各層のそれぞれの応力が、−500MPa以上+500MPa以下、より好ましくは、−200MPa以上+200MPa以下とすることで、膜剥がれ、ピーリングといった工程トラブルを抑制することができる。
<レジストマスク143aの形成>
続いて、発光デバイス110Rに対応する画素電極111上にレジストマスク143aを形成する(図20C参照)。レジストマスク143aは、リソグラフィ工程で形成することができる。
<保護層125Rの形成>
続いて、レジストマスク143aをマスクとして保護膜125Rfのエッチングを行い、島状の保護層125Rを形成する。エッチング工程にはドライエッチング法またはウェットエッチング法を用いることができる。その後、レジストマスク143aをアッシングまたはレジスト剥離液にて取り除く(図20D参照)。
<EL層112Rの形成>
続いて、保護層125RをマスクとしてEL膜112Rfのエッチングを行い、島状のEL層112Rを形成する(図20E参照)。エッチング工程にはドライエッチング法を用いることが好ましい。さらに、プラズマ処理装置等を用いて、EL層112R側面などのクリーニングを行う。
<保護膜126Rf,128Rfの形成>
続いて、EL層112Rおよび保護層125Rを覆う保護膜126Rfおよび保護膜128Rfを成膜する(図20F参照)。保護膜126Rfおよび保護膜128Rfには、保護膜125Rfと同様の無機膜などを用いることができる。保護膜126Rfおよび保護膜128Rfは、被覆性に優れたALD法で形成することが好ましい。または、保護膜126RfをALD法で形成し、保護膜128RfをCVDまたはスパッタリング法で形成してもよい。例えば、保護膜126Rfを酸化アルミニウムとし、保護膜128Rfを窒化シリコンとすることができる。異なる種類の膜を積層することで、強靭な保護膜を形成することができる。
<保護層126R、128Rの形成>
続いて、ドライエッチング法を用いて保護膜126Rfおよび保護膜128Rfを異方性エッチングし、保護膜126Rfおよび保護膜128Rfの一部を残すことで保護層126Rおよび保護層128Rを形成する(図21A参照)。なお、保護層126Rおよび保護層128Rは、EL層112Rの側面、保護層125Rの側面、および画素電極111の側面に形成されるが、少なくともEL層112Rの側面を覆うことができればよい。
<EL膜112Gfの形成>
続いて、画素電極111の表面に残留した水分を除去するためのベーク工程を行う。ベーク工程は、真空ベーク装置または成膜装置で行うことができる。ここでは、真空ベークの条件は、EL層112Rにダメージを与えないように、100℃以下、好ましくは90℃以下、より好ましくは80℃以下で行う。80℃で真空ベークを行った場合、30分以上加熱することで、脱離する水分(HO)が十分に減少することが昇温脱離ガス分析法(TDS)の測定結果から判明している。
続いて、露出している画素電極111の表面処理を行う。例えば、プラズマ処理装置を用い、CF4などのフッ素系ガスでプラズマを生成し、画素電極111の表面に照射する。そして、画素電極111上に、EL層112GとなるEL膜112Gfを成膜する。
EL膜112Gfは、少なくとも緑色発光性の有機化合物を含む膜を有する。このほかに、電子注入層、電子輸送層、電荷発生層、正孔輸送層、正孔注入層が積層された構成としてもよい。
<保護膜125Gfの形成>
続いて、EL膜112Gf上に、後に保護層125Gとなる保護膜125Gfを成膜する(図21B参照)。保護膜125Gfは、保護膜125Rfと同様の材料で形成することができる。
<レジストマスク143bの形成>
続いて、発光デバイス110Gに対応する画素電極111上にレジストマスク143bを形成する(図21C参照)。レジストマスク143bは、リソグラフィ工程で形成することができる。
<保護層125Gの形成>
続いて、レジストマスク143bをマスクとして保護膜125Gfのエッチングを行い、島状の保護層125Gを形成する。エッチング工程にはドライエッチング法またはウェットエッチング法を用いることができる。その後、レジストマスク143bをアッシングまたはレジスト剥離液にて取り除く(図21D参照)。
<EL層112Gの形成>
続いて、保護層125GをマスクとしてEL膜112Gfのエッチングを行い、島状のEL層112Gを形成する(図21E参照)。エッチング工程にはドライエッチング法を用いることが好ましい。さらに、プラズマ処理装置等を用いて、EL層112G側面などのクリーニングを行う。
<保護膜126Gf、128Gfの形成>
続いて、EL層112Gおよび保護層125Gを覆う保護膜126Gfおよび保護膜128Gfを成膜する(図21F参照)。保護膜126Gfには、保護膜126Rfと同様の無機膜などを用いることができる。また、保護膜128Gfは、保護膜128Rfと同様の無機膜などを用いることができる。
<保護層126Gの形成>
続いて、ドライエッチング法を用いて保護膜126Gfおよび保護膜128Gfを異方性エッチングし、保護膜126Gfおよび保護膜128Gfの一部を残すことで保護層126Gおよび保護層128Gを形成する(図22A参照)。なお、保護層126Gおよび保護層128Gは、EL層112Gの側面、保護層125Gの側面、および画素電極111の側面に形成されるが、少なくともEL層112Gの側面を覆うことができればよい。また、保護層126Gおよび保護層128Gは、保護層126Rおよび保護層128Rと重なるように形成されてもよい。
<EL膜112Bfの形成>
続いて、画素電極111の表面に残留した水分を除去するためのベーク工程を行う。ベーク工程は、真空ベーク装置または成膜装置で行うことができる。ここでは、真空ベークの条件は、EL層112R、112Gにダメージを与えないように、100℃以下、好ましくは90℃以下、より好ましくは80℃以下で行う。
続いて、露出している画素電極111の表面処理を行う。例えば、プラズマ処理装置を用い、CFなどのフッ素系ガスでプラズマを生成し、画素電極111の表面に照射する。そして、画素電極111上に、EL層112BとなるEL膜112Bfを成膜する。
EL膜112Bfは、少なくとも青色発光性の有機化合物を含む膜を有する。このほかに、電子注入層、電子輸送層、電荷発生層、正孔輸送層、正孔注入層が積層された構成としてもよい。
<保護膜125Bfの形成>
続いて、EL膜112Bf上に、後に保護層125Bとなる保護膜125Bfを成膜する(図22B参照)。保護膜125Bfは、保護膜125Rfと同様の材料で形成することができる。
<レジストマスク143cの形成>
続いて、発光デバイス110Bに対応する画素電極111上にレジストマスク143cを形成する(図22C参照)。レジストマスク143cは、リソグラフィ工程で形成することができる。
<保護層125Bの形成>
続いて、レジストマスク143cをマスクとして保護膜125Bfのエッチングを行い、島状の保護層125Bを形成する。エッチング工程にはドライエッチング法またはウェットエッチング法を用いることができる。その後、レジストマスク143cをアッシングまたはレジスト剥離液にて取り除く(図22D参照)。
<EL層112Bの形成>
続いて、保護層125BをマスクとしてEL膜112Bfのエッチングを行い、島状のEL層112Bを形成する(図22E参照)。エッチング工程にはドライエッチング法を用いることが好ましい。さらに、プラズマ処理装置等を用いて、EL層112B側面などのクリーニングを行う。
<保護膜126Bf、128Bfの形成>
続いて、EL層112Bおよび保護層125Bを覆う保護膜126Bfおよび保護膜128Bfを成膜する(図22F参照)。保護膜126Bfには、保護膜126Rfと同様の無機膜などを用いることができる。また、保護膜128Bfは、保護膜128Rfと同様の無機膜などを用いることができる。
<絶縁層127の形成>
続いて、画素電極間およびEL層間を充填するように絶縁層127を形成する(図23A参照)。絶縁層127を形成することで、段差を解消することができ、後の工程でEL層上に形成する導電膜(陰極)の段切れなどを防止することができる。また、EL層の側面近傍を絶縁層127で覆うことで、EL層に対する不純物の侵入およびEL層のピーリングなどを防止することができる。なお、絶縁層127は、当該導電膜と画素電極111との間に設けられた層間絶縁層ということもできる。
絶縁層127には、有機材料を有する絶縁層を用いることが好ましい。例えば、絶縁層127として、アクリル樹脂、ポリイミド樹脂、エポキシ樹脂、イミド樹脂、ポリアミド樹脂、ポリイミドアミド樹脂、シリコーン樹脂、シロキサン樹脂、ベンゾシクロブテン系樹脂、フェノール樹脂、およびこれら樹脂の前駆体等を適用することができる。また、絶縁層127として、ポリビニルアルコール(PVA)、ポリビニルブチラル、ポリビニルピロリドン、ポリエチレングリコール、ポリグリセリン、プルラン、水溶性のセルロース、またはアルコール可溶性のポリアミド樹脂などの有機材料を用いてもよい。また、絶縁層127として、紫外線硬化性の樹脂など、感光性の樹脂を用いることもできる。感光性の樹脂は、ポジ型の材料およびネガ型の材料のいずれでもよく、例えば、フォトレジストなどを用い、リソグラフィ工程と同様の工程で形成してもよい。
なお、絶縁層127形成後は、絶縁層127に含まれる水分および酸素を減少させるため、EL層がダメージを受けない範囲の温度でベークを行うことが好ましい。
続いて、アッシング処理を行い、絶縁層127の平坦化処理を行う(図23B参照)。絶縁層127が各EL層と重なる領域があると開口率が低下するため、各EL層上には絶縁層127がない状態とすることが好ましい。なお、絶縁層127を形成したときに、各EL層上に絶縁層127がない場合は、当該工程は不要である。また、各EL層上の絶縁層127が除去できれば、絶縁層127の上面は図中の破線で示すように若干の凹型または凸型であってもよい。
<バリア膜130fの形成>
続いて、保護膜128Bfおよび絶縁層127上にバリア膜130fを形成する(図23C参照)。バリア膜130fを設けることで、絶縁層127からの脱ガス等を抑制することができ、発光デバイスの信頼性をさらに向上させることができる。バリア膜130fは、保護膜125Rfと同様の無機膜をCVD法、ALD法またはスパッタリング法などで経営することができる。
<レジストマスク143dの形成>
続いて、絶縁層127上にレジストマスク143dを形成する(図23D参照)。レジストマスク143dは、リソグラフィ工程で形成することができる。レジストマスク143dは、各EL層と重ならないように形成することが好ましい。
<バリア層130の形成、保護層128Bの形成>
続いて、ドライエッチング法を用い、バリア膜130fおよび保護膜128Bfをエッチングし、バリア層130および保護層128Bを形成する(図23E参照)。
<保護層126Bの形成、保護層125R、125G、125B除去>
続いて、バリア層130をマスクとして保護膜126Bfをエッチングし、保護層126Bを形成する。さらに、保護層125R、125G、125Bを除去する(図23F参照)。なお、保護層126Bおよび保護層128Bは、EL層112Bの側面、保護層125Bの側面、および画素電極111の側面に形成されるが、少なくともEL層112Bの側面を覆うことができればよい。また、保護層126Bおよび保護層128Bは、保護層126Gおよび保護層128Gと重なるように形成されてもよい。
保護膜126Bfの一部のエッチングおよび保護層125R、125G、125Bの除去には、構成材料に適したエッチャントを用いたウェットエッチング法などを用いることが好ましい。なお、当該工程後にベーク処理を行うことが好ましい。ベーク工程は、真空ベーク装置または次工程の成膜装置で行うことができる。ここでは、真空ベークの条件は、EL層112R、112G、112Bにダメージを与えないように、100℃以下、好ましくは90℃以下、より好ましくは80℃以下で行う。80℃で真空ベークを行った場合、90分以上加熱することで、脱離する水分(HO)が十分に減少することがTDSの測定結果から判明している。なお、ベーク工程においては、大気ベークよりも真空ベークの方が、より低温で水分などの脱ガスを脱離することができるため好適である。なお、真空ベークの到達真空圧力としては、特に限定はなく、常圧よりも低い圧力とすればよい。
<共通電極形成>
続いて、前の工程で露出したEL層112R、EL層112G、EL層112B、およびバリア層130上に発光デバイスの共通電極113となる導電層を形成する(図24A参照)。共通電極113としては、発光層から発する光を半透過する薄い金属膜(例えば銀およびマグネシウムの合金など)、透光性導電膜(例えば、インジウムスズ酸化物、またはインジウム、ガリウム、亜鉛などを一つ以上含む酸化物など)のいずれか単膜または両者の積層膜を用いることができる。このような膜からなる共通電極113は、光透過性を有する電極ということができる。共通電極113となる導電層を形成する工程には、蒸着装置および/またはスパッタリング装置などを用いることができる。
なお、信頼性向上のため、共通電極113の形成前に、電子注入層、電子輸送層、電荷発生層、正孔輸送層、正孔注入層のいずれかの機能を有する層を共通層としてEL層112R、EL層112G、EL層112B上に設けてもよい。
画素電極111として光反射性を有する電極を有し、共通電極113として光透過性を有する電極を有することで、発光層から発する光は共通電極113を通じて外部に射出することができる。すなわち、トップエミッション型の発光デバイスが形成される。
<保護層形成>
続いて、共通電極113上に保護層121を形成する(図24B参照)。保護層121を形成する工程には、スパッタリング装置、CVD装置、またはALD装置などを用いることができる。
以上が、本発明の一態様の製造装置で作製することができる発光デバイスの作製方法の一例である。なお、図24Cは、図24Bに示す領域aの拡大図である。また、図24Dは、図24Bに示す領域bの拡大図である。
なお、本発明の一態様の製造装置で作製することができる発光デバイスとしては、図24Eに示すように、画素電極とEL層が同等の面積であってもよい。または、図24Fに示すように、画素電極の面積よりもEL層の面積が大きい構成であってもよい。このような構成とすることで、開口率をさらに高めることができる。
<製造装置例>
上述したEL膜112Rfの形成から保護層121形成までの作製工程に用いることができる製造装置の例を図25に示す。図25に示す製造装置の基本構成は、図3乃至図8に示す製造装置と同じである。
以下に、クラスタC1乃至クラスタC18ついて具体的に説明する。図25は製造装置全体を模式化した斜視図であり、ユーティリティーおよびゲートバルブなどの図示は省いている。また、トランスファー室TF1乃至TF18、およびロードロック室B1乃至B17は、明瞭化のために内部を可視化した図としている。
<クラスタC1>
クラスタC1は、ロード室LD、常圧プロセス装置A1、A2を有する。常圧プロセス装置A1は洗浄装置、常圧プロセス装置A2はベーク装置とすることができる。クラスタC1では、EL膜112Rfを成膜する前の洗浄工程が行われる。
<クラスタC2>
クラスタC2は、真空プロセス装置V1乃至V5を有する。真空プロセス装置V1乃至V5は、EL膜112Rfを形成する下地(画素電極)の表面処理を行うための表面処理装置、EL膜112Rfを形成するための蒸着装置、および保護膜125Rfを形成するための成膜装置(例えば、スパッタリング装置、ALD装置など)である。例えば、真空プロセス装置V1をプラズマ処理装置、真空プロセス装置V2を発光層(R)となる有機化合物層の形成装置とすることができる。また、真空プロセス装置V3、V4を電子注入層、電子輸送層、電荷発生層、正孔輸送層、正孔注入層などの有機化合物層の形成装置に割り当てることができる。また、真空プロセス装置V5を保護膜125Rfの形成装置に割り当てることができる。
<クラスタC3>
クラスタC3は、常圧プロセス装置A3乃至A7を有する。常圧プロセス装置A3乃至A7は、リソグラフィ工程に用いる装置とすることができる。例えば、常圧プロセス装置A3を樹脂(フォトレジスト)塗布装置、常圧プロセス装置A4をプリベーク装置、常圧プロセス装置A5を露光装置、常圧プロセス装置A6を現像装置、常圧プロセス装置A7をポストベーク装置とすることができる。または、常圧プロセス装置A5をナノインプリント装置としてもよい。
<クラスタC4>
クラスタC4は、真空プロセス装置V6乃至V10を有する。例えば、真空プロセス装置V6は、EL層112Rの形成を行うドライエッチング装置とすることができる。真空プロセス装置V7は、EL層112Rの側面等のクリーニングを行うプラズマ処理装置とすることができる。真空プロセス装置V8は、待機室とすることができる。真空プロセス装置V9は、保護膜126Rfおよび保護膜128Rfの成膜を行うALD装置とすることができる。真空プロセス装置V10は、保護層126Rおよび保護層128Rを形成するためのドライエッチング装置とすることができる。
<クラスタC5>
クラスタC5は、常圧プロセス装置A8、A9を有する。常圧プロセス装置A8は洗浄装置、常圧プロセス装置A9はベーク装置とすることができる。クラスタC5では、EL膜112Gfを成膜する前の洗浄工程が行われる。
<クラスタC6>
クラスタC6は、真空プロセス装置V11乃至V15を有する。真空プロセス装置V11乃至V15は、EL膜112Gfを形成する下地(画素電極)の表面処理を行うための表面処理装置、EL膜112Gfを形成するための蒸着装置、および保護膜125Gfを形成するための成膜装置(例えば、スパッタリング装置、ALD装置など)である。例えば、真空プロセス装置V11をプラズマ処理装置、真空プロセス装置V12を発光層(G)となる有機化合物層の形成装置とすることができる。また、真空プロセス装置V13、V14を電子注入層、電子輸送層、電荷発生層、正孔輸送層、正孔注入層などの有機化合物層の形成装置に割り当てることができる。また、真空プロセス装置V15を保護膜125Gfの形成装置に割り当てることができる。
<クラスタC7>
クラスタC7は、常圧プロセス装置A10乃至A14を有する。常圧プロセス装置A10乃至A14は、リソグラフィ工程に用いる装置とすることができる。装置の割り当ては、クラスタC3と同様とすることができる。
<クラスタC8>
クラスタC8は、真空プロセス装置V16乃至V20を有する。例えば、真空プロセス装置V16は、EL層112Gの形成を行うドライエッチング装置とすることができる。真空プロセス装置V17は、EL層112Gの側面等のクリーニングを行うプラズマ処理装置とすることができる。真空プロセス装置V18は、待機室とすることができる。真空プロセス装置V19は、保護膜126Gfおよび保護膜128Gfの成膜を行うALD装置とすることができる。真空プロセス装置V20は、保護層126Gおよび保護層128Gを形成するためのドライエッチング装置とすることができる。
<クラスタC9>
クラスタC9は、常圧プロセス装置A15、A16を有する。常圧プロセス装置A15は洗浄装置、常圧プロセス装置A16はベーク装置とすることができる。クラスタC9では、EL膜112Bfを成膜する前の洗浄工程が行われる。
<クラスタC10>
クラスタC10は、真空プロセス装置V21乃至V25を有する。真空プロセス装置V21乃至V25は、EL膜112Bfを形成する下地(画素電極)の表面処理を行うための表面処理装置、EL膜112Bfを形成するための蒸着装置、および保護膜125Bfを形成するための成膜装置(例えば、スパッタリング装置、ALD装置など)である。例えば、真空プロセス装置V21をプラズマ処理装置、真空プロセス装置V22を発光層(B)となる有機化合物層の形成装置とすることができる。また、真空プロセス装置V23、V24を電子注入層、電子輸送層、電荷発生層、正孔輸送層、正孔注入層などの有機化合物層の形成装置に割り当てることができる。また、真空プロセス装置V25を保護膜125Bfの形成装置に割り当てることができる。
<クラスタC11>
クラスタC11は、常圧プロセス装置A17乃至A21を有する。常圧プロセス装置A17乃至A21は、リソグラフィ工程に用いる装置とすることができる。装置の割り当ては、クラスタC3と同様とすることができる。
<クラスタC12>
クラスタC12は、真空プロセス装置V26乃至V29を有する。例えば、真空プロセス装置V26は、EL層112Bの形成を行うドライエッチング装置とすることができる。真空プロセス装置V27は、EL層112Gの側面等のクリーニングを行うプラズマ処理装置とすることができる。真空プロセス装置V28は、待機室とすることができる。真空プロセス装置V29は、保護膜126Bfおよび保護膜128Bfの成膜を行うALD装置とすることができる。
<クラスタC13>
クラスタC13は、常圧プロセス装置A22乃至A26を有する。常圧プロセス装置A22乃至A26は、リソグラフィ工程に用いる装置とすることができる。装置の割り当ては、クラスタC3と同様とすることができる。
<クラスタC14>
クラスタC14は、真空プロセス装置V30およびV31を有する。真空プロセス装置V30は、絶縁層127を平坦化するためのアッシング装置、またはアッシング機能を有するドライエッチング装置とすることがきる。真空プロセス装置V31は、バリア膜130fを形成するための成膜装置(例えば、スパッタリング装置、ALD装置、CVD装置など)とすることができる。
<クラスタC15>
クラスタC15は、常圧プロセス装置A27乃至A31を有する。常圧プロセス装置A27乃至A31は、リソグラフィ工程に用いる装置とすることができる。装置の割り当ては、クラスタC3と同様とすることができる。
<クラスタC16>
クラスタC16は、真空プロセス装置V32を有する。真空プロセス装置V32は、バリア膜130fおよび保護膜128Bfをエッチングするためのドライエッチング装置とすることができる。
<クラスタC17>
クラスタC17は、常圧プロセス装置A32およびA33を有する。常圧プロセス装置A32はウェットエッチング装置とすることができる。常圧プロセス装置A32では、保護膜126Bf、および保護層125R、125G、125Bのエッチング工程が行われる。
<クラスタC18>
クラスタC18は、真空プロセス装置V33乃至V35、およびアンロード室ULDを有する。真空プロセス装置V33は、電子注入層、電子輸送層、電荷発生層、正孔輸送層、正孔注入層のいずれかの有機化合物層の形成装置(例えば、蒸着装置)に割り当てることができる。真空プロセス装置V34は、共通電極113を形成する成膜装置(例えば、スパッタリング装置)とすることができる。真空プロセス装置V35は、保護層121を形成する成膜装置(例えば、スパッタリング装置)とすることができる。または、真空プロセス装置Vを別途設けて、異なる成膜装置(例えば、蒸着装置、ALD装置など)を複数設け、共通電極113および保護層121を積層膜で形成してもよい。
図25に示す製造装置を用いた工程、処理装置、図20A乃至図24Bに示した作製方法に対応する要素を表1および表2にまとめる。なお、ロードロック室および各装置への基板の搬出入については記載を省いている。
Figure JPOXMLDOC01-appb-T000001
Figure JPOXMLDOC01-appb-T000002
本発明の一態様の製造装置は、表1および表2に示す工程No.1から工程No.72までを自動的に処理する機能を有する。
本実施の形態は、他の実施の形態に記載した構成と適宜組み合わせて実施することが可能である。
A:常圧プロセス装置、A1:常圧プロセス装置、A2:常圧プロセス装置、A3:常圧プロセス装置、A4:常圧プロセス装置、A5:常圧プロセス装置、A6:常圧プロセス装置、A7:常圧プロセス装置、A8:常圧プロセス装置、A9:常圧プロセス装置、A10:常圧プロセス装置、A11:常圧プロセス装置、A12:常圧プロセス装置、A13:常圧プロセス装置、A14:常圧プロセス装置、A15:常圧プロセス装置、A16:常圧プロセス装置、A17:常圧プロセス装置、A18:常圧プロセス装置、A19:常圧プロセス装置、A20:常圧プロセス装置、A21:常圧プロセス装置、A22:常圧プロセス装置、A23:常圧プロセス装置、A24:常圧プロセス装置、A25:常圧プロセス装置、A26:常圧プロセス装置、A27:常圧プロセス装置、A28:常圧プロセス装置、A29:常圧プロセス装置、A30:常圧プロセス装置、A31:常圧プロセス装置、A32:常圧プロセス装置、A33:常圧プロセス装置、B1:ロードロック室、B2:ロードロック室、B3:ロードロック室、B4:ロードロック室、B5:ロードロック室、B6:ロードロック室、B7:ロードロック室、B8:ロードロック室、B9:ロードロック室、B10:ロードロック室、B11:ロードロック室、B12:ロードロック室、B13:ロードロック室、B14:ロードロック室、B15:ロードロック室、B16:ロードロック室、B17:ロードロック室、C:プラズマ処理装置、D:成膜装置、C1:クラスタ、C2:クラスタ、C3:クラスタ、C4:クラスタ、C5:クラスタ、C6:クラスタ、C7:クラスタ、C8:クラスタ、C9:クラスタ、C10:クラスタ、C11:クラスタ、C12:クラスタ、C13:クラスタ、C14:クラスタ、C15:クラスタ、C16:クラスタ、C17:クラスタ、C18:クラスタ、E1:エッチング装置、E2:エッチング装置、TF:トランスファー室、TF1:トランスファー室、TF2:トランスファー室、TF3:トランスファー室、TF4:トランスファー室、TF5:トランスファー室、TF6:トランスファー室、TF7:トランスファー室、TF8:トランスファー室、TF9:トランスファー室、TF10:トランスファー室、TF11:トランスファー室、TF12:トランスファー室、TF13:トランスファー室、TF14:トランスファー室、TF15:トランスファー室、TF16:トランスファー室、TF17:トランスファー室、TF18:トランスファー室、V:真空プロセス装置、V1:真空プロセス装置、V2:真空プロセス装置、V3:真空プロセス装置、V4:真空プロセス装置、V5:真空プロセス装置、V6:真空プロセス装置、V7:真空プロセス装置、V8:真空プロセス装置、V9:真空プロセス装置、V10:真空プロセス装置、V11:真空プロセス装置、V12:真空プロセス装置、V13:真空プロセス装置、V14:真空プロセス装置、V15:真空プロセス装置、V16:真空プロセス装置、V17:真空プロセス装置、V18:真空プロセス装置、V19:真空プロセス装置、V20:真空プロセス装置、V21:真空プロセス装置、V22:真空プロセス装置、V23:真空プロセス装置、V24:真空プロセス装置、V25:真空プロセス装置、V26:真空プロセス装置、V27:真空プロセス装置、V28:真空プロセス装置、V29:真空プロセス装置、V30:真空プロセス装置、V31:真空プロセス装置、V32:真空プロセス装置、V33:真空プロセス装置、V34:真空プロセス装置、V35:真空プロセス装置、W:待機室、20:ゲートバルブ、30:成膜装置、31:成膜材料供給部、32:マスクユニット、33:シリンダーユニット、34:電磁石ユニット、35:静電吸着ユニット、36:回転機構、37:昇降機構、39:マスク治具、40:シリンダー、41:プッシャーピン、42:貫通孔、45:カメラ、50:ステージ、51:基板ホルダー、52:蒸着源、53:シャッター、54:排気口、55:導入口、56:下部電極、57:ターゲット、58:上部電極、59:シャワー板、60:基板、60a:基板、60b:基板、61:ヒータ、62:基板ホルダー、63:基板ホルダー、70:搬送装置、70a:搬送装置、70b:搬送装置、70c:搬送装置、70d:搬送装置、70e:搬送装置、70f:搬送装置、70g:搬送装置、70h:搬送装置、70i:搬送装置、70j:搬送装置、70k:搬送装置、70m:搬送装置、70n:搬送装置、70p:搬送装置、70q:搬送装置、70s:搬送装置、71t:搬送装置、80a:ステージ、80b:ステージ、80c:ステージ、80d:ステージ、80e:ステージ、80f:ステージ、80g:ステージ、80h:ステージ、80i:ステージ、80j:ステージ、80k:ステージ、80m:ステージ、80n:ステージ、80p:ステージ、80q:ステージ、80r:ステージ、80s:ステージ、100:表示装置、110B:発光デバイス、110G:発光デバイス、110R:発光デバイス、111:画素電極、112B:EL層、112Bf:EL膜、112G:EL層、112Gf:EL膜、112R:EL層、112Rf:EL膜、112W:EL層、113:共通電極、114B:着色層、114G:着色層、114R:着色層、115:トランジスタ、116:トランジスタ、117:トランジスタ、121:保護層、125B:保護層、125Bf:保護膜、125G:保護層、125Gf:保護膜、125R:保護層、125Rf:保護膜、126B:保護層、126Bf:保護膜、126G:保護層、126Gf:保護膜、126R:保護層、126Rf:保護膜、127:絶縁層、128B:保護層、128Bf:保護膜、128G:保護層、128Gf:保護膜、128R:保護層、128Rf:保護膜、130:バリア層、130f:バリア膜、143a:レジストマスク、143b:レジストマスク、143c:レジストマスク、143d:レジストマスク、200:移載装置、201:コントローラ、202:動力源、203:バッテリー、204:車輪、205:ガスボンベ、206:バルブ、207:バルブ、208:搬出入口、209:移載装置、210:導入口、211:排出口

Claims (15)

  1.  ロード室と、第1のエッチング装置と、プラズマ処理装置と、待機室と、第1の成膜装置と、第2の成膜装置と、第2のエッチング装置と、アンロード室と、トランスファー室と、搬送装置と、を有し、
     前記搬送装置は、前記トランスファー室に設けられ、
     前記ロード室、前記第1のエッチング装置、前記プラズマ処理装置、前記待機室、前記第1の成膜装置、前記第2の成膜装置、前記第2のエッチング装置、および前記アンロード室は、前記トランスファー室とそれぞれゲートバルブを介して接続され、
     前記搬送装置は、前記ロード室、前記第1のエッチング装置、前記プラズマ処理装置、前記待機室、前記第1の成膜装置、前記第2の成膜装置、前記第2のエッチング装置、および前記アンロード室のいずれか一つから、他のいずれか一つに被加工物を移載することができ、
     ガラス基板上に有機化合物膜、第1の無機膜およびレジストマスクが順に積層された被加工物を前記ロード室に搬入し、
     前記第1のエッチング装置、前記プラズマ処理装置、前記待機室、前記第1の成膜装置、前記第2の成膜装置、前記第2のエッチング装置の順で前記被加工物を搬送し、
     前記有機化合物膜を島状の有機化合物層に加工し、前記有機化合物層の側面に保護層を形成して、前記被加工物を前記アンロード室に搬出する発光デバイスの製造装置。
  2.  請求項1において、
     前記第1のエッチング装置はドライエッチング装置であり、前記レジストマスクをマスクとして前記第1の無機膜を島状に形成し、前記島状の第1の無機膜をマスクとして前記有機化合物膜を前記島状の有機化合物層に加工する発光デバイスの製造装置。
  3.  請求項2において、
     前記第1のエッチング装置は、前記レジストマスクを除去するアッシング機能を有する発光デバイスの製造装置。
  4.  請求項1乃至3のいずれか一項において、
     前記プラズマ処理装置は、不活性ガスから生成されたプラズマを前記島状の有機化合物層の側面に照射し、前記島状の有機化合物層の側面のクリーニングを行う発光デバイスの製造装置。
  5.  請求項1乃至4のいずれか一項において、
     前記待機室は、複数の前記被加工物を収納することができる発光デバイスの製造装置。
  6.  請求項1乃至5のいずれか一項において、
     前記第1の成膜装置および前記第2の成膜装置の一方はALD装置であり、前記第1の成膜装置および前記第2の成膜装置の他方はスパッタリング装置またはCVD装置であり、前記島状の第1の無機膜および前記島状の有機化合物層を覆う2層構造の第2の無機膜を成膜する発光デバイスの製造装置。
  7.  請求項6において、
     前記ALD装置は、バッチ処理式である発光デバイスの製造装置。
  8.  請求項6または7において、
     前記第2のエッチング装置はドライエッチング装置であり、前記第2の無機膜を異方性エッチングすることにより、前記島状の有機化合物層の側面に前記保護層を形成する発光デバイスの製造装置。
  9.  請求項1乃至8のいずれか一項に記載の発光デバイスの製造装置を第3のクラスタとし、
     前記レジストマスクのフォトリソグラフィ工程を行う複数の装置を第2のクラスタとし、
     前記有機化合物膜および前記第1の無機膜の成膜工程を行う複数の装置を第1のクラスタとして有する発光デバイスの製造装置。
  10.  請求項9において、
     前記第1のクラスタ、前記第2のクラスタ、前記第3のクラスタの順で接続されている発光デバイスの製造装置。
  11.  請求項9において、
     前記第1のクラスタと前記第2のクラスタとの間、および前記第2のクラスタと前記第3のクラスタとの間において、
     被加工物を不活性ガス雰囲気に制御された容器に収納して移載する発光デバイスの製造装置。
  12.  請求項9乃至11のいずれか一項において、
     前記第1のクラスタ、前記第2のクラスタ、前記第3のクラスタの組み合わせを3個有する発光デバイスの製造装置。
  13.  請求項9乃至12のいずれか一項において、
     前記第1のクラスタは表面処理装置を有し、
     前記表面処理装置は、ハロゲンを含むガスから生成されたプラズマを用いる発光デバイスの製造装置。
  14.  請求項9乃至13のいずれか一項において、
     前記第1のクラスタは、蒸着装置、スパッタリング装置、CVD装置、ALD装置から選ばれる一つ以上の成膜装置を有する発光デバイスの製造装置。
  15.  請求項9乃至14のいずれか一項において、
     前記第2のクラスタは、塗布装置、露光装置、現像装置、およびベーク装置を有する発光デバイスの製造装置。
PCT/IB2022/052794 2021-04-08 2022-03-28 発光デバイスの製造装置 WO2022214907A1 (ja)

Priority Applications (4)

Application Number Priority Date Filing Date Title
CN202280023454.3A CN117044393A (zh) 2021-04-08 2022-03-28 发光器件的制造装置
US18/281,619 US20240186160A1 (en) 2021-04-08 2022-03-28 Manufacturing equipment for light-emitting device
JP2023512491A JPWO2022214907A1 (ja) 2021-04-08 2022-03-28
KR1020237037167A KR20230167059A (ko) 2021-04-08 2022-03-28 발광 디바이스의 제조 장치

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2021065828 2021-04-08
JP2021-065828 2021-04-08

Publications (1)

Publication Number Publication Date
WO2022214907A1 true WO2022214907A1 (ja) 2022-10-13

Family

ID=83545161

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/IB2022/052794 WO2022214907A1 (ja) 2021-04-08 2022-03-28 発光デバイスの製造装置

Country Status (5)

Country Link
US (1) US20240186160A1 (ja)
JP (1) JPWO2022214907A1 (ja)
KR (1) KR20230167059A (ja)
CN (1) CN117044393A (ja)
WO (1) WO2022214907A1 (ja)

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003264071A (ja) * 2002-03-08 2003-09-19 Ulvac Japan Ltd 有機el素子の製造方法及びその装置
JP2005097730A (ja) * 2003-08-15 2005-04-14 Semiconductor Energy Lab Co Ltd 成膜装置および製造装置
JP2007220360A (ja) * 2006-02-14 2007-08-30 Tokyo Electron Ltd 発光素子、発光素子の製造方法および基板処理装置
JP2009170282A (ja) * 2008-01-17 2009-07-30 Seiko Epson Corp 基板処理装置および有機エレクトロルミネッセンス装置の製造方法
JP2014044810A (ja) * 2012-08-24 2014-03-13 Canon Inc 有機el装置の製造方法
JP2018521459A (ja) * 2015-06-29 2018-08-02 アイメック・ヴェーゼットウェーImec Vzw 有機層の高分解能パターニングのための方法

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SG118118A1 (en) 2001-02-22 2006-01-27 Semiconductor Energy Lab Organic light emitting device and display using the same

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003264071A (ja) * 2002-03-08 2003-09-19 Ulvac Japan Ltd 有機el素子の製造方法及びその装置
JP2005097730A (ja) * 2003-08-15 2005-04-14 Semiconductor Energy Lab Co Ltd 成膜装置および製造装置
JP2007220360A (ja) * 2006-02-14 2007-08-30 Tokyo Electron Ltd 発光素子、発光素子の製造方法および基板処理装置
JP2009170282A (ja) * 2008-01-17 2009-07-30 Seiko Epson Corp 基板処理装置および有機エレクトロルミネッセンス装置の製造方法
JP2014044810A (ja) * 2012-08-24 2014-03-13 Canon Inc 有機el装置の製造方法
JP2018521459A (ja) * 2015-06-29 2018-08-02 アイメック・ヴェーゼットウェーImec Vzw 有機層の高分解能パターニングのための方法

Also Published As

Publication number Publication date
CN117044393A (zh) 2023-11-10
JPWO2022214907A1 (ja) 2022-10-13
US20240186160A1 (en) 2024-06-06
KR20230167059A (ko) 2023-12-07

Similar Documents

Publication Publication Date Title
US5562800A (en) Wafer transport method
US7074640B2 (en) Method of making barrier layers
US9419105B2 (en) Method for processing substrate and method for fabricating apparatus
JP2004342455A (ja) フラットパネルディスプレイ製造装置
US20060208630A1 (en) Deposition of permanent polymer structures for OLED fabrication
KR20180080416A (ko) 유기 발광 표시 장치 및 유기 발광 표시 장치의 제조 방법
US20070224547A1 (en) Method of processing substrate
TWI471447B (zh) Vacuum processing device
US20180315926A1 (en) Apparatus and method of directly patterning high resolution active matrix organic light emitting diodes using high-resolution shadow mask
WO2017132907A1 (en) A shadow mask with tapered openings formed by double electroforming
WO2022214907A1 (ja) 発光デバイスの製造装置
WO2022200906A1 (ja) 発光デバイスの製造装置
US20230006070A1 (en) Semiconductor Substrate Manufacturing Method and Semiconductor Substrate
WO2022172114A1 (ja) 発光デバイスの製造装置
WO2023285913A1 (ja) 発光デバイスの製造装置
CN117016044A (zh) 发光器件的制造装置
WO2022153151A1 (ja) 発光デバイスの製造装置
JP2023021074A (ja) 発光デバイスおよび受光デバイスの製造装置
JP2000353593A (ja) 有機エレクトロルミネッセンスディスプレイパネルの製造装置および製造方法
US20230422592A1 (en) Manufacturing equipment of light-emitting device
WO2022137022A1 (ja) 表示装置の製造装置
KR20100127183A (ko) 레지스트 도포 처리 방법 및 레지스트 패턴의 형성 방법
KR20110061419A (ko) 산화물 박막 트랜지스터의 제조방법
JP2003313655A (ja) 製造装置
US7435681B2 (en) Methods of etching stacks having metal layers and hard mask layers

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 22784221

Country of ref document: EP

Kind code of ref document: A1

WWE Wipo information: entry into national phase

Ref document number: 2023512491

Country of ref document: JP

WWE Wipo information: entry into national phase

Ref document number: 18281619

Country of ref document: US

WWE Wipo information: entry into national phase

Ref document number: 202280023454.3

Country of ref document: CN

ENP Entry into the national phase

Ref document number: 20237037167

Country of ref document: KR

Kind code of ref document: A

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 22784221

Country of ref document: EP

Kind code of ref document: A1