WO2022196182A1 - Pellicule, plaque originale pour exposition à la lumière, dispositif d'exposition à la lumière, procédé de production de pellicule et procédé de production de dispositif à semi-conducteur - Google Patents

Pellicule, plaque originale pour exposition à la lumière, dispositif d'exposition à la lumière, procédé de production de pellicule et procédé de production de dispositif à semi-conducteur Download PDF

Info

Publication number
WO2022196182A1
WO2022196182A1 PCT/JP2022/004741 JP2022004741W WO2022196182A1 WO 2022196182 A1 WO2022196182 A1 WO 2022196182A1 JP 2022004741 W JP2022004741 W JP 2022004741W WO 2022196182 A1 WO2022196182 A1 WO 2022196182A1
Authority
WO
WIPO (PCT)
Prior art keywords
pellicle
film
exposure
support frame
substrate
Prior art date
Application number
PCT/JP2022/004741
Other languages
English (en)
Japanese (ja)
Inventor
敦 大久保
陽介 小野
比佐子 石川
Original Assignee
三井化学株式会社
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 三井化学株式会社 filed Critical 三井化学株式会社
Publication of WO2022196182A1 publication Critical patent/WO2022196182A1/fr

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B32/00Carbon; Compounds thereof
    • C01B32/15Nano-sized carbon materials
    • C01B32/158Carbon nanotubes
    • C01B32/159Carbon nanotubes single-walled
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B32/00Carbon; Compounds thereof
    • C01B32/15Nano-sized carbon materials
    • C01B32/158Carbon nanotubes
    • C01B32/168After-treatment
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/62Pellicles, e.g. pellicle assemblies, e.g. having membrane on support frame; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor

Definitions

  • the present disclosure relates to a pellicle, an exposure original plate, an exposure apparatus, a method for manufacturing a pellicle, and a method for manufacturing a semiconductor device.
  • a pattern is formed on one side called a photomask in the technology (photolithography) that forms a pattern by applying a photosensitive substance to the surface of an object such as an electronic component, printed circuit board, or display panel and exposing it in a pattern.
  • a transparent substrate is used.
  • DUV Deep ultraviolet
  • EUV Extreme Ultra Violet
  • the amount of gas released in a vacuum after standing for 10 minutes in an atmosphere of 1 ⁇ 10 ⁇ 3 Pa or less at 23° C. is 1 ⁇ 10 ⁇ 3 Pa ⁇ L/s or less in a water system per pellicle.
  • hydrocarbon-based 1 ⁇ 10 ⁇ 5 Pa ⁇ L/s or less with a measured mass number range of 45 to 100 amu, and hydrocarbon-based 4 ⁇ 10 ⁇ 7 Pa ⁇ L with a measured mass number range of 101 to 200 amu /s or less is disclosed.
  • Patent Document 1 JP-A-2020-091310
  • An object to be solved by an embodiment of the present disclosure is to provide a pellicle including a pellicle film with suppressed sag, an exposure original plate including the pellicle, an exposure apparatus, a method for manufacturing the pellicle, and a method for manufacturing a semiconductor device. is.
  • a pellicle comprising a pellicle membrane containing carbon nanotubes and satisfying the following formula (1), and a support frame supporting the pellicle membrane.
  • E0/D>0.75 (1) E0 represents the displacement energy when pressure is applied from 0 Pa to 2 Pa in the bulge test, and D represents the displacement at 2 Pa.
  • An exposure original plate comprising an original plate and the pellicle according to ⁇ 1> or ⁇ 2> attached to the original plate.
  • An exposure apparatus including the exposure master plate according to ⁇ 3>.
  • Step (2) is a step of heating the pellicle film to 600° C. or more and 1500° C.
  • a step of attaching the heated pellicle film to a support frame in a stretched state It is a step including ⁇ 7>
  • ⁇ 8> The method for manufacturing a pellicle according to ⁇ 6> or ⁇ 7>, including the step (2).
  • ⁇ 9> a step of irradiating the original plate with exposure light emitted from a light source, passing through the pellicle film of the exposure original plate according to ⁇ 3>, and reflecting the exposure light from the original plate; and exposing the sensitive substrate in a pattern by irradiating the sensitive substrate with exposure light reflected by the original plate through the pellicle film.
  • a pellicle including a pellicle film with suppressed sag, an exposure original plate including the pellicle, an exposure apparatus, a method for manufacturing the pellicle, and a method for manufacturing a semiconductor device.
  • FIG. 1 A schematic diagram showing a state in which CNT fibers in a pellicle membrane are bent.
  • B is a schematic diagram showing a state in which CNT fibers in a pellicle film are stretched.
  • 4 is a graph showing the relationship between stress (that is, differential pressure) and displacement D;
  • FIG. 4 is a schematic diagram showing a state in which a pellicle film, which is cut out to a size of 1 cm ⁇ 1 cm at the center, is attached to a frame.
  • FIG. 2 is an explanatory diagram for explaining a state before a self-supporting film region of a pellicle film 11 according to the embodiment of the present disclosure is transferred onto a silicon substrate 20;
  • FIG. 1 A schematic diagram showing a state in which CNT fibers in a pellicle membrane are bent.
  • B is a schematic diagram showing a state in which CNT fibers in a pellicle film are stretched.
  • 4 is a graph showing the relationship between stress (that is, differential
  • FIG. 4 is an explanatory diagram for explaining a state after a self-supporting film region of the pellicle film 11 according to the embodiment of the present disclosure has been transferred onto the silicon substrate 20;
  • FIG. 2 is a schematic diagram showing a model of air layer/layer of CNT film/silicon substrate; It is the graph which plotted the relationship between the reflectance and the film thickness when the reflectance and the film thickness were measured at a wavelength of 285 nm.
  • 1 is a schematic cross-sectional view of an EUV exposure apparatus, which is an example of an exposure apparatus of the present disclosure; FIG. It is the schematic which shows the measuring apparatus in a bulge test.
  • a numerical range indicated using “to” means a range including the numerical values before and after “to” as the minimum and maximum values, respectively.
  • upper or lower limits described in a certain numerical range may be replaced with upper or lower limits of other numerical ranges described step by step.
  • upper or lower limits described in a certain numerical range may be replaced with values shown in Examples.
  • a combination of two or more preferred aspects is a more preferred aspect.
  • the amount of each component means the total amount of the multiple types of substances unless otherwise specified when there are multiple types of substances corresponding to each component.
  • the term “step” is not only an independent step, but even if it cannot be clearly distinguished from other steps, if the intended purpose of the step is achieved, the term included.
  • a pellicle of the present disclosure includes a pellicle membrane containing carbon nanotubes (also referred to as CNTs in the present disclosure) and satisfying the following formula (1), and a support frame that supports the pellicle membrane.
  • E0/D>0.75 (1) E0 represents the displacement energy when pressure is applied from 0 Pa to 2 Pa in the bulge test, and D represents the displacement at 2 Pa.
  • the stress-displacement properties of films containing carbon nanotubes exhibit the characteristic property of initially having a plastically deformed region if no treatment is performed.
  • the CNT film is used without any treatment, even if it initially has a constant tension, the tension may decrease and become loose over time. Therefore, the present inventor thought that by manufacturing a film in which the property of having a plastically deformed region at the initial stage is suppressed, the relaxation can be reduced and the sagging of the pellicle film can be suppressed.
  • FIG. 1(A) is a schematic diagram showing a state in which CNT fibers in a pellicle membrane are bent.
  • FIG. 1B is a schematic diagram showing a state in which CNT fibers in a pellicle membrane are stretched. The present inventors found that immediately after forming a CNT film, the CNT fibers are in a bent state as shown in FIG. It was presumed that the CNT film stretched exponentially and the pellicle film as a whole sagged.
  • the pellicle of the present disclosure includes a pellicle membrane that contains CNTs and satisfies formula (1), and a support frame that supports the pellicle membrane, so that CNT fibers are stretched as shown in FIG. Since the pellicle film can be kept in a flat state, the sagging of the entire pellicle film can be suppressed.
  • the pellicle film in the present disclosure contains carbon nanotubes and satisfies the following formula (1).
  • E0 represents the displacement energy when pressure is applied from 0 Pa to 2 Pa in the bulge test
  • D represents the displacement at 2 Pa.
  • the pellicle film in the present disclosure can suppress sagging of the pellicle film by including the above configuration.
  • a pellicle film in the present disclosure satisfies the following formula (1).
  • E0/D>0.75 (1) E0 represents the displacement energy when pressure is applied from 0 Pa to 2 Pa in the bulge test, and D represents the displacement at 2 Pa.
  • FIG. 2 is a graph showing the relationship between stress (that is, differential pressure) and displacement D. As shown in FIG. In FIG.
  • the vertical axis is displacement (mm) and the horizontal axis is stress [differential pressure] (Pa).
  • the stress-displacement line obtained from the data of the displacement D (unit: mm) and stress (unit: Pa) when pressure is applied from 0 Pa to 2 Pa is the displacement D at 2 Pa and the origin (differential pressure 0 Pa, displacement 0 mm). indicates that the pellicle film theoretically has almost no elongation before and after applying pressure.
  • the stress-displacement line does not show a linear proportional relationship and draws a curve that swells above the straight line connecting the displacement D at 2 Pa and the origin (differential pressure 0 Pa, displacement 0 mm) (for example, as in the present disclosure (which can be the stress-displacement line of a pellicle film containing a small amount of CNTs), representing the stretching of the film after applying pressure relative to before applying pressure.
  • the stress-displacement line of a general pellicle film shows a straight line connecting the displacement at 2 Pa and the origin (differential pressure 0 Pa, displacement 0 mm). often come to show displacement of the lateral region.
  • the displacement energy E0 in the present disclosure is the area of the region surrounded by the vertical axis, a straight line parallel to the horizontal axis and passing through the displacement D at 2 Pa, and the stress-displacement line. Represents the area of the upper region.
  • FIG. 3 is a schematic diagram showing a state in which a pellicle film with a size of 1 cm ⁇ 1 cm is cut from the central portion and attached to a frame.
  • the outermost frame represents the inside of the pellicle support frame.
  • the displacement energy is measured by applying a differential pressure to the pellicle film, the central portion of which is cut to a size of 1 cm ⁇ 1 cm, and is attached to the frame.
  • the displacement D is measured at the point where the displacement is greatest when pressure is applied to the membrane. If it is difficult to find the point of greatest displacement, measure the displacement at the center of the cut membrane.
  • the film displacement D can be measured using a laser displacement meter (device name: LJ-V7200, manufacturer name: KEYENS).
  • the pellicle membrane of the present disclosure has E0>0.75 ⁇ (2Pa ⁇ D) ⁇ 1/2, That is, E0/D>0.75 (1) meet.
  • E0/D>X means that E0 divided by D is greater than X.
  • the pellicle membrane in the present disclosure preferably satisfies E0/D>0.80, more preferably satisfies E0/D>0.85, and E0/D >0.90 is more preferred.
  • the pellicle membrane in the present disclosure may satisfy E0/D ⁇ 1.00, may satisfy E0/D ⁇ 0.99, or may satisfy E0/D ⁇ 0.95.
  • Displacement energy E0 when pressure is applied from 0 Pa to 2 Pa in the bulge test is not limited as long as it satisfies Equation 1, but is, for example, 0.8 ⁇ 10 ⁇ 5 kg ⁇ s ⁇ 2 or more and 4.0 ⁇ 10 ⁇ 3 kg ⁇ s ⁇ 2 , preferably 1.0 ⁇ 10 ⁇ 5 kg ⁇ s ⁇ 2 or more and 1.0 ⁇ 10 ⁇ 3 kg ⁇ s ⁇ 2 , and 8.0 ⁇ 10 ⁇ 4 It is more preferable to be 4.0 ⁇ 10 ⁇ 4 kg ⁇ s ⁇ 2 to 4.0 ⁇ 10 ⁇ 4 kg ⁇ s ⁇ 2 .
  • the displacement D at 2 Pa when pressure is applied from 0 Pa to 2 Pa in the bulge test can be adjusted by the ease of deformation of the pellicle membrane and the tension applied to the pellicle membrane.
  • the displacement D may be 5.0 mm or less, preferably 1.5 mm or less, more preferably 1.0 mm or less, further preferably 0.5 mm or less, particularly 0.3 mm or less. preferable.
  • the displacement D may be greater than 0 mm, 0.01 mm or more, or 0.1 mm or more.
  • the pellicle film in the present disclosure preferably has a transmittance dispersion 3 ⁇ of 0.70 or less. Since the pellicle film has a transmittance dispersion 3 ⁇ of 0.70 or less, it is possible to suppress variations in transmittance. From the same viewpoint as above, the pellicle film preferably has a transmittance dispersion 3 ⁇ of 0.50 or less, more preferably 0.30 or less. The pellicle film may have a transmittance dispersion 3 ⁇ of greater than 0 or 0.01 or more.
  • Transmittance dispersion 3 ⁇ is measured by the following method. EUV light narrowed down to 1 mm 2 is vertically incident on the sample, and the amount of transmitted light is measured by a light receiving element. Transmittance is determined by comparing the value obtained with no sample. A sample is obtained by spreading a pellicle film on a Si substrate whose center is hollowed out. The self-standing surface is 1 cm 2 (length 1 cm ⁇ width 1 cm), and EUV light narrowed down to 1 mm 2 is applied to a central portion of 25 mm 2 (length 5 mm ⁇ width 5 mm) excluding the edge of the frame.
  • Irradiation points are 5 points each in length and width (25 points in total) obtained by dividing the central portion of 25 mm 2 into a grid pattern in units of 1 mm 2 (1 mm in length ⁇ 1 mm in width).
  • Transmittance dispersion 3 ⁇ is calculated from the standard deviation of transmittance at 25 points in total.
  • a pellicle membrane in the present disclosure is a membrane containing CNTs.
  • the pellicle membrane in the present disclosure exhibits good strength by containing CNTs.
  • the diameter of the CNT tube in the CNT film is preferably 0.8 nm or more and 400 nm or less, more preferably 2 nm or more and 100 nm or less, and further preferably 4 nm or more and 100 nm or less.
  • the tube diameter of the carbon nanotube refers to the diameter of a single fiber when present as a single fiber in the pellicle membrane, and refers to the diameter of a bundle when present as a CNT bundle (that is, a bundle).
  • the CNTs are not particularly limited, and may be single-wall CNTs or multi-wall CNTs.
  • the bundle diameter of the single-walled CNTs is preferably 4 nm to 400 nm, more preferably 4 nm to 40 nm, from the viewpoint of improving light transmittance.
  • the multi-wall CNT single fiber diameter or bundle diameter is preferably 4 nm to 400 nm, more preferably 4 nm to 100 nm. preferable.
  • the CNTs preferably form a non-woven fabric. Since the shape of the CNTs contained in the pellicle membrane of the present disclosure is usually fibrous, the pellicle membrane of the present disclosure as a whole can form a non-woven fabric shape. Since the CNTs contained in the pellicle membrane form a non-woven fabric, the pellicle membrane can be ensured with air permeability. For example, when performing exposure using EUV light with an exposure apparatus having a pellicle, it is required to irradiate the pellicle with EUV light under vacuum or reduced pressure conditions. In the pellicle membrane of the present disclosure, the CNTs form a nonwoven fabric shape, so that air permeability can be secured by the nonwoven fabric shape, and a vacuum or reduced pressure environment can be easily created.
  • the pellicle film of the present disclosure may further include an antioxidant layer on at least one side. Since the pellicle film further includes an antioxidant layer, it is possible to suppress oxidation of the pellicle film during light irradiation or storage of the pellicle.
  • the type of the anti-oxidation layer is not particularly limited as long as it is a layer made of a material that is stable against light (preferably EUV light).
  • a material that is stable against light preferably EUV light.
  • SiON, Y 2 O 3 , YN, Mo, Ru, Rb, Sr, Y, Zr, Nb or a layer made of Rh, or the like.
  • the thickness of the antioxidant layer is preferably about 1 nm to 10 nm, more preferably about 2 nm to 5 nm.
  • the ratio of the thickness of the antioxidant layer to the thickness of the pellicle film is preferably in the range of 0.03 to 1.0. If it is the said numerical range, it can suppress that light is absorbed by an antioxidant layer, and can suppress the fall of the transmittance
  • the thickness of the anti-oxidation layer is preferably an optimum thickness within the range of suppressing a decrease in light transmittance due to absorption and a decrease in light transmittance due to reflection and having anti-oxidation performance.
  • the thickness uniformity and surface roughness of the antioxidant layer are not particularly limited.
  • the anti-oxidation layer may be either a continuous layer or a sea-island layer as long as there is no problem due to non-uniform thickness, non-uniform transmittance due to surface roughness, or light scattering. Also, the thickness may be non-uniform and the surface may be rough.
  • the average refractive index of the pellicle film including the pellicle film and the antioxidant layer is preferably in the range of 1.9 to 5.0.
  • the refractive index can be measured by a technique such as spectroscopic ellipsometry.
  • the average density of the pellicle film including the pellicle film and the antioxidant layer is preferably in the range of 1.5 g/cm 3 to 5.0 g/cm 3 . Density can be measured by techniques such as X-ray reflectometry.
  • the thickness of the pellicle film (the total thickness when it consists of two or more layers) can be, for example, 2 nm or more and 200 nm or less, or can be 4 nm or more and 200 nm or less.
  • the thickness of the pellicle film (the total thickness when it consists of two or more layers) is preferably 4 nm or more, more preferably 6 nm or more, and 8 nm or more. It is more preferably 10 nm or more, particularly preferably 10 nm or more, and even more preferably 50 nm or more.
  • the thickness of the pellicle film (the total thickness if it consists of two or more layers) is preferably 100 nm or less, more preferably 80 nm or less, and 60 nm or less. More preferably, it is particularly preferably 40 nm or less. From these points of view, the thickness of the pellicle film (the total thickness when it consists of two or more layers) is preferably 2 nm or more and 100 nm or less, preferably 4 nm or more and 100 nm or less, and 6 nm or more and 100 nm or less. is preferably 8 nm or more and 80 nm or less, more preferably 10 nm or more and 60 nm or less, and particularly preferably 10 nm or more and 40 nm or less.
  • FIG. 4A is an explanatory diagram for explaining a state before the self-supporting film region of the pellicle film 111 according to the embodiment of the present disclosure is transferred onto the silicon substrate 120.
  • FIG. 4B is an explanatory diagram for explaining a state after the self-supporting film region of the pellicle film 111 according to the embodiment of the present disclosure has been transferred onto the silicon substrate 120.
  • FIG. 4A is an explanatory diagram for explaining a state before the self-supporting film region of the pellicle film 111 according to the embodiment of the present disclosure is transferred onto the silicon substrate 120.
  • FIG. 4B is an explanatory diagram for explaining a state after the self-supporting film region of the pellicle film 111 according to the embodiment of the present disclosure has been transferred onto the silicon substrate 120.
  • the thickness of the pellicle film is measured by transferring only a portion 1110 of the pellicle film 111 corresponding to the self-supporting film region (hereinafter also referred to as “self-supporting film portion 1110”) to the silicon substrate 120 and measuring the thickness of the self-supporting film using a film thickness measuring device. It is obtained by measuring the thickness of a specific portion of the film portion 1110 .
  • a solvent is dropped onto a silicon substrate 120 to form a solvent layer 121 on the silicon substrate 120, as shown in FIG. 4A.
  • the pellicle is placed on the silicon substrate 120 with the pellicle film 111 of the pellicle facing the silicon substrate 120 .
  • a solvent layer 121 is interposed between the silicon substrate 120 and the pellicle film 111 .
  • the silicon substrate 120 may be larger than the size of the self-supporting film region of the pellicle film 111, and it is preferable to use a silicon wafer with a size of 4 inches or more. Water, an organic solvent, etc. are mentioned as a solvent.
  • the pellicle film 111 is closely attached to the silicon substrate 120 without gaps. Then, when the silicon substrate 120 is fixed and the pellicle support frame 112 is lifted, the self-supporting film region separates from the pellicle film 111 and remains on the silicon substrate 120, as shown in FIG. 4B. In other words, the self-supporting film portion 1110 is transferred to the silicon substrate 120 .
  • the contour of the self-supporting film portion 1110 follows the contour of the opening H112 of the support frame 112 . A portion of the pellicle film 111 corresponding to the region to be supported remains attached to the support frame 112 .
  • ⁇ measurement ⁇ First, nine arbitrary measurement positions are selected on the pellicle film. For each measurement position, a reflectance spectrum is acquired in a wavelength range of 200 nm to 600 nm with a wavelength interval of 1 nm to 2 nm. However, the reflectance measurement conditions are as follows. ⁇ Condition> Measuring point diameter: 20 ⁇ m Measurement wavelength: wavelength 200 nm to 600 nm (wavelength interval: 1.3 to 1.5 nm) Number of measurement points: 121 points Distance between center points of adjacent measurement points: 40 ⁇ m
  • the thickness at each measurement position is calculated by analyzing the reflectance spectrum in the range of 225 to 500 nm by the method of least squares.
  • the thickness of the pellicle film is the average value of the thicknesses at each measurement position.
  • FIG. 5 is a schematic diagram showing a model of air layer/layer of CNT film/silicon substrate.
  • the reflectance Rs is represented by the following formula (a) using the amplitude reflectance rs .
  • r01 represents the amplitude reflectance from the interface between the air layer and the CNT film layer
  • r12 represents the amplitude reflectance from the interface between the CNT film layer and the silicon substrate layer
  • i represents an imaginary unit.
  • is the phase difference that occurs when light of wavelength ⁇ makes one round trip in the film, and is expressed by the following formula (c).
  • d represents the thickness
  • represents the angle of incidence
  • i represents an imaginary unit.
  • the thickness is obtained by calculating the thickness d with respect to the reflectance Rs in the wavelength range of 225 to 500 nm by the method of least squares using the relational expressions of the above formulas (a) to (c).
  • FIG. 6 is a graph plotting the relationship between reflectance and thickness when the reflectance and thickness at a wavelength of 285 nm are measured by the above-described method for a sample in which a non-uniform CNT film is transferred onto a silicon substrate. be. As shown in FIG. 6, the difference in thickness can be obtained with high accuracy from the reflectance value by the above-described method.
  • a pellicle of the present disclosure includes a support frame that supports a pellicle membrane.
  • the support frame is for supporting the pellicle membrane.
  • the support frame may have a region surrounded by the pellicle and the original, and a vent hole for maintaining a constant air pressure in the EUV exposure apparatus.
  • the pellicle membrane of the present disclosure is in the form of a non-woven fabric and has air permeability, so it is possible to create a vacuum environment and a reduced pressure environment.
  • a pellicle membrane made of carbon nanotubes is given as an example of a pellicle membrane having a non-woven fabric shape and air permeability. Since exposure to EUV light is performed in a vacuum environment (reduced pressure environment), the pellicle film may expand, contract or break due to the pressure difference during decompression and pressure recovery.
  • a filter is preferably provided in the ventilation hole so that foreign matter does not enter the area surrounded by the pellicle and the master.
  • filters include ULPA (Ultra Low Penetration Air) filters and metal meshes.
  • the support frame 14 may be colored within a range that does not interfere with exposure so as to facilitate inspection.
  • the material, shape, etc. of the support frame are not particularly limited as long as the frame can support the pellicle membrane of the present disclosure.
  • the material of the support frame may include aluminum, titanium, stainless steel, ceramic materials (eg, silicon, glass, etc.), carbon, resin such as polyethylene, and the like.
  • the support frame preferably contains aluminum, titanium, stainless steel, silicon, glass, or carbon as a material from the viewpoint of suppressing the amount of outgassing without having a large water content. It is more preferable to contain silicon or carbon.
  • the support frame may include a first support frame that supports the pellicle membrane and a second support frame that is connected to the first support frame.
  • the first support frame and the second support frame may be adhered via an adhesive layer.
  • a pellicle comprising a support frame having a configuration for connecting a second support frame to a first support frame is provided by a person who manufactures the first support frame that supports the pellicle membrane and a person who manufactures the first support frame. A plurality of persons who connect the second support frame to the second support frame may cooperate in manufacturing.
  • the pellicle of the present disclosure also includes a configuration including a pellicle membrane and a first support frame in a stage prior to being connected to the second support frame.
  • the support frame is preferably treated to make the surface hydrophobic, and it is also preferable to coat the surface with a material that does not contain much water (for example, inorganic material, ceramic material, etc.).
  • the procedure and method for fixing the pellicle membrane to the support frame are not particularly limited.
  • the pellicle membrane may be in direct contact with the support frame, or the support frame and the pellicle membrane may be fixed via an adhesive layer.
  • the pellicle film being in contact with the support frame means that the pellicle film is attached without an adhesive layer interposed therebetween.
  • the pellicle membrane and/or the support frame are provided with a different layer, such as a coating layer, using some material to bond the pellicle membrane to the support frame.
  • an etched substrate may be used as part of the support frame.
  • a pellicle film may be laminated on a substrate that can be removed by a specific treatment method, such as a metal, silicon substrate, glass, resin, or salt, and then, on the surface of the substrate opposite to the surface on which the pellicle film is placed, A mask may be applied according to the size of the frame and etched or dissolved leaving the mask shape. As a result, a pellicle using part of the substrate as a support frame can be obtained.
  • a specific treatment method such as a metal, silicon substrate, glass, resin, or salt
  • the trimming method for matching the substrate shape with the frame shape is not particularly limited.
  • a method of mechanically dividing the wafer or a method of laser trimming can be used.
  • a pellicle of the present disclosure may include an adhesive layer containing an adhesive.
  • aspects of the adhesive layer include the following (a) to (c).
  • (c) Adhesive layer for bonding the pellicle membrane and the support frame also referred to as a membrane adhesive layer.
  • the pellicle of the present disclosure may not include a membrane adhesive layer).
  • the adhesive contained in the adhesive layer is not particularly limited.
  • adhesives include acrylic resin adhesives, epoxy resin adhesives, polyimide resin adhesives, silicone resin adhesives, inorganic adhesives, double-sided adhesive tapes, polyolefin adhesives, and hydrogenated styrene adhesives. be done.
  • the adhesive is selected from the group consisting of silicone resin adhesives, acrylic resin adhesives, hydrogenated styrene adhesives, and epoxy resin adhesives, from the viewpoint of ease of application processing and ease of curing processing. At least one is preferably selected.
  • an adhesive is a concept that includes not only adhesives but also adhesives.
  • the thickness of the adhesive layer may be 1 ⁇ m or more and 1 mm or less, preferably 5 ⁇ m to 500 ⁇ m, more preferably 10 to 300 ⁇ m, particularly It is preferably 10-250.
  • the pellicle membrane forming the pellicle may include an antioxidant layer on both sides of the membrane.
  • the antioxidant layer By including the antioxidant layer in the pellicle film, oxidation of the pellicle film can be suppressed during EUV light irradiation or pellicle storage.
  • the pellicle film may include an antioxidant layer only on one side of the pellicle film.
  • the pellicle of the present disclosure is used not only as a protective member for suppressing foreign matter from adhering to the original in the EUV exposure apparatus, but also as a protective member for protecting the original during storage and transportation of the original. good too.
  • the pellicle is attached to the master plate (exposure master plate), it can be stored as it is after being removed from the EUV exposure apparatus.
  • Methods for mounting the pellicle on the master plate include a method of pasting with an adhesive, an electrostatic adsorption method, a method of mechanically fixing the pellicle, and the like.
  • the pellicle of the present disclosure is suitably used for exposure using exposure light with a short wavelength (eg, EUV light, light with a shorter wavelength than EUV light, etc.).
  • a short wavelength eg, EUV light, light with a shorter wavelength than EUV light, etc.
  • EUV light e.g., EUV light, light with a shorter wavelength than EUV light, etc.
  • EUV light e.g., EUV light, light with a shorter wavelength than EUV light, etc.
  • EUV (Extreme Ultra Violet) light refers to light with a wavelength of 1 nm or more and 30 nm or less.
  • the wavelength of EUV light is preferably 5 nm or more and 13.5 nm or less.
  • EUV light and light with a shorter wavelength than EUV light may be collectively referred to as "EUV light, etc.”.
  • a method for manufacturing a pellicle of the present disclosure is a method of manufacturing a pellicle of the present disclosure, comprising a step of preparing a pellicle film containing carbon nanotubes, and at least one of the following steps (1) and (2). ,including.
  • Step (1) is a step of applying a pressure of 2 Pa or more and 20 Pa or less to the film surface of the pellicle film; affixing the pellicle membrane with pressure applied to the pellicle membrane to the support frame.
  • Step (2) is a step of heating the pellicle film to 600° C. or more and 1500° C. or less; a step of attaching the heated pellicle membrane to a support frame in a stretched state; It is a step including
  • the method for manufacturing the pellicle of the present disclosure may include step (1), may include step (2), or may include both steps (1) and (2).
  • a method for manufacturing a pellicle of the present disclosure including step (1) includes Embodiment A below.
  • a method for manufacturing a pellicle of the present disclosure including step (2) includes Embodiment B below.
  • the method for manufacturing a pellicle according to Embodiment A is a method for manufacturing a pellicle according to the present disclosure, comprising a step of preparing a pellicle film containing carbon nanotubes (also referred to as a preparation step), and It includes the following steps of applying pressure (also referred to as a pressurizing step) and a step of attaching the pellicle membrane to a support frame while the pressure is applied to the pellicle film (also referred to as an attaching step).
  • the pellicle of the present disclosure described above can be manufactured by attaching the pellicle membrane prepared in the preparation step to the support frame in the pressing step and the attaching step.
  • the preparation step is a step of preparing a pellicle film containing CNTs.
  • CNT may be prepared by obtaining a commercially available product, or may be prepared by manufacturing.
  • the CNT those formed on a substrate for chemical vapor deposition by a CVD (Chemical Vapor Deposition) method in which a metal catalyst is present in the reaction system and an oxidizing agent is added to the reaction atmosphere are used.
  • CVD method for example, a plasma CVD method is used, but a low-pressure CVD method or a thermal CVD method may also be used.
  • water vapor is used as the oxidizing agent.
  • the concentration of water vapor may be 10 ppm or more and 10000 ppm or less, and water vapor may be added in a temperature environment of 600° C. or more and 1000° C. or less.
  • CNTs may be synthesized by arranging or patterning a metal catalyst on a substrate for chemical vapor deposition.
  • the obtained CNTs may be single-layered or multi-layered, and may be CNTs erected in a direction perpendicular to the surface of the substrate for chemical vapor deposition.
  • it can be manufactured with reference to, for example, International Publication No. 2006/011655.
  • Commercially available products of such CNTs include, for example, CNTs produced by the super-growth method sold by Zeon Corporation.
  • CNTs which may be CNT bulk structures
  • e-DIPS method direct injection pyrolytic synthesis method
  • DIPS method Direct injection pyrolysis synthesis method
  • the e-DIPS method which is an improvement of the DIPS method, focuses on the particle formation process in which the ferrocene used in the catalyst has different particle sizes on the upstream and downstream sides in the reactor, and uses only an organic solvent as a carbon source.
  • it is relatively easy to decompose in the carrier gas. That is, it is a method in which the growth point of single-walled CNTs is controlled by mixing a second carbon source that tends to be a carbon source.
  • a second carbon source that tends to be a carbon source.
  • a method of forming a sheet of carbon nanotubes into a film to manufacture the pellicle film for example, a method of forming a sheet of carbon nanotubes into a film to manufacture the pellicle film can be mentioned.
  • the method of forming a sheet of CNTs is not particularly limited, but for example, a method of forming a sheet of CNTs on a substrate may be used. A specific description will be given below.
  • CNTs (or CNT bulk structures) obtained by the CVD method, e-DIPS method, etc. can be used in a state of being dispersed in a solvent.
  • a CNT film is formed on the substrate by applying a liquid (dispersion) in which CNTs (or CNT bulk structures) are dispersed on the substrate and removing the solvent by evaporation.
  • a film in which the CNTs are substantially parallel to the surface of the substrate 110 is obtained by removing the solvent used in the dispersion.
  • the coating method is not particularly limited, and for example, spin coating, dip coating, bar coating, spray coating, electrospray coating, etc. may be used.
  • the metal catalyst used for CNT formation may cause a decrease in EUV transmittance, but when the CNTs are peeled off from the chemical vapor deposition substrate, there is almost no metal catalyst in the CNTs, so there is no effect. do not have.
  • An inorganic material may be used as the substrate.
  • silicon (Si) may be used for the substrate.
  • the substrate is not limited to silicon (Si), and may be a semiconductor material such as germanium (Ge), silicon germanium (SiGe), silicon carbide (SiC), gallium arsenide (GaAs), or a quartz glass substrate (silicon oxide). (SiO 2 )), glass substrates such as soda glass substrates, borosilicate glass substrates, sapphire substrates, silicon nitride (SiN), aluminum nitride (AlN) substrates, zirconia (ZrO 2 ) substrates, aluminum oxide (Al 2 O 3 ) etc.
  • the substrate preferably contains at least one of silicon, sapphire, and silicon carbide, which have a coefficient of linear thermal expansion close to that of the pellicle film.
  • silicon (Si) may be any of single crystal silicon, polycrystalline silicon, microcrystalline silicon, and amorphous silicon, but single crystal silicon is highly versatile and inexpensive from the viewpoint of etching efficiency. It is preferable from the point of view.
  • the shape of the substrate may be circular or rectangular.
  • the thickness of the substrate is not particularly limited, but is preferably 100 ⁇ m or more and 1000 ⁇ m or less, preferably 200 ⁇ m or more and 1000 ⁇ m or less from the viewpoint of handling.
  • the method for manufacturing a pellicle according to Embodiment A includes a step of applying a pressure of 2 Pa or more and 20 Pa or less to the surface of the pellicle membrane (also referred to as a pressurization step).
  • the pellicle manufacturing method of Embodiment A includes a pressurizing step, so that the CNT fibers in the pellicle membrane can be stretched from a bent state.
  • the pellicle membrane can be prevented from sagging by performing the later-described attaching step while the CNT fibers are kept stretched.
  • the pressure applied to the membrane surface of the pellicle membrane is 2 Pa or more, the CNT fibers in the pellicle membrane can be stretched more satisfactorily.
  • the pressure applied to the surface of the pellicle membrane is preferably 3 Pa or more.
  • the pressure applied to the surface of the pellicle membrane is 20 Pa or less, damage to the pellicle membrane can be prevented.
  • the pressure applied to the surface of the pellicle membrane is preferably 15 Pa or less, more preferably 10 Pa or less, and even more preferably 7 Pa or less.
  • a differential pressure applying device is applied to the rectangular frame to which the pellicle membrane is attached.
  • the method of manufacturing a pellicle according to Embodiment A includes a step of attaching the pellicle membrane to a support frame while the pressure is applied to the pellicle membrane (also referred to as an attaching step).
  • the attaching step is a step of connecting the pellicle film to the support frame so as to cover the opening of the support frame having the opening.
  • the attaching step after the pellicle film and the substrate are separated, the separated pellicle film may be connected to a support frame (that is, a pellicle frame).
  • the method for separating the pellicle film and the substrate is not particularly limited, but the following manufacturing examples are given.
  • a self-supporting film can be obtained by laminating a sacrificial layer on a substrate, forming a pellicle film thereon, and removing the sacrificial layer later.
  • the sacrificial layer can be metals, oxides, resins, salts, etc. that can be removed by a particular treatment method.
  • the sacrificial layer can be a metal such as aluminum that dissolves in acidic solutions.
  • a metal layer is laminated on the surface of a glass substrate or a silicon substrate by vapor deposition or sputtering, and a pellicle film is further laminated on the metal layer, and then immersed in a solution such as an acid solution that can dissolve the metal layer. By doing so, the film can be separated from the substrate.
  • the natural oxide film or silicon oxide layer on the silicon substrate is coated with a pellicle film and then immersed in an aqueous hydrofluoric acid solution for natural oxidation. It is also possible to remove the membrane or silicon oxide layer and peel the pellicle membrane from the substrate.
  • the sacrificial layer laminated on the substrate may be a water-soluble material such as a partially saponified polyvinyl alcohol resin or a salt such as sodium chloride. After laminating the pellicle film over the sacrificial layer, the film can be peeled off from the substrate by immersing the laminate in water.
  • the most suitable method should be selected depending on the process resistance of the pellicle film, film strength, removal rate of the sacrificial layer, thickness uniformity of the sacrificial layer, and surface roughness. Any suitable technique can be chosen.
  • the material of the substrate is metal, oxide film, resin, salt, or the like, which can be removed by a specific processing method, by etching or dissolving the substrate after laminating a pellicle film on the substrate, membranes can be obtained.
  • the copper foil substrate is etched by immersing it in a cupric chloride etchant to remove the substrate and obtain a film. can be done.
  • the substrate is a glass substrate
  • the substrate after laminating a pellicle film on the glass substrate, the substrate can be removed by etching the glass substrate using hydrofluoric acid to obtain the film.
  • the silicon substrate is etched by wet etching or dry etching to remove the silicon substrate, whereby the film can be obtained.
  • Wet etching can use an etchant such as KOH, TMAH, or hydrazine. Dry etching is performed using fluorine-based (SF 6 , CF 4 , NF 3 , PF 5 , BF 3 , CHF 3 , XeF 2 , F 2 +NO), chlorine-based (Cl 2 , SiCl 4 ), bromine-based (IBr), and the like.
  • An etching gas can be used. Since the wet etching rate varies depending on the temperature, it is preferable to lower the liquid temperature and lower the etching rate in order to etch without damaging the thin film containing CNTs on the silicon substrate.
  • a layer such as an etching stop layer may be provided in advance on the surface of the silicon substrate.
  • the etching stop layer include layers made of SiO 2 and SiN.
  • the etching stop layer is preferably composed of a film in which tensile stress is generated. Residual stress acting parallel to the surface of the substrate and thin film includes tensile stress and compressive stress. When a force that tries to expand the thin film acts inside the thin film, it becomes a tensile stress. These stresses are mainly generated during the thin film formation process. One factor that causes residual stress is the difference in coefficient of thermal expansion between the substrate and the thin film.
  • both the substrate and the thin film shrink, but the rate differs depending on the coefficient of thermal expansion. It is preferable because the film in which tensile stress is generated exerts tension on the pellicle film provided on the film, and a wrinkle-free film can be obtained. Since the layer made of SiN generates tensile stress, the pellicle film obtained by dry etching the silicon substrate can be a wrinkle-free film. By removing the etching stop layer after the dry etching of the silicon substrate is completed, the desired self-supporting film can be obtained.
  • the substrate is made of a salt such as sodium chloride
  • the substrate is immersed in water to etch and remove the substrate, thereby obtaining a film.
  • the plastic substrate can be dissolved by immersing the plastic substrate in a soluble solvent to obtain a film.
  • a surface treatment method using a silane coupling agent there is a surface treatment method using a silane coupling agent.
  • Other methods include cleaning the substrate surface with water, an organic solvent, a piranha solution, sulfuric acid, UV ozone treatment, and the like.
  • a mixed solution of hydrogen peroxide and ammonium hydroxide, a mixed solution of hydrochloric acid and hydrogen peroxide, and the like, which are used in the RCA cleaning method can be used.
  • the deposition of the sacrificial layer and the surface treatment on the substrate may be performed by combining methods of etching or dissolving the substrate. It is preferable that the material used for the formation of the sacrificial layer or the surface treatment does not easily remain on the surface or inside of the pellicle membrane, and if the material remains, it can be easily removed. For example, etching with gas, vaporization with heat, cleaning with a solvent, decomposition removal with light, etc. may be performed, and removal may be performed by combining them.
  • the adhesive layer forming step is a step of forming an adhesive layer by applying an adhesive to the surface of the support frame at the opening on the side opposite to the side to which the pellicle film is connected.
  • the original plate such as the photomask and the support frame can be adhered via the adhesive layer.
  • a separator may be arranged so as to contact the surface of the formed adhesive layer opposite to the support frame.
  • a method for manufacturing a pellicle according to Embodiment B is a method for manufacturing a pellicle according to the present disclosure, comprising: a step of preparing a pellicle film containing the carbon nanotubes (also referred to as a preparation step); The following steps include a heating step (also referred to as a heating step) and a step of attaching the pellicle membrane in a stretched state to a support frame (also referred to as an attaching step).
  • the pellicle of the present disclosure described above can be manufactured by attaching the pellicle membrane prepared in the preparation step to the support frame in the heating step and the attaching step.
  • the sticking step in Embodiment B is a step of sticking the pellicle membrane in a stretched state to the support frame.
  • the sticking step in the embodiment B is a step of connecting the pellicle film to the support frame so as to cover the opening of the support frame having the opening, similarly to the sticking step in the embodiment A.
  • the attaching step in Embodiment B after the pellicle film and the substrate are separated, the separated pellicle film may be connected to the support frame (that is, the pellicle frame).
  • Details such as specific aspects and preferred aspects of the method for separating the pellicle film and the substrate are the same as the details of the specific aspects and preferred aspects of the method for separating the pellicle film and the substrate in Embodiment A.
  • the pellicle manufacturing method of Embodiment B includes a step of heating the pellicle film to 600° C. or more and 1500° C. or less.
  • the pellicle manufacturing method of Embodiment B includes a heating step, so that the CNT fibers in the pellicle membrane can be easily stretched.
  • the heating temperature is preferably 700° C. or higher.
  • the heating temperature is preferably 1300° C. or lower, more preferably 1000° C. or lower, and even more preferably 800° C. or lower.
  • An exposure master of the present disclosure includes a master and a pellicle of the present disclosure attached to the master. Since the exposure original plate of the present disclosure includes the pellicle of the present disclosure, it has the same effects as the pellicle of the present disclosure.
  • the method of mounting the original on the pellicle of the present disclosure is not particularly limited.
  • the original may be attached directly to the support frame, or may be attached via an adhesive layer for the original on one end face of the support frame.
  • the support frame may be fixed.
  • an original including a supporting substrate, a reflective layer laminated on the supporting substrate, and an absorber layer formed on the reflective layer can be used.
  • a pellicle is mounted on the side of the original on which the reflective layer and the absorber layer are provided.
  • the absorber layer partially absorbs light (eg, EUV light) to form a desired image on a sensitive substrate (eg, a semiconductor substrate with a photoresist film).
  • the reflective layer may be a multilayer film of molybdenum (Mo) and silicon (Si).
  • the absorber layer can be a material that is highly absorptive of EUV light, such as chromium (Cr) or tantalum nitride.
  • the exposure apparatus of the present disclosure includes the exposure master plate described above. More specifically, the exposure apparatus of the present disclosure includes a light source that emits exposure light, an exposure original plate of the present disclosure, and an optical system that guides the exposure light emitted from the light source to the exposure original plate.
  • the exposure original plate is arranged so that the exposure light emitted from the light source passes through the pellicle film and is irradiated onto the original plate. Therefore, the exposure apparatus of the present disclosure has the same effects as the exposure original plate of the present disclosure.
  • the exposure apparatus of the present disclosure includes a light source that emits exposure light, an exposure master plate of the present disclosure, and an optical system that guides the exposure light emitted from the light source to the exposure master plate, and the exposure master plate is the light source. It is preferable that the exposure light emitted from the pellicle film passes through the pellicle film and is irradiated onto the original plate. According to this aspect, in addition to being able to form a fine pattern (for example, a line width of 32 nm or less) by EUV light or the like, even when using EUV light, which tends to cause a problem of poor resolution due to foreign matter, Pattern exposure can be performed with reduced resolution defects.
  • a fine pattern for example, a line width of 32 nm or less
  • the exposure light in the present disclosure is EUV light.
  • the method for manufacturing a semiconductor device comprises a step of transmitting exposure light emitted from a light source through the pellicle film of the exposure master plate of the present disclosure, irradiating the master plate, and reflecting the exposure light from the master plate; exposing the sensitive substrate in a pattern by irradiating the sensitive substrate with the exposed light that passes through the pellicle film.
  • the method of manufacturing a semiconductor device of the present disclosure it is possible to manufacture a semiconductor device in which poor resolution due to foreign matter is reduced even when using EUV light, in which poor resolution due to foreign matter is likely to be a problem.
  • FIG. 7 is a schematic cross-sectional view of an EUV exposure apparatus 800, which is an example of the exposure apparatus of the present disclosure.
  • the EUV exposure apparatus 800 includes a light source 831 that emits EUV light, an exposure master plate 850 that is an example of the exposure master plate of the present disclosure, and the EUV light emitted from the light source 831 onto the exposure master plate 850. and illumination optics 837 for guiding.
  • the exposure original plate 850 includes a pellicle 810 including a pellicle film 812 and a support frame 814 and an original plate 833 .
  • This exposure master plate 850 is arranged so that the EUV light emitted from the light source 831 passes through the pellicle film 812 and irradiates the master plate 833 .
  • the original plate 833 reflects the irradiated EUV light in a pattern.
  • Support frame 814 and pellicle 810 are examples of the support frame and pellicle of the present disclosure, respectively.
  • filter windows 820 and 825 are installed between the light source 831 and the illumination optical system 837 and between the illumination optical system 837 and the original plate 833, respectively.
  • the EUV exposure apparatus 800 also includes a projection optical system 838 that guides the EUV light reflected by the master 833 to the sensitive substrate 834 .
  • the EUV light reflected by the original plate 833 is guided onto the sensitive substrate 834 through the projection optical system 838, and the sensitive substrate 834 is exposed in a pattern.
  • the EUV exposure is performed under reduced pressure conditions.
  • EUV light source 831 emits EUV light toward illumination optics 837 .
  • the EUV light source 831 includes a target material, a pulse laser irradiation section, and the like. EUV is obtained by irradiating this target material with a pulse laser to generate plasma. If the target material is Sn, EUV with a wavelength of 13 nm to 14 nm can be obtained.
  • the wavelength of the light emitted by the EUV light source is not limited to 13 nm to 14 nm, and may be light having a wavelength suitable for the purpose within the wavelength range of 5 nm to 30 nm.
  • the illumination optical system 837 collects the light emitted from the EUV light source 831 , uniforms the illuminance, and irradiates the master 833 with the light.
  • the illumination optical system 837 includes a plurality of multilayer film mirrors 832 for adjusting the EUV optical path, an optical coupler (optical integrator), and the like.
  • the multilayer mirror is a multilayer film or the like in which molybdenum (Mo) and silicon (Si) are alternately laminated.
  • the mounting method of the filter windows 820 and 825 is not particularly limited, and includes a method of sticking them via an adhesive or the like, a method of mechanically fixing them in the EUV exposure apparatus, and the like.
  • a filter window 820 placed between the light source 831 and the illumination optical system 837 traps the scattered particles (debris) generated from the light source, and the scattered particles (debris) reach the elements inside the illumination optical system 837 (for example, a multilayer film). Avoid sticking to the mirror 832).
  • a filter window 825 arranged between the illumination optical system 837 and the master 833 catches particles (debris) scattered from the light source 831 side and prevents the scattered particles (debris) from adhering to the master 833. .
  • the pellicle 810 is mounted so as to cover the EUV light irradiation area of the master 833 .
  • the EUV light passes through the pellicle film 812 and irradiates the original plate 833 .
  • the EUV light reflected by the original plate 833 passes through the pellicle film 812 and is irradiated onto the sensitive substrate 834 through the projection optical system 838 .
  • a projection optical system 838 collects the light reflected by the original 833 and irradiates it onto the sensitive substrate 834 .
  • a projection optical system 838 includes a plurality of multilayer film mirrors 835, 836 and the like for adjusting the EUV optical path.
  • the sensitive substrate 834 is a substrate or the like in which a resist is applied on a semiconductor wafer, and the EUV reflected by the original plate 833 cures the resist in a pattern. By developing the resist and etching the semiconductor wafer, a desired pattern is formed on the semiconductor wafer.
  • the pellicle 810 is attached to the original plate 833 via an adhesive layer for the original plate or the like. Foreign matter adhering to the original absorbs or scatters EUV, causing poor resolution on the wafer. Therefore, the pellicle 810 is mounted so as to cover the EUV light irradiation area of the master 833 , and the EUV passes through the pellicle film 812 and irradiates the master 833 .
  • any method can be used as long as it can be installed on the original plate so that foreign matter does not adhere to the surface of the original plate.
  • method, mechanical fixing method, and the like but are not particularly limited.
  • a method of sticking with an adhesive is used.
  • a pellicle comprising a pellicle membrane containing carbon nanotubes and satisfying the following formula (1A), and a support frame supporting the pellicle membrane.
  • Example 1 Single-wall CNTs (also referred to as SWCNTs, manufactured by Meijo Nanocarbon Co., Ltd.) dispersed in a solvent are spin-coated on a Si substrate and dried to form an ultra-thin film of CNTs (hereinafter also referred to as a CNT film) on the Si substrate. formed.
  • the Si substrate was gently submerged in a slightly alkaline water bath to dissolve the surface of the Si substrate, and the CNT film was separated from the Si substrate as a single film and floated on the surface of the water.
  • a stainless steel rectangular frame having an opening with a size of 1 cm ⁇ 1 cm is temporarily submerged in the water tank, the CNT film is placed on the rectangular frame, and then the rectangular frame is slowly lifted to form a CNT film ( That is, a pellicle rectangular frame was obtained by stretching the pellicle film) as a self-supporting film into a rectangular frame.
  • FIG. 8 is a schematic diagram showing a measuring device in the bulge test.
  • a pellicle rectangular frame body including a CNT film (that is, a pellicle film) 12 and a rectangular frame 14 was fixed to the chamber 24 .
  • the CNT film 12 was placed on the inner side of the chamber 24 .
  • Air was flowed into the chamber 24 at an acceleration of 5 sccm/sec to pressurize the inside of the chamber 24 .
  • the displacement D of the membrane increased.
  • the pressure applied to the CNT film was the difference ⁇ P between the pressure P1 outside the chamber and the pressure P2 inside the chamber, and was measured using a differential pressure gauge 20 .
  • the pellicle was obtained by scooping the pellicle film from the inside of the rectangular frame in the pellicle rectangular frame with the support frame and attaching the pellicle film to the support frame.
  • the support frame is one size smaller than the rectangular frame, and is a support frame made of silicon in which a through hole of ⁇ 4 mm is opened by anisotropic dry etching.
  • the thickness of the CNT film was measured using the method described above, the thickness of the pellicle film was 20 nm.
  • Example 2 After obtaining a rectangular pellicle frame in the same manner as in Example 1, the rectangular pellicle frame including the pellicle film was placed in an infrared heating device, heated to 700° C. in an inert environment, and left for 10 minutes. After that, the pellicle film was held in a stretched state from the inside of the rectangular frame in the pellicle rectangular frame body, and the pellicle film was scooped up with a support frame, thereby affixing the pellicle film to the support frame to obtain a pellicle.
  • the pellicle rectangular frame including the pellicle film was placed in an infrared heating device, heated to 700° C. in an inert environment, and left for 10 minutes.
  • Example 3 A pellicle was obtained in the same manner as in Example 2, except that in the heating step, the temperature was raised to 500° C. in an inert environment and left for 10 minutes.
  • Example 1 A pellicle was obtained in the same manner as in Example 1, except that the pressing step was not performed. Specifically, in the sheet manufacturing process, the pellicle membrane is attached to the support frame by scooping the pellicle membrane floating on the liquid surface of the water without fabricating the pellicle rectangular frame with the support frame. obtained a pellicle.
  • the examples using a pellicle containing a pellicle membrane that contains CNTs and that satisfies formula (1) and a support frame that supports the pellicle membrane have a high E0/D and a pressure applied to the pellicle membrane. It was found that the elongation of the film decreased before and after the addition of In addition, the value of transmittance dispersion 3 ⁇ was small in the example. Therefore, the pellicle according to the example was able to suppress sagging and suppress variations in the transmittance of EUV light within the pellicle film. On the other hand, in Comparative Example 1 in which E0/D was 0.75 or less, sagging could not be suppressed.
  • Example 2 in which the heating process was performed, was comparable in E0/D to Example 1, but the value of displacement D was smaller. That is, in Example 2, when pressure is applied from 0 Pa to 2 Pa in the bulge test, the swelling of the pellicle film can be suppressed to a smaller extent. It is thought that it can be used satisfactorily without
  • Pellicle film (CNT film) 14 Rectangular frame 20 Differential pressure gauge 24 Chamber 111 Pellicle film 112 Support frame 120 Silicon substrate 121 Solvent layer H112 Opening 1110 Self-supporting film part 800 EUV exposure device 810 Pellicle 812 Pellicle film 814 Support frame 820, 825 Filter window 831 Light source 832, 835 , 836 multilayer film mirror 833 original plate 834 sensitive substrate 837 illumination optical system 838 projection optical system 850 exposure original plate

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Nanotechnology (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Carbon And Carbon Compounds (AREA)

Abstract

Cette pellicule contient un film de pellicule contenant des nanotubes de carbone et répondant à la formule (1) ci-dessous, et un cadre de support supportant le film de pellicule. E0/D > 0,75 (1) (E0 représente l'énergie de déplacement lorsqu'une pression est appliquée de 0 Pa à 2 Pa dans un test de gonflement, et D représente le déplacement à 2 Pa).
PCT/JP2022/004741 2021-03-19 2022-02-07 Pellicule, plaque originale pour exposition à la lumière, dispositif d'exposition à la lumière, procédé de production de pellicule et procédé de production de dispositif à semi-conducteur WO2022196182A1 (fr)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2021-046208 2021-03-19
JP2021046208 2021-03-19

Publications (1)

Publication Number Publication Date
WO2022196182A1 true WO2022196182A1 (fr) 2022-09-22

Family

ID=83322247

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2022/004741 WO2022196182A1 (fr) 2021-03-19 2022-02-07 Pellicule, plaque originale pour exposition à la lumière, dispositif d'exposition à la lumière, procédé de production de pellicule et procédé de production de dispositif à semi-conducteur

Country Status (2)

Country Link
TW (1) TW202238260A (fr)
WO (1) WO2022196182A1 (fr)

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2016539372A (ja) * 2013-12-05 2016-12-15 エーエスエムエル ネザーランズ ビー.ブイ. ペリクルを製造するための装置および方法ならびにペリクル
JP2020091314A (ja) * 2018-12-03 2020-06-11 信越化学工業株式会社 ペリクル及びその製造方法
JP2020101788A (ja) * 2018-12-20 2020-07-02 アイメック・ヴェーゼットウェーImec Vzw Euv薄膜を引っ張るための誘起応力
JP2020160345A (ja) * 2019-03-27 2020-10-01 三井化学株式会社 ペリクル自立膜の製造方法、ペリクルの製造方法、および半導体装置の製造方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2016539372A (ja) * 2013-12-05 2016-12-15 エーエスエムエル ネザーランズ ビー.ブイ. ペリクルを製造するための装置および方法ならびにペリクル
JP2020091314A (ja) * 2018-12-03 2020-06-11 信越化学工業株式会社 ペリクル及びその製造方法
JP2020101788A (ja) * 2018-12-20 2020-07-02 アイメック・ヴェーゼットウェーImec Vzw Euv薄膜を引っ張るための誘起応力
JP2020160345A (ja) * 2019-03-27 2020-10-01 三井化学株式会社 ペリクル自立膜の製造方法、ペリクルの製造方法、および半導体装置の製造方法

Also Published As

Publication number Publication date
TW202238260A (zh) 2022-10-01

Similar Documents

Publication Publication Date Title
CN109416503B (zh) 防护膜、防护膜组件框体、防护膜组件、其制造方法、曝光原版、曝光装置、半导体装置的制造方法
US10108084B2 (en) Pellicle membrane, pellicle, original plate for exposure, exposure apparatus, and method of producing semiconductor device
JP6326056B2 (ja) ペリクル膜、それを用いたペリクル、露光原版および露光装置、ならびに半導体装置の製造方法
US10053367B2 (en) Method of manufacturing graphene film and method of manufacturing pellicle using the same
CN111324005A (zh) 光蚀刻用防尘薄膜及具备该防尘薄膜的防尘薄膜组件
WO2022196182A1 (fr) Pellicule, plaque originale pour exposition à la lumière, dispositif d'exposition à la lumière, procédé de production de pellicule et procédé de production de dispositif à semi-conducteur
JP2022148581A (ja) ペリクル膜、ペリクル、露光原版、露光装置及び半導体装置の製造方法
WO2022210731A1 (fr) Pellicule, plaque originale pour exposition à la lumière, dispositif d'exposition à la lumière, procédé de production de pellicule et procédé de production de dispositif à semi-conducteur
JP2020160345A (ja) ペリクル自立膜の製造方法、ペリクルの製造方法、および半導体装置の製造方法
JP7286870B2 (ja) ペリクル膜、ペリクル、露光原版、露光装置、ペリクルの製造方法及び半導体装置の製造方法
US20220365420A1 (en) Multi-layer pellicle membrane
JP7307281B2 (ja) ペリクル、露光原版、露光装置、ペリクルの製造方法及び半導体装置の製造方法
US20230244138A1 (en) Pellicle, exposure original plate, exposure device, method of manufacturing pellicle, and method of manufacturing semiconductor device

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 22770941

Country of ref document: EP

Kind code of ref document: A1

DPE2 Request for preliminary examination filed before expiration of 19th month from priority date (pct application filed from 20040101)
NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 22770941

Country of ref document: EP

Kind code of ref document: A1