WO2020184904A1 - 반도체 제조 공정에 있어서 식각 패턴 신규 형성 방법 - Google Patents

반도체 제조 공정에 있어서 식각 패턴 신규 형성 방법 Download PDF

Info

Publication number
WO2020184904A1
WO2020184904A1 PCT/KR2020/003137 KR2020003137W WO2020184904A1 WO 2020184904 A1 WO2020184904 A1 WO 2020184904A1 KR 2020003137 W KR2020003137 W KR 2020003137W WO 2020184904 A1 WO2020184904 A1 WO 2020184904A1
Authority
WO
WIPO (PCT)
Prior art keywords
film
silicon
forming
poly
organic
Prior art date
Application number
PCT/KR2020/003137
Other languages
English (en)
French (fr)
Inventor
이수진
김기홍
이승훈
이승현
Original Assignee
영창케미칼 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 영창케미칼 주식회사 filed Critical 영창케미칼 주식회사
Priority to CN202080020185.6A priority Critical patent/CN113557592A/zh
Priority to JP2021551910A priority patent/JP7199563B2/ja
Priority to US17/434,955 priority patent/US20220172955A1/en
Priority to EP20768929.0A priority patent/EP3940747A4/en
Publication of WO2020184904A1 publication Critical patent/WO2020184904A1/ko

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0752Silicon-containing compounds in non photosensitive layers or as additives, e.g. for dry lithography
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D183/00Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers
    • C09D183/04Polysiloxanes
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D183/00Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers
    • C09D183/04Polysiloxanes
    • C09D183/06Polysiloxanes containing silicon bound to oxygen-containing groups
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/162Coating on a rotating support, e.g. using a whirler or a spinner
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2051Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source
    • G03F7/2059Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source using a scanning corpuscular radiation beam, e.g. an electron beam
    • G03F7/2063Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source using a scanning corpuscular radiation beam, e.g. an electron beam for the production of exposure masks or reticles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/04Polysiloxanes
    • C08G77/14Polysiloxanes containing silicon bound to oxygen-containing groups
    • C08G77/16Polysiloxanes containing silicon bound to oxygen-containing groups to hydroxyl groups
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/80Siloxanes having aromatic substituents, e.g. phenyl side groups
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H

Definitions

  • the inorganic film quality is a glass film, so if you take a thick thickness, cracks may occur during heat treatment or a film of non-uniform thickness is formed, so it is impossible to increase the thickness enough to replace the organic hard film. .
  • the present invention replaces three layers of an organic carbon film layer, a SiON layer, and an antireflection film layer on the object to be etched with a multifunctional inorganic mask coating film layer containing one organic-inorganic group, and finally, a photoresist is coated. Patterning is performed by coating. Thereafter, the patterned photoresist is used to form a pattern by etching the silicon or silicon oxide layer at a time with a fluorinated gas or oxygen gas or a mixed gas containing the organic/inorganic multifunctional inorganic mask layer.
  • This multifunctional organic-inorganic mask layer mixed with organic-inorganic groups can simply form a coating layer by spin coating and heat treatment without using a physical or chemical vapor deposition method.
  • the spin coating thickness of the multifunctional organic-inorganic mask film containing organic/inorganic groups capable of spin coating is not particularly limited, but may be applied in a thickness of 100 ⁇ to 10,000 ⁇ , and a baking process for 1 minute to 5 minutes at a temperature of 150°C to 400°C You can proceed.
  • the selectable solvent is not particularly limited as long as it has sufficient solubility in the carbon-containing Si compound.
  • PGMEA propylene glycol monomethyl ether acetate
  • PGME propylene glycol monomethyl ether
  • EEP ethoxypropionate
  • EL ethyl lactate
  • GBL gamma butyrolactone
  • Selectable crosslinking agents include tris (2,3-epoxypropyl) isocyanurate, trimethylolmethanetriglycidylether, trimethylolpropane triglycidylether, and trimethylolpropanetriglycidylether.
  • TAGs thermal acid generators
  • pyridinium P-toluene sulfonate pyridinium P-toluene sulfonate
  • benzoin tosylate tetrabromocyclohexadiene
  • At least one selected from the group consisting of 2-methylimidazole, 2-phenylimidazole, Azicure MY-H, Fujicure FXR-1030, and the like may be used.
  • This multifunctional organic-inorganic mask layer mixed with organic-inorganic groups can simply form a coating layer by spin coating and heat treatment without using a physical or chemical vapor deposition method.
  • the spin coating thickness of the film containing organic/inorganic groups capable of spin coating is not particularly limited, but may be applied to a thickness of 100 ⁇ to 10,000 ⁇ , and a bake process may be performed for 20 seconds to 5 minutes at a temperature of 100°C to 400°C. , Preferably, a bake process may be performed at a temperature of 150°C to 400°C for 1 minute to 5 minutes.
  • a multifunctional organic-inorganic mask film layer in which organic-inorganic groups are mixed is coated on a substrate layer, and a photoresist film is coated thereon and exposed to form a mask.
  • Si compounds containing selectable carbon are carbon silicon compounds containing end groups capable of crosslinking such as hydroxyl groups, alkoxide groups, and carboxyl groups.
  • end groups capable of crosslinking such as hydroxyl groups, alkoxide groups, and carboxyl groups.
  • poly[dimethylsiloxane-co-(2-(3,4-epoxycyclohexyl) )Ethyl)methylsiloxane] poly[dimethylsiloxane-co-2-(9,9-bis(4-hydroxyphenyl)florin)methylsiloxane], poly(dimethylsiloxane)diglycidyl ether terminator, poly (Dimethylsiloxane)bis(hydroxyalkyl)terminated, poly(dimethylsiloxane-co-diphenylsiloxane)dihydroxyterminated, poly(dimethylsiloxane-co-methylhydrosiloxane)trimethylsilylterminated, poly One
  • the selectable solvent is not particularly limited as long as it has sufficient solubility in the carbon-containing Si compound.
  • PGMEA propylene glycol monomethyl ether acetate
  • PGME propylene glycol monomethyl ether
  • EEP ethoxypropionate
  • EL ethyl lactate
  • GBL gamma butyrolactone
  • Examples 1 to 1 in which three layers, including an organic carbon film layer, a SiON film layer, and an antireflection film layer, were replaced with one organic-inorganic multifunctional organic-inorganic mask layer on the object to be etched, and finally coated with a photoresist to perform patterning.
  • Example 5 in which three layers were coated on the object to be etched, including an organic carbon film layer, a SiON film layer, and an antireflection film layer, and finally coated with a photoresist, patterning was performed, the refractive index value and absorption It was confirmed that there was no difference in all of the coefficient values.

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Wood Science & Technology (AREA)
  • Materials Engineering (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Materials For Photolithography (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Drying Of Semiconductors (AREA)
  • Formation Of Insulating Films (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)

Abstract

본원 발명은 반도체 제조 공정에서 식각 패턴을 형성하기 위한 방법으로서, 식각 공정 전 통상의 웨이퍼상에 포토레지스트막/반사방지막/SiON막/유기하드마스크막 4개층을 형성하는 종래의 방법을 포토레지스트막/다기능유무기마스크막으로 구성된 2개 층만을 형성하여 동일한 식각 패턴을 구현할 수 있는 혁신적인 방법인 것이며, 종래의 방법에 비해 공정을 절대 단순화하여 생산 시간과 비용을 획기적으로 개선하고 기존 방법에서 사용하던 고가의 코팅 및 증착 장비들이 더 이상 필요로 하지 않으므로, SiON 증착시에 필요한 값비싼 프리커서나 유기하드마스크에 대한 비용 및 증착 시 필요한 관련 장비에 대한 투자나 유지 비용의 발생까지 줄일 수 있는 탁월한 효과를 나타내는 것이다.

Description

반도체 제조 공정에 있어서 식각 패턴 신규 형성 방법
본원 발명은 반도체 제조 공정에서 식각 패턴을 형성하기 위하여 식각 공정 전 통상의 웨이퍼 상에 코팅 방법인 포토레지스트막/반사방지막/SiON막/유기하드마스크막까지 4개 층을 형성하는 것을 혁신적으로 포토레지스트막/다기능유무기마스크막으로 구성된 2개 층으로만 이루어 동일한 식각 패턴을 구현할 수 있는 방법에 관한 것이다.
근래 반도체 수요 증가로 반도체 제조 장비에 대한 대규모 투자와 함께 제조 공정 단순화를 통해 생산성 효율 증대를 하고자 하는 노력이 절실히 요구되고 있다.
반도체의 소형화 및 집적화로 인하여 미세패턴의 구현이 요구되고 있어 고해상도를 구현할 수 있는 포토레지스트와 이 포토레지스트의 난반사로 인한 패턴 불량을 방지하기 위한 반사방지막, 식각 선택비를 높이기 위한 무기막과 유기하드마스크막을 순서대로 웨이퍼 상에 코팅하는 것이 종래에 최적화된 방법으로 알려져 있고 현재 대부분의 반도체 제조에 있어 식각 패턴 형성을 위한 코팅막 구성 방법이다.
통상의 웨이퍼 상에 코팅 방법인 포토레지스트막/반사방지막/SiON막/유기하드마스크막까지 4개 층을 형성하고, 각 코팅막 형성을 위해서는 코팅과 열처리, 노광, 현상 등 최소 2개 공정에서 최대 6개 공정으로 이루어지는 것이므로, 총 8 내지 24개 공정을 거쳐야 완성되는 것이다.
4개의 코팅막 중 어느 하나라도 줄이거나, 이들 코팅막을 형성하는 2개 내지 6개 공정 중 어느 하나라도 줄이게 될 경우에는 생산 시간 및 비용을 크게 단축시킬 수 있을 뿐 아니라, 제조 장비 투자 비용 및 유지 비용 또한 획기적으로 절감할 수 있는 것이다.
이런 개선을 위해 각각의 코팅막들의 물성을 일부 통합하고자 하는 노력이 꾸준히 진행되고 있으나 각각의 코팅막들에게 요구되는 물성을 만족하는 결과는 아직 미진한 상태로 일부 발명과 논문에서 반사방지막과 SiON막으로 대표되는 무기막질의 성질을 통합한 물질을 개발한 결과가 있기는 하나 유기막질로 구성된 반사방지막과 실리콘 무기막질의 상반된 성질로 인한 한계로 인하여 무기막질의 역할은 하더라도 반사방지막의 역할을 제대로 못하거나 이와는 반대로 반사방지막의 역할은 하더라도 무기막질의 역할을 하지 못하는 경우가 대부분이어서 아주 제한적인 경우에만 사용되고 있는 것이 현재 소재 기술의 현황이다.
또한 SiON막과 같은 무기막질과 탄소로 주로 이루어진 유기하드막질의 통합은 각각의 물성이 상이하여 산소나 불화 가스에 대한 식각비가 상이하여 불가능한 상황이며 유기하드막질의 두께를 두껍게 하여 더 깊게 식각하는 경우는 가능하나 이 또한 반사방지막과 무기막질이 필수적으로 포함되어야 하는 공정이라 개선으로 볼 수는 없다.
마지막으로 무기막질을 두껍게 올리는 것을 고려해볼 수 있는데 이는 무기막질이 유리막이라 두께를 두껍게 가지고 가면 열처리 시 크랙이 생기거나 불균일한 두께의 막질이 형성되므로 유기하드막질을 대체할 만큼 두께를 올리는 것은 불가능하다.
본원 발명은 이런 종래의 문제를 해결하기 위하여 포토레지스트막의 난반사를 방지하면서, 무기막질과 유기막질의 식각 가스에 대하여 적절한 식각 내성을 가지고, 크랙과 같이 표면 결함이 없는 균일한 코팅성을 가지면서도 충분한 두께를 확보할 수 있는 코팅 조성물을 이용하여 반사방지막/무기막/유기막인 3개의 코팅막을 1개의 코팅막으로 대체할 수 있는 식각 전 코팅 공정 기술을 개발하게 되었다.
본원 발명은 반도체 제조 공정에서 식각 패턴을 형성하기 위하여 식각 공정 전 통상의 웨이퍼 상에 코팅 방법인 포토레지스트막/반사방지막/SiON막/유기하드마스크막까지 4개 층을 형성하는 것을 혁신적으로 포토레지스트막/다기능무기마스크막으로 구성된 2개 층으로만 이루어 동일한 식각 패턴을 구현할 수 있는 방법을 제시하여 종래의 방법에 비해 공정을 절대 단순화하여 생산 시간과 비용을 획기적으로 개선하고 기존 방법에서 사용하던 고가의 코팅 및 증착 장비를 더 이상 필요로 하지 않으므로 관련 장비에 대한 투자나 유지 비용이 더 이상 발생하지 않게 되고, SiON을 화학적으로 증착하는 방법에 있어서 고가 원료인 프리커스(precurse)나 장비가 필요 없게 되고, 값비싼 유기하드마스크도 사용할 필요가 없으므로 제조 원가도 절대적으로 절감이 되는 효과까지 있다.
본원 발명은 반도체 제조 공정에서 식각 패턴을 형성하기 위하여 식각 공정 전 통상의 웨이퍼 상에 코팅 방법인 포토레지스트막/반사방지막/SiON막/유기하드마스크막까지 4개 층을 형성하는 것을 혁신적으로 포토레지스트막/다기능무기마스크막으로 구성된 2개 층으로만 이루어 동일한 식각 패턴을 구현할 수 있는 방법에 관한 것이다.
자세하게는 통상의 반도체 제조 공정 중 실리콘 또는 실리콘 화합물 층의 식각 공정에 있어서, 식각 대상물에 유기막과 무기막을 차례대로 적절한 두께로 적층하는데, 먼저 탄소가 다량 함유되어 있는 유기탄소막층을 코팅하고, 그리고 SiON층을 화학기상증착법으로 증착한 다음, 그 위에 반사방지막을 코팅하고 마지막으로 포토레지스트를 코팅하여 패터닝을 진행한다. 패터닝된 포토레지스트를 이용하여 SiON층을 불화 가스로 식각한 다음, 산소 가스로 유기탄소막층을 식각하고 마지막으로 다시 불화 가스로 실리콘 또는 산화실리콘 층을 식각하여 패턴을 형성한다.
본원 발명은 통상의 반도체 식각 공정에 있어서, 식각 대상물에 유기탄소막층과 SiON층, 반사방지막층까지 3개의 층을 1개의 유무기가 혼재된 다기능무기마스크 코팅막층으로 대체하여 코팅하고 마지막으로 포토레지스트를 코팅하여 패터닝을 진행한다. 이후 패터닝된 포토레지스트를 이용하여 유무기가 혼재된 다기능무기마스크막층을 불화 가스 또는 산소 가스나 이들이 포함된 혼합 가스로 한 번에 실리콘 또는 산화실리콘 층을 식각하여 패턴을 형성한다.
본 유무기가 혼재된 다기능유무기마스크막은 물리 또는 화학적 증착법을 사용하지 않고 스핀 코팅과 열처리로 간단하게 코팅막을 형성할 수 있다. 상기 스핀 코팅이 가능한 유무기가 혼재된 다기능유무기마스크막의 스핀 도포 두께는 특별히 한정되지 않으나, 100Å내지 10,000Å의 두께로 도포될 수 있고, 150℃ 내지 400℃의 온도에서 1분 내지 5분간 베이크 공정을 진행할 수 있다.
상기의 1개의 유무기가 혼재된 다기능유무기마스크막은 포토레지스트의 노광 공정에서 반사방지막 역할을 함과 동시에 식각 가스에 대해 적절한 식각 내성을 가져야 한다.
여기서, 유무기가 혼재된 다기능유무기마스크막이라 함은 스핀 코팅 방법으로 웨이퍼 상에 도포가 가능한 물질로서, Si 화합물의 함유량이 20% 내지 80%, 탄소 함유량이 20% 내지 80%, 산소, 수소 등 기타 원소의 함량이 1 내지 20%인 막질을 의미하고, 코팅된 막의 193nm 파장에서의 n 값(굴절률 값)은 1.4 내지 1.95, k 값(흡광계수 값)은 0.25 내지 0.85의 값으로 형성할 수 있다.
상기 유무기가 혼재된 다기능유무기마스크막은 탄소가 함유된 Si 화합물 3 내지 50 중량%, 용매 50 내지 97 중량%, 가교제 0 내지 10 중량%, 첨가제 0 내지 5 중량%, 계면활성제 0 내지 3 중량%로 이루어진다.
선택 가능한 탄소가 함유된 Si 화합물은 수산기, 알콕사이드기, 카르복실기 등 가교가 가능한 말단기가 포함된 탄소 실리콘 화합물로서, 예를 들자면, 폴리[디메틸실록산-코-(2-(3,4-에폭시시클로헥실)에틸)메틸실록산], 폴리[디메틸실록산-코-2-(9,9-비스(4-히드록시페닐)플로린)메틸실록산], 폴리(디메틸실록산)디글리시딜에테르터미네이티드, 폴리(디메틸실록산)비스(히드록시알킬)터미네이티드, 폴리(디메틸실록산-코-디페닐실록산)디히드록시터미네이티드, 폴리(디메틸실록산-코-메틸히드로실록산)트리메틸실릴터미네이티드, 폴리(디메틸실록산)-그래프트-폴리아크릴레이트, 폴리[디메틸실록산-코-메틸(3-히드록시프로필)실록산]-그래프트-폴리(에틸렌글리콜)메틸에테르 등에서 선택되는 1종 이상을 사용할 수 있다.
선택 가능한 용매로는 상기 탄소가 함유된 Si 화합물에 대한 충분한 용해성을 갖는 용매라면 특별히 제한이 없으며, 예를 들자면, 프로필렌글리콜 모노메틸에테르아세테이트(PGMEA), 프로필렌글리콜 모노메틸에테르(PGME), 에틸 3-에톡시프로피오네이트(EEP), 에틸락테이트(EL), 시클로헥사논(Cyclohexanone), 감마부틸로락톤(GBL) 등에서 선택되는 1종 이상을 사용할 수 있다.
선택 가능한 가교제로는 트리스(2,3-에폭시프로필)이소시아누레이트(Tris(2,3-epoxypropyl) isocyanurate), 트리메틸올메탄트리글리시딜에테르(Trimethylolmethanetriglycidylether), 트리메틸올프로판트리글리시딜에테르(Trimethylolpropanetriglycidylether), 트리에틸올에탄트리글리시딜에테르(Triethylolethanetriglycidylether), 헥사메틸올멜라민(Hexamethylolmelamine), 헥사메톡시메틸멜라민(Hexamethoxymethylmelamine), 헥사메톡시에틸멜라민(Hexamethoxyethylmelamine), 테트라메틸올 2,4-디아미노-1,3,5-트리아진(Tetramethylol 2,4-diamino-1,3,5-triazine), 테트라메톡시메틸-2,4-디아미노-1,3,5-트리아진(Tetramethoxymethyl-2,4-diamino-1,3,5-triazine), 테트라메틸올글리코우릴(Tetramethylolglycoluril), 테트라메톡시메틸우레아(Tetramethoxymethylurea), 테트라메톡시메틸글리코우릴(Tetramethoxymethylglycoluril), 테트라메톡시에틸글리코우릴(Tetramethoxyethylglycoluril), 테트라메틸올우레아(Tetramethylolurea), 테트라메톡시에틸우레아(Tetramethoxyethylurea) 및 테트라메톡시에틸 2,4-디아미노-1,3,5-트리아진(tetramethoxyethyl-2,4-diamino-1,3,5-triazine)으로 이루어진 군에서 선택되는 1종 이상을 사용할 수 있다.
선택 가능한 첨가제는 열산발생제(TAG: Thermal Acid Generater)로서 열처리시에 산을 방출하는 산발생제로, 예를 들자면, 피리디늄 P-톨루엔 술포네이트, 벤조인 토실레이트, 테트라브로모사이클로헥사디엔, 2-메틸이미다졸, 2-페닐이미다졸, 아지큐어 MY-H, 후지큐어 FXR-1030 등으로 이루어진 군에서 선택되는 1종 이상을 사용할 수 있다.
선택 가능한 계면활성제로는 음이온성, 비이온성, 양이온성, 양쪽성 계면활성제로 단독 혹은 이들의 혼합물로 구성된 군에서 선택되는 1종 이상을 사용할 수 있다.
본원 발명은 식각 가스에 대해 적절한 식각 내성을 기본적으로 가지고 있으면서 동시에 반사방지막의 역할이 포함된 신규의 유무기 혼재 코팅 물질을 이용한 신규 공정은 기존의 유기탄소막층과 SiON막층, 반사방지막층까지 3개의 층을 1개의 다기능유무기마스크막 층으로 대체함으로써 코팅 공정과 열처리 공정을 절대 단순화하여 생산 시간을 크게 단축시킬 수 있을 뿐 아니라 생산 비용 또한 획기적으로 절감할 수 있는 것이며, 기존 방법에서 사용하던 고가의 코팅 및 증착 장비들이 더 이상 필요로 하지 않게 되는 것이므로 더 이상 관련 장비에 대한 투자나 유지 비용이 발생하지 않는 효과까지 기대할 수 있다.
또한, SiON을 화학적으로 증착하는 방법에 있어서 고가 원료인 프리커스(precurse)나 증착 장비가 필요 없게 되고, 값비싼 유기하드마스크도 사용할 필요가 없으므로 제조 원가도 절대적으로 절감이 되는 효과까지 있다.
이하, 본원 발명을 보다 상세히 설명한다.
본원 발명은 반도체 제조 공정 중 식각 패턴을 형성하기 위하여 식각 공정 전에 통상의 웨이퍼 상에 코팅 방법인 포토레지스트막/반사방지막/SiON막/유기하드마스크막까지 4개의 층을 형성하는 것을 혁신적으로 포토레지스트막/다기능유무기마스크막으로 구성된 2개 층으로만 이루어 동일한 식각 패턴을 구현할 수 있는 방법에 관한 것이다.
본원 발명에서의 신규의 식각 패턴 형성 방법은 기판층위에 유무기가 혼합된 다기능유무기마스크막을 코팅하고, 그 위에 포토레지스트를 코팅하여 마스크를 형성하고 적정 가스로 식각하여 원하는 패턴을 형성한다. 구체적으로는 식각 대상물인 웨이퍼에 포토레지스트막/반사방지막/SiON막/유기하드마스크막의 4개 층을 형성하는 단계를 포토레지스트막/다기능유무기마스크막의 2개층을 형성하는 단계로 대체하는 실리콘 또는 실리콘 화합물 식각 패턴 형성 방법은, i) 식각 대상물인 웨이퍼에 스핀 코팅이 가능한 용매, 실리콘 화합물, 가교제, 첨가제 및 계면활성제로 이루어지는 액상 다기능유무기마스크막 조성물을 스핀코터를 이용하여 100 내지 4000 rpm으로 회전하면서 코팅하고, 100 내지 400℃의 온도에서 20 내지 600초의 시간으로 열처리하여 다기능유무기마스크막을 1차로 형성하는 단계; ii) 상기 형성된 다기능유무기마스크막 위에 패턴 형성을 위한 포토레지스트 코팅막을 2차로 형성하는 단계; iii) 노광과 현상을 거쳐 포토레지스트 패턴을 형성하는 단계; iv) 상기 형성된 포토레지스트 패턴을 이용하여 식각이 가능한 가스로 건식 식각을 실시하여 실리콘 또는 실리콘 화합물의 패턴을 형성하는 단계;로 이루어지 것을 특징으로 하는 실리콘 또는 실리콘 화합물 식각 패턴 형성 방법일 수 있다.
본 유무기가 혼재된 다기능유무기마스크막은 물리 또는 화학적 증착법을 사용하지 않고 스핀 코팅과 열처리로 간단하게 코팅막을 형성할 수 있다. 상기 스핀 코팅이 가능한 유무기가 혼재된 막의 스핀 도포 두께는 특별히 한정되지 않으나, 100Å내지 10,000Å의 두께로 도포될 수 있고, 100℃ 내지 400℃의 온도에서 20초 내지 5분간 베이크 공정을 진행할 수 있으며, 바람직하기로는 150℃ 내지 400℃의 온도에서 1분 내지 5분간 베이크 공정을 진행할 수 있다.
여기서, 유무기가 혼재된 다기능유무기마스크막이라 함은 스핀 코팅 방법으로 웨이퍼 상에 도포가 가능한 물질로서, Si 화합물의 함유량이 20% 내지 79중량%, 탄소 함유량이 20% 내지 79중량%, 산소, 수소 등 기타 원소의 함량이 1 내지 20중량%인 막질을 의미한다.
코팅된 막의 193nm 파장에서 n 값(굴절률 값)은 1.4 내지 1.95, k 값(흡광계수 값)은 0.25 내지 0.85의 값으로 형성할 수 있다.
상기 유무기가 혼재된 다기능유무기마스크막은 탄소가 함유된 Si 화합물 3 내지 50 중량%, 용매 50내지 97 중량%, 가교제 0 내지 10 중량%, 첨가제 0 내지 5 중량%, 계면활성제 0 내지 3 중량%로 이루어진다.
선택 가능한 탄소가 함유된 Si 화합물은 수산기, 알콕사이드기, 카르복실기 등 가교가 가능한 말단기가 포함된 탄소 실리콘 화합물로서, 예를 들자면, 폴리[디메틸실록산-코-(2-(3,4-에폭시시클로헥실)에틸)메틸실록산], 폴리[디메틸실록산-코-2-(9,9-비스(4-히드록시페닐)플로린)메틸실록산], 폴리(디메틸실록산)디글리시딜에테르터미네이티드, 폴리(디메틸실록산)비스(히드록시알킬)터미네이티드, 폴리(디메틸실록산-코-디페닐실록산)디히드록시터미네이티드, 폴리(디메틸실록산-코-메틸히드로실록산)트리메틸실릴터미네이티드, 폴리(디메틸실록산)-그래프트-폴리아크릴레이트, 폴리[디메틸실록산-코-메틸(3-히드록시프로필)실록산]-그래프트-폴리(에틸렌글리콜)메틸에테르 등에서 선택되는 1종 이상을 사용할 수 있다.
선택 가능한 용매로는 상기 탄소가 함유된 Si 화합물에 대한 충분한 용해성을 갖는 용매라면 특별히 제한이 없으며, 예를 들자면, 프로필렌글리콜 모노메틸에테르아세테이트(PGMEA), 프로필렌글리콜 모노메틸에테르(PGME), 에틸 3-에톡시프로피오네이트(EEP), 에틸락테이트(EL), 시클로헥사논(Cyclohexanone), 감마부틸로락톤(GBL) 등에서 선택되는 1종 이상을 사용할 수 있다.
선택 가능한 가교제로는 트리스(2,3-에폭시프로필)이소시아누레이트(Tris(2,3-epoxypropyl) isocyanurate), 트리메틸올메탄트리글리시딜에테르(Trimethylolmethanetriglycidylether), 트리메틸올프로판트리글리시딜에테르(Trimethylolpropanetriglycidylether), 트리에틸올에탄트리글리시딜에테르(Triethylolethanetriglycidylether), 헥사메틸올멜라민(Hexamethylolmelamine), 헥사메톡시메틸멜라민(Hexamethoxymethylmelamine), 헥사메톡시에틸멜라민(Hexamethoxyethylmelamine), 테트라메틸올 2,4-디아미노-1,3,5-트리아진(Tetramethylol 2,4-diamino-1,3,5-triazine), 테트라메톡시메틸-2,4-디아미노-1,3,5-트리아진(Tetramethoxymethyl-2,4-diamino-1,3,5-triazine), 테트라메틸올글리코우릴(Tetramethylolglycoluril), 테트라메톡시메틸우레아(Tetramethoxymethylurea), 테트라메톡시메틸글리코우릴(Tetramethoxymethylglycoluril), 테트라메톡시에틸글리코우릴(Tetramethoxyethylglycoluril), 테트라메틸올우레아(Tetramethylolurea), 테트라메톡시에틸우레아(Tetramethoxyethylurea) 및 테트라메톡시에틸 2,4-디아미노-1,3,5-트리아진(tetramethoxyethyl-2,4-diamino-1,3,5-triazine)으로 이루어진 군에서 선택되는 1종 이상을 사용할 수 있다.
선택 가능한 첨가제는 열산발생제(TAG: Thermal Acid Generater)로서 열처리시에 산을 방출하는 산발생제로, 예를 들자면, 피리디늄 P-톨루엔 술포네이트, 벤조인 토실레이트, 테트라브로모사이클로헥사디엔, 2-메틸이미다졸, 2-페닐이미다졸, 아지큐어 MY-H, 후지큐어 FXR-1030 등으로 이루어진 군에서 선택되는 1종 이상을 사용할 수 있다.
선택 가능한 계면활성제로는 음이온성, 비이온성, 양이온성, 양쪽성 계면활성제로 단독 혹은 이들의 혼합물로 구성된 군에서 선택되는 1종 이상을 사용할 수 있다.
본원 발명의 목적과 기술적 구성 및 그에 따른 작용효과에 관한 자세한 사항은 이하 상세한 설명에 의해 보다 명확하게 이해될 수 있다.
본원 발명은 기판층 위에 유무기가 혼재된 다기능유무기마스크막층을 코팅하고 그 위에 포토레지스트막을 코팅하고 노광하여 마스크를 형성한다.
상기 스핀 코팅이 가능한 유무기가 혼재된 다기능유무기마스크막의 스핀 도포 두께는 특별히 한정되지 않으나, 100Å내지 10,000Å의 두께로 도포될 수 있고, 150℃ 내지 400℃의 온도에서 1분 내지 5분간 베이크 공정을 진행할 수 있다. 상기 패턴 형성을 위한 광원은 13.5nm, 193nm, 248nm, 365nm 파장을 갖는 것과 E-beam을 포함하는 군으로부터 선택되는 것일 수 있다.
상기 형성된 마스크를 이용하여 유무기 혼재된 다기능유무기마스크막을 식각할 수 있는 식각 가스를 이용하여 건식 식각을 실시한다. 상기 건식 식각에 사용할 수 있는 가스는, 아르곤, 질소를 비롯한 불활성 가스; 불소 원소가 1개 이상 포함된 분자로 이루어진 가스; 또는 산소 가스;로 이루어진 군에서 선택되는 1종 또는 2종 이상의 혼합가스인 것일 수 있다.
상기 유무기가 혼재된 다기능유무기마스크막은 탄소가 함유된 Si 화합물 3 내지 50 중량%, 용매 50 내지 97 중량%, 가교제 0 내지 10 중량%, 첨가제 0 내지 5 중량%, 계면활성제 0 내지 3 중량%로 이루어진다.
선택 가능한 탄소가 함유된 Si 화합물은 수산기, 알콕사이드기, 카르복실기 등 가교가 가능한 말단기가 포함된 탄소 실리콘 화합물로서, 예를 들자면, 폴리[디메틸실록산-코-(2-(3,4-에폭시시클로헥실)에틸)메틸실록산], 폴리[디메틸실록산-코-2-(9,9-비스(4-히드록시페닐)플로린)메틸실록산], 폴리(디메틸실록산)디글리시딜에테르터미네이티드, 폴리(디메틸실록산)비스(히드록시알킬)터미네이티드, 폴리(디메틸실록산-코-디페닐실록산)디히드록시터미네이티드, 폴리(디메틸실록산-코-메틸히드로실록산)트리메틸실릴터미네이티드, 폴리(디메틸실록산)-그래프트-폴리아크릴레이트, 폴리[디메틸실록산-코-메틸(3-히드록시프로필)실록산]-그래프트-폴리(에틸렌글리콜)메틸에테르 등에서 선택되는 1종 이상을 사용할 수 있다.
선택 가능한 용매로는 상기 탄소가 함유된 Si 화합물에 대한 충분한 용해성을 갖는 용매라면 특별히 제한이 없으며, 예를 들자면, 프로필렌글리콜 모노메틸에테르아세테이트(PGMEA), 프로필렌글리콜 모노메틸에테르(PGME), 에틸 3-에톡시프로피오네이트(EEP), 에틸락테이트(EL), 시클로헥사논(Cyclohexanone), 감마부틸로락톤(GBL) 등에서 선택되는 1종 이상을 사용할 수 있다.
선택 가능한 가교제로는 트리스(2,3-에폭시프로필)이소시아누레이트(Tris(2,3-epoxypropyl) isocyanurate), 트리메틸올메탄트리글리시딜에테르(Trimethylolmethanetriglycidylether), 트리메틸올프로판트리글리시딜에테르(Trimethylolpropanetriglycidylether), 트리에틸올에탄트리글리시딜에테르(Triethylolethanetriglycidylether), 헥사메틸올멜라민(Hexamethylolmelamine), 헥사메톡시메틸멜라민(Hexamethoxymethylmelamine), 헥사메톡시에틸멜라민(Hexamethoxyethylmelamine), 테트라메틸올 2,4-디아미노-1,3,5-트리아진(Tetramethylol 2,4-diamino-1,3,5-triazine), 테트라메톡시메틸-2,4-디아미노-1,3,5-트리아진(Tetramethoxymethyl-2,4-diamino-1,3,5-triazine), 테트라메틸올글리코우릴(Tetramethylolglycoluril), 테트라메톡시메틸우레아(Tetramethoxymethylurea), 테트라메톡시메틸글리코우릴(Tetramethoxymethylglycoluril), 테트라메톡시에틸글리코우릴(Tetramethoxyethylglycoluril), 테트라메틸올우레아(Tetramethylolurea), 테트라메톡시에틸우레아(Tetramethoxyethylurea) 및 테트라메톡시에틸 2,4-디아미노-1,3,5-트리아진(tetramethoxyethyl-2,4-diamino-1,3,5-triazine)으로 이루어진 군에서 선택되는 1종 이상을 사용할 수 있다.
선택 가능한 첨가제는 열산발생제(TAG: Thermal Acid Generater)로서 열처리시에 산을 방출하는 산발생제로, 예를 들자면, 피리디늄 P-톨루엔 술포네이트, 벤조인 토실레이트, 테트라브로모사이클로헥사디엔, 2-메틸이미다졸, 2-페닐이미다졸, 아지큐어 MY-H, 후지큐어 FXR-1030 등으로 이루어진 군에서 선택되는 1종 이상을 사용할 수 있다.
선택 가능한 계면활성제로는 음이온성, 비이온성, 양이온성, 양쪽성 계면활성제로 단독 혹은 이들의 혼합물로 구성된 군에서 선택되는 1종 이상을 사용할 수 있다.
이상에서 본원 발명의 바람직한 실시 방법을 구체적으로 기술하였다.
아래에서는 본원 발명의 바람직한 실시예 및 비교예를 설명한다. 그러나 하기한 실시예는 본원 발명의 바람직한 일 실시예일 뿐 본원 발명이 하기한 실시예에 한정되는 것은 아니다.
아래에서는 본원 발명의 바람직한 실시예 및 비교예를 설명한다. 그러나 하기한 실시예는 본원 발명의 바람직한 일 실시예일 뿐 본원 발명이 하기한 실시예에 한정되는 것은 아니다.
실시예 1 ~ 5 및 비교예 1 ~ 3
실시예 1
폴리[디메틸실록산-코-2-(9,9-비스(4-히드록시페닐)플로린)메틸실록산] 7g, 테트라메톡시에틸글리코우릴 0.35g, 피리디늄 P-톨루엔 술포네이트 0.1g, 폴리옥시에틸렌라우릴에테르 0.07g, 프로필렌글리콜모노메틸에테르아세테이트 93g을 넣어 잘 교반하고 pore가 30nm인 필터로 필터하여 유무기가 혼재된 다기능유무기마스크막 코팅액을 제조한다.
스핀코터나 적절한 코팅 장비를 이용하여 기판층 위에 코팅액을 주사하여 1000Å으로 코팅하고, 240℃의 온도에서 2분간 베이크 공정을 진행하여 막을 형성한 후, ArF용 포토레지스트를 1500Å 두께로 코팅한 다음, ASML(ArF장비회사) ArF 노광 장비로 24mJ에서 노광하여 40nm 크기의 패턴을 가지는 마스크를 형성하였다.상기 형성된 마스크를 이용하여 증착된 막을 CF4가 포함된 혼합 식각 가스를 이용하여 실리콘 옥사이드층을 500Å깊이로 식각을 실시하였다.
실시예 2
폴리[디메틸실록산-코-2-(3,4-에폭시시클로헥실)에틸)메틸실록산] 8.5g, 테트라메톡시에틸글리코우릴 0.43g, 피리디늄 P-톨루엔 술포네이트 0.13g, 폴리옥시에틸렌라우릴에테르 0.085g, 프로필렌글리콜모노메틸에테르아세테이트 91.5g을 넣어 잘 교반하고 pore가 30nm인 필터로 필터하여 유무기가 혼재된 다기능유무기마스크막 코팅액을 제조하는 것을 제외하고는 실시예 1과 동일한 절차를 이용하여 패턴 형성을 진행하였다.
실시예 3
폴리[디메틸실록산-코-디페닐실록산)디히도록시터미네이티드 8.5g, 테트라메톡시에틸글리코우릴 0.43g, 피리디늄 P-톨루엔 술포네이트 0.13g, 폴리옥시에틸렌라우릴에테르 0.085g, 프로필렌글리콜모노메틸에테르아세테이트 91.5g을 넣어 잘 교반하고 pore가 30nm인 필터로 필터하여 유무기가 혼재된 다기능유무기마스크막 코팅액을 제조하는 것을 제외하고는 실시예 1과 동일한 절차를 이용하여 패턴 형성을 진행하였다.
실시예 4
폴리[디메틸실록산-코-2-(9,9-비스(4-히드록시페닐)플로린)메틸실록산] 7g, 테트라메톡시에틸글리코우릴 0.35g, 피리디늄 P-톨루엔 술포네이트 0.1g, 폴리옥시에틸렌라우릴에테르 0.087g, 프로필렌글리콜모노메틸에테르아세테이트 93g을 넣어 잘 교반하고 pore가 30nm인 필터로 필터하여 유무기가 혼재된 다기능유무기마스크막 코팅액을 제조한다.
스핀코터나 적절한 코팅 장비를 이용하여 기판층 위에 코팅액을 주사하여 1000Å으로 코팅하고, 240℃의 온도에서 2분간 베이크 공정을 진행하여 막을 형성한 후, KrF용 포토레지스트를 5400Å 두께로 코팅한 다음, Nikon KrF 노광 장비로 30mJ에서 노광하여 250nm 크기의 패턴을 가지는 마스크를 형성하였다.상기 형성된 마스크를 이용하여 증착된 막을 CF4가 포함된 혼합 식각 가스를 이용하여 실리콘 옥사이드층을 500Å깊이로 식각을 실시하였다.
실시예 5
폴리[디메틸실록산-코-2-(9,9-비스(4-히드록시페닐)플로린)메틸실록산] 7g, 테트라메톡시에틸글리코우릴 0.35g, 피리디늄 P-톨루엔 술포네이트 0.1g, 폴리옥시에틸렌라우릴에테르 0.07g, 프로필렌글리콜모노메틸에테르아세테이트 93g을 넣어 잘 교반하고 pore가 30nm인 필터로 필터하여 유무기가 혼재된 다기능유무기마스크막 코팅액을 제조한다.
스핀코터나 적절한 코팅 장비를 이용하여 기판층 위에 코팅액을 주사하여 1000Å으로 코팅하고, 240℃의 온도에서 2분간 베이크 공정을 진행하여 막을 형성한 후, I-line용 포토레지스트를 6500Å 두께로 코팅한 다음, Nikon I-line 노광 장비로 140mJ에서 노광하여 500nm 크기의 패턴을 가지는 마스크를 형성하였다.상기 형성된 마스크를 이용하여 증착된 막을 CF4가 포함된 혼합 식각 가스를 이용하여 실리콘 옥사이드층을 500Å깊이로 식각을 실시하였다.
비교예 1
유기하드마스크막을 스핀코터를 이용하여 기판층 위에 3100Å으로 코팅하고, 400℃의 온도에서 2분간 베이크 공정을 진행하여 막을 형성한 후, 증착장비를 이용하여 SiON층을 500Å으로 형성하고, 스핀코터를 이용하여 반사방지막을 300Å으로 코팅하고 240℃의 온도에서 1분간 베이크 공정을 진행하여 막을 형성한 후, ArF용 포토레지스트를 1500Å 두께로 코팅한 다음, ASML(ArF장비회사) ArF 노광 장비로 24mJ에서 노광하여 40nm 크기의 패턴을 가지는 마스크를 형성하였다.상기 형성된 마스크를 이용하여 증착된 막을 CF4가 포함된 혼합 가스 및 O2가 포함된 혼합 식각 가스를 이용하여 순차적으로 식각하여 실리콘 옥사이드층을 500Å깊이로 식각을 실시하였다.
비교예 2
유기하드마스크막을 스핀코터를 이용하여 기판층 위에 3100Å으로 코팅하고, 400℃의 온도에서 2분간 베이크 공정을 진행하여 막을 형성한 후, 증착장비를 이용하여 SiON층을 500Å으로 형성하고, 스핀코터를 이용하여 반사방지막을 300Å으로 코팅하고 240℃의 온도에서 1분간 베이크 공정을 진행하여 막을 형성한 후, KrF용 포토레지스트를 3200Å 두께로 코팅한 다음, Nikon KrF 노광 장비로 30mJ에서 노광하여 250nm 크기의 패턴을 가지는 마스크를 형성하였다.상기 형성된 마스크를 이용하여 증착된 막을 CF4가 포함된 혼합 가스 및 O2가 포함된 혼합 식각 가스를 이용하여 순차적으로 식각하여 실리콘 옥사이드층을 500Å깊이로 식각을 실시하였다.
비교예 3
유기하드마스크막을 스핀코터를 이용하여 기판층 위에 3100Å으로 코팅하고, 400℃의 온도에서 2분간 베이크 공정을 진행하여 막을 형성한 후, 증착장비를 이용하여 SiON층을 500Å으로 형성하고, 스핀코터를 이용하여 반사방지막을 300Å으로 코팅하고 240℃의 온도에서 1분간 베이크 공정을 진행하여 막을 형성한 후, I-line용 포토레지스트를 6500Å 두께로 코팅한 다음, Nikon I-line 노광 장비로 140mJ에서 노광하여 500nm 크기의 패턴을 가지는 마스크를 형성하였다.상기 형성된 마스크를 이용하여 증착된 막을 CF4가 포함된 혼합 가스 및 O2가 포함된 혼합 식각 가스를 이용하여 순차적으로 식각하여 실리콘 옥사이드층을 500Å깊이로 식각을 실시하였다.
특성 측정
<광학 물성 시험>
실시예 1 내지 5에서 형성된 유무기가 혼재된 다기능유무기마스크막 및 비교예 1 내지 3에서 형성된 유기하드마스크막층과 SiON층에 대하여 굴절률(refractive index) n과 흡광계수(extinction cofficient) k를 각각 측정하였고, 그 결과를 표 1에 나타내었다. 측정기기는 Ellipsometer(Horiba)를 이용하였다.
Sample 굴절률 (n@193nm) 흡광계수 (k@193nm)
실시예 1 1.64 0.52
실시예 2 1.74 0.55
실시예 3 1.66 0.64
실시예 4 1.64 0.52
실시예 5 1.64 0.52
비교예 1 유기하드마스크막 1.38 0.48
비교예 2 1.38 0.48
비교예 3 1.38 0.48
비교예 1 SiON 1.54 0.02
비교예 2 1.54 0.02
비교예 3 1.54 0.02
식각 대상물에 유기탄소막층과 SiON막층, 반사방지막층까지 3개의 층을 1개의 유무기가 혼재된 다기능유무기마스크막층으로 대체하여 코팅하고 마지막으로 포토레지스트를 코팅하여 패터닝을 진행한 실시예 1 내지 실시예 5와 식각 대상물에 유기탄소막층과 SiON막층, 반사방지막층까지 3개의 층을 코팅하고 마지막으로 포토레지스트를 코팅하여 패터닝을 진행한 비교예 1 내지 비교예 3을 비교한 결과, 굴절률 값과 흡광계수 값이 모두 차이가 없는 것을 확인할 수 있었다.
<패턴 형성 시험>
실시예 1 내지 5 및 비교예 1내지 3에서 형성된 막에 대하여 드라이에칭을 진행하여 형성된 패턴의 넓이와 깊이를 측정하였다. 주사전자현미경(FE-SEM, Hitachi)을 이용하여 단면을 확인하였고, 측정 결과를 표 2에 나타내었다.
Sample 패턴 넓이 패턴 깊이
실시예 1 39nm 500Å
실시예 2 39nm 500Å
실시예 3 39nm 500Å
실시예 4 251nm 500Å
실시예 5 495nm 500Å
비교예 1 39nm 500Å
비교예 2 252nm 500Å
비교예 3 495nm 500Å
식각 대상물에 유기탄소막층과 SiON막층, 반사방지막층까지 3개의 층을 1개의 유무기가 혼재된 다기능유무기마스크막층으로 대체하여 코팅하고 마지막으로 포토레지스트를 코팅하여 패터닝을 진행한 실시예 1 내지 실시예 5와 식각 대상물에 유기탄소막층과 SiON막층, 반사방지막층까지 3개의 층을 코팅하고 마지막으로 포토레지스트를 코팅하여 패터닝을 진행한 비교예 1 내지 비교예 3을 비교한 결과는 다음과 같다.
첫째, ArF 노광 장비로 24mJ에서 노광하여 40nm 크기의 패턴을 가지는 마스크를 형성한 실시예 1 내지 3과 비교예 1의 경우 패턴의 넓이 및 패턴 깊이가 동일성의 범주에 드는 것으로 나타났다.
둘째, KrF 노광 장비로 30mJ에서 노광하여 250nm 크기의 패턴을 가지는 마스크를 형성한 실시예 4와 비교예 2의 경우 패턴의 넓이 및 패턴 깊이가 동일한 것으로 나타났다.
셋째, I-line 노광 장비로 140mJ에서 노광하여 500nm 크기의 패턴을 가지는 마스크를 형성한 실시예 5와 비교예 3의 경우 패턴의 넓이 및 패턴 깊이가 동일한 것으로 나타났다.
이상으로 본원 발명의 특정한 부분을 상세히 기술하였는바, 당업계의 통상의 지식을 가진 자에게 있어서 이러한 구체적 기술은 단지 바람직한 실시 양태일 뿐이며, 이에 의해 본원 발명의 범위가 제한되는 것이 아닌 점은 명백할 것이다. 따라서 본원 발명의 실질적인 범위는 청구항들과 그것들의 등가물에 의하여 정의된다고 할 것이다.

Claims (9)

  1. 식각 대상물인 웨이퍼에 포토레지스트막/반사방지막/SiON막/유기하드마스크막의 4개 층을 형성하는 단계를 포토레지스트막/다기능유무기마스크막의 2개층을 형성하는 단계로 대체하는 실리콘 또는 실리콘 화합물 식각 패턴 형성 방법은,
    i) 식각 대상물인 웨이퍼에 스핀 코팅이 가능한 용매, 실리콘 화합물, 가교제, 첨가제 및 계면활성제로 이루어지는 액상 다기능유무기마스크막 조성물을 스핀코터를 이용하여 100 내지 4000 rpm으로 회전하면서 코팅하고, 100 내지 400℃의 온도에서 20 내지 600초의 시간으로 열처리하여 다기능유무기마스크막을 1차로 형성하는 단계;
    ii) 상기 형성된 다기능유무기마스크막 위에 패턴 형성을 위한 포토레지스트 코팅막을 2차로 형성하는 단계;
    iii) 노광과 현상을 거쳐 포토레지스트 패턴을 형성하는 단계;
    iv) 상기 형성된 포토레지스트 패턴을 이용하여 식각이 가능한 가스로 건식 식각을 실시하여 실리콘 또는 실리콘 화합물의 패턴을 형성하는 단계;로 이루어지는 것을 특징으로 하는 실리콘 또는 실리콘 화합물 식각 패턴 형성 방법.
  2. 제1항에 있어서, 상기 i) 단계에서 다기능유무기마스크막은, 탄소가 20 내지 79중량%이고, 실리콘이 20 내지 79중량%, 산소, 수소를 포함하는 기타 원소의 함량이 1 내지 20중량%로 이루어지는 것을 특징으로 하는 실리콘 또는 실리콘 화합물 식각 패턴 형성 방법.
  3. 제1항에 있어서, 상기 iii) 단계에서 패턴 형성을 위한 광원은 13.5nm, 193nm, 248nm, 365nm 파장을 갖는 것과 E-beam을 포함하는 군으로부터 선택되는 것을 특징으로 하는 실리콘 또는 실리콘 화합물 식각 패턴 형성 방법.
  4. 제1항에 있어서, 상기 iv) 단계에서 패턴을 형성한 뒤 건식 식각에 사용할 수 있는 가스는, 아르곤, 질소를 비롯한 불활성 가스; 불소 원소가 1개 이상 포함된 분자로 이루어진 가스; 또는 산소 가스;로 이루어진 군에서 선택되는 1종 또는 2종 이상의 혼합가스인 것을 특징으로 하는 실리콘 또는 실리콘 화합물 식각 패턴 형성 방법.
  5. 제1항에 있어서, 상기 용매는 상기 실리콘 화합물에 대한 충분한 용해성을 갖는 프로필렌글리콜 모노메틸에테르아세테이트(PGMEA), 프로필렌글리콜 모노메틸에테르(PGME), 에틸 3-에톡시프로피오네이트(EEP), 에틸락테이트(EL), 사이클로헥사논(Cyclohexanone) 또는 감마부틸로락톤(GBL)으로 이루어진 군에서 선택되는 1종 또는 2종 이상의 혼합물인 것을 특징으로 하는 실리콘 또는 실리콘 화합물 식각 패턴 형성 방법.
  6. 제1항에 있어서, 상기 실리콘 화합물은 폴리[디메틸실록산-코-(2-(3,4-에폭시시클로헥실)에틸)메틸실록산], 폴리[디메틸실록산-코-2-(9,9-비스(4-히드록시페닐)플로린)메틸실록산], 폴리(디메틸실록산)디글리시딜에테르터미네이티드, 폴리(디메틸실록산)비스(히드록시알킬)터미네이티드, 폴리(디메틸실록산-코-디페닐실록산)디히드록시터미네이티드, 폴리(디메틸실록산-코-메틸히드로실록산)트리메틸실릴터미네이티드, 폴리(디메틸실록산)-그래프트-폴리아크릴레이트 또는 폴리[디메틸실록산-코-메틸(3-히드록시프로필)실록산]-그래프트-폴리(에틸렌글리콜)메틸에테르로 이루어진 군에서 선택되는 1종 또는 2종 이상의 혼합물인 것을 특징으로 하는 실리콘 또는 실리콘 화합물 식각 패턴 형성 방법.
  7. 제1항에 있어서, 상기 가교제는 트리스(2,3-에폭시프로필)이소시아누레이트(Tris(2,3-epoxypropyl) isocyanurate), 트리메틸올메탄트리글리시딜에테르(Trimethylolmethanetriglycidylether), 트리메틸올프로판트리글리시딜에테르(Trimethylolpropanetriglycidylether), 트리에틸올에탄트리글리시딜에테르(Triethylolethanetriglycidylether), 헥사메틸올멜라민(Hexamethylolmelamine), 헥사메톡시메틸멜라민(Hexamethoxymethylmelamine), 헥사메톡시에틸멜라민(Hexamethoxyethylmelamine), 테트라메틸올 2,4-디아미노-1,3,5-트리아진(Tetramethylol 2,4-diamino-1,3,5-triazine), 테트라메톡시메틸-2,4-디아미노-1,3,5-트리아진(Tetramethoxymethyl-2,4-diamino-1,3,5-triazine), 테트라메틸올글리코우릴(Tetramethylolglycoluril), 테트라메톡시메틸우레아(Tetramethoxymethylurea), 테트라메톡시메틸글리코우릴(Tetramethoxymethylglycoluril), 테트라메톡시에틸글리코우릴(Tetramethoxyethylglycoluril), 테트라메틸올우레아(Tetramethylolurea), 테트라메톡시에틸우레아(Tetramethoxyethylurea) 또는 테트라메톡시에틸 2,4-디아미노-1,3,5-트리아진(tetramethoxyethyl-2,4-diamino-1,3,5-triazine)으로 이루어진 군에서 선택되는 1종 또는 2종 이상의 혼합물인 것을 특징으로 하는 실리콘 또는 실리콘 화합물 식각 패턴 형성 방법.
  8. 제1항에 있어서, 상기 첨가제는 열처리 시에 산을 방출하는 산발생제인 열산발생제(TAG: Thermal Acid Generater)로서 피리디늄 P-톨루엔 술포네이트, 벤조인 토실레이트, 테트라브로모사이클로헥사디엔, 2-메틸이미다졸, 2-페닐이미다졸, 아지큐어 MY-H 또는 후지큐어 FXR-1030으로 이루어진 군에서 선택되는 1종 또는 2종 이상의 혼합물인 것을 특징으로 하는 실리콘 또는 실리콘 화합물 식각 패턴 형성 방법.
  9. 제1항에 있어서, 상기 계면활성제는 음이온성, 비이온성, 양이온성, 양쪽성 계면활성제로 이루어진 군에서 선택되는 1종 또는 2종 이상의 혼합물인 것을 특징으로 하는 실리콘 또는 실리콘 화합물 식각 패턴 형성 방법.
PCT/KR2020/003137 2019-03-13 2020-03-06 반도체 제조 공정에 있어서 식각 패턴 신규 형성 방법 WO2020184904A1 (ko)

Priority Applications (4)

Application Number Priority Date Filing Date Title
CN202080020185.6A CN113557592A (zh) 2019-03-13 2020-03-06 半导体制造工艺中的蚀刻图案新型形成方法
JP2021551910A JP7199563B2 (ja) 2019-03-13 2020-03-06 半導体製造工程における新規なエッチングパターン形成方法
US17/434,955 US20220172955A1 (en) 2019-03-13 2020-03-06 Novel etching pattern forming method in semiconductor manufacturing process
EP20768929.0A EP3940747A4 (en) 2019-03-13 2020-03-06 NEW METHOD FOR FORMING ETCH PATTERN IN SEMICONDUCTOR FABRICATION METHOD

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR10-2019-0028812 2019-03-13
KR1020190028812A KR102053921B1 (ko) 2019-03-13 2019-03-13 반도체 제조 공정에 있어서 식각 패턴 신규 형성 방법

Publications (1)

Publication Number Publication Date
WO2020184904A1 true WO2020184904A1 (ko) 2020-09-17

Family

ID=68837402

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/KR2020/003137 WO2020184904A1 (ko) 2019-03-13 2020-03-06 반도체 제조 공정에 있어서 식각 패턴 신규 형성 방법

Country Status (7)

Country Link
US (1) US20220172955A1 (ko)
EP (1) EP3940747A4 (ko)
JP (1) JP7199563B2 (ko)
KR (1) KR102053921B1 (ko)
CN (1) CN113557592A (ko)
TW (1) TWI722810B (ko)
WO (1) WO2020184904A1 (ko)

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060040103A1 (en) * 2004-06-08 2006-02-23 Nanosys, Inc. Post-deposition encapsulation of nanostructures: compositions, devices and systems incorporating same
KR20070072334A (ko) * 2005-12-30 2007-07-04 주식회사 하이닉스반도체 하드마스크용 조성물 및 이를 이용한 반도체 소자의 제조방법
KR20080025818A (ko) * 2006-09-19 2008-03-24 삼성전자주식회사 하드 마스크 형성 방법
KR20090001023A (ko) * 2007-06-29 2009-01-08 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성 방법
KR20090003724A (ko) * 2007-07-03 2009-01-12 주식회사 하이닉스반도체 반도체 소자의 제조방법

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1431823A2 (en) * 2002-12-20 2004-06-23 Shipley Company, L.L.C. Electronic device manufacture
JP4553835B2 (ja) 2005-12-14 2010-09-29 信越化学工業株式会社 反射防止膜材料、及びこれを用いたパターン形成方法、基板
KR100712473B1 (ko) * 2006-02-13 2007-04-27 영창케미칼 주식회사 규소(Si)를 포함하는 다기능 반사방지막
JP5018307B2 (ja) * 2006-09-26 2012-09-05 富士通株式会社 レジストパターン厚肉化材料、レジストパターンの形成方法、半導体装置及びその製造方法
CN101971102B (zh) * 2008-01-29 2012-12-12 布鲁尔科技公司 用来通过多次暗视场曝光对硬掩模进行图案化的在线法
WO2015137193A1 (ja) * 2014-03-12 2015-09-17 Jsr株式会社 半導体デバイス製造用組成物および該半導体デバイス製造用組成物を用いたパターン形成方法
CN107077071B (zh) * 2014-11-04 2020-10-02 日产化学工业株式会社 包含具有亚芳基的聚合物的抗蚀剂下层膜形成用组合物
KR102439087B1 (ko) 2014-11-19 2022-09-01 닛산 가가쿠 가부시키가이샤 습식제거가 가능한 실리콘함유 레지스트 하층막 형성 조성물
JP6749195B2 (ja) * 2015-09-30 2020-09-02 東京応化工業株式会社 リソグラフィー用薬液精製品の製造方法、及びレジストパターン形成方法
JP6603115B2 (ja) * 2015-11-27 2019-11-06 信越化学工業株式会社 ケイ素含有縮合物、ケイ素含有レジスト下層膜形成用組成物、及びパターン形成方法
KR101819992B1 (ko) * 2016-06-24 2018-01-18 영창케미칼 주식회사 포토레지스트 패턴 축소 조성물과 패턴 축소 방법

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060040103A1 (en) * 2004-06-08 2006-02-23 Nanosys, Inc. Post-deposition encapsulation of nanostructures: compositions, devices and systems incorporating same
KR20070072334A (ko) * 2005-12-30 2007-07-04 주식회사 하이닉스반도체 하드마스크용 조성물 및 이를 이용한 반도체 소자의 제조방법
KR20080025818A (ko) * 2006-09-19 2008-03-24 삼성전자주식회사 하드 마스크 형성 방법
KR20090001023A (ko) * 2007-06-29 2009-01-08 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성 방법
KR20090003724A (ko) * 2007-07-03 2009-01-12 주식회사 하이닉스반도체 반도체 소자의 제조방법

Also Published As

Publication number Publication date
KR102053921B1 (ko) 2019-12-09
EP3940747A4 (en) 2022-12-28
TW202101536A (zh) 2021-01-01
JP2022522811A (ja) 2022-04-20
JP7199563B2 (ja) 2023-01-05
US20220172955A1 (en) 2022-06-02
TWI722810B (zh) 2021-03-21
EP3940747A1 (en) 2022-01-19
CN113557592A (zh) 2021-10-26

Similar Documents

Publication Publication Date Title
KR890003264B1 (ko) 3층 레지스트 및 레지스트 패턴의 형성방법
WO2018070785A1 (ko) 고내에치성 스핀 온 카본 하드마스크 조성물 및 이를 이용한 패턴화 방법
KR101820195B1 (ko) 반사방지 코팅 조성물 및 이의 방법
EP3686672B1 (en) Process of coating a hard mask composition for pattern transfer into a silicon substrate
KR101484568B1 (ko) 고내에칭성 카본 하드마스크 중합체 및 이를 포함하는 반사방지 하드마스크 조성물, 및 이를 이용한 반도체 소자의 패턴 형성 방법
WO2014157881A1 (ko) 레지스트 하층막 조성물 및 이를 이용한 패턴 형성 방법
CN102308260A (zh) 使用聚硅氮烷形成反色调图像的硬掩模方法
WO2018199419A1 (en) Resist underlayer composition and method of forming patterns using the resist underlayer composition
WO2020209527A1 (ko) 고두께 스핀 온 카본 하드마스크 조성물 및 이를 이용한 패턴화 방법
WO2011081323A2 (ko) 포토레지스트 하층막용 조성물 및 이를 이용하는 반도체 소자의 제조 방법
WO2010064829A2 (ko) 반사방지 하층막 조성물
KR20000008631A (ko) 실록산을 기본 골격으로 하는 감광성수지 조성물 및 이 조성물을 이용하는 패턴 형성방법
KR20050022494A (ko) 스핀레스 코터용 액정표시소자의 포토레지스트 조성물과이를 이용한 포토레지스트 패턴 형성 방법
KR101425135B1 (ko) 용해도가 개선된 고내에칭성 카본 하드마스크 중합체 및 이를 포함하는 카본 하드마스크 조성물, 및 이를 이용한 반도체 소자의 패턴 형성 방법
WO2020184904A1 (ko) 반도체 제조 공정에 있어서 식각 패턴 신규 형성 방법
WO2023195636A1 (ko) 고평탄화 성능을 지닌 스핀 온 카본 하드마스크 조성물 및 이를 이용한 패턴화 방법
WO2011081322A2 (ko) 레지스트 하층막용 조성물 및 이를 이용한 반도체 집적회로 디바이스의 제조방법
KR102407313B1 (ko) 블랙 매트릭스용 조성물 및 이를 사용하는 블랙 매트릭스의 제조방법
WO2022245014A1 (ko) 증발감량이 적은 스핀 온 카본 하드마스크 조성물 및 이를 이용한 패턴화 방법
KR101514767B1 (ko) 저장 안정성이 우수한 카본 하드마스크 중합체 및 이를 포함하는 카본 하드마스크 조성물, 및 이를 이용한 반도체 소자의 패턴 형성 방법
KR102194297B1 (ko) 인돌-플루오렌 중합체를 함유하는 반사방지용 하드마스크 조성물
JP3034090B2 (ja) パターン形成方法
KR100552914B1 (ko) 칼러레지스트수지조성물
WO2019146935A1 (ko) Euv 광원용 감광성 포토레지스트 미세패턴 형성용 현상액 조성물
DE10125372A1 (de) Substanz zur Verwendung als Antireflexionsschicht, Substrat mit einer Antireflexionsschicht und Verfahren zur Herstellung einer Antireflexionsschicht

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 20768929

Country of ref document: EP

Kind code of ref document: A1

ENP Entry into the national phase

Ref document number: 2021551910

Country of ref document: JP

Kind code of ref document: A

NENP Non-entry into the national phase

Ref country code: DE

ENP Entry into the national phase

Ref document number: 2020768929

Country of ref document: EP

Effective date: 20211013