WO2014069942A1 - 퍼지챔버 및 이를 구비하는 기판처리장치 - Google Patents

퍼지챔버 및 이를 구비하는 기판처리장치 Download PDF

Info

Publication number
WO2014069942A1
WO2014069942A1 PCT/KR2013/009858 KR2013009858W WO2014069942A1 WO 2014069942 A1 WO2014069942 A1 WO 2014069942A1 KR 2013009858 W KR2013009858 W KR 2013009858W WO 2014069942 A1 WO2014069942 A1 WO 2014069942A1
Authority
WO
WIPO (PCT)
Prior art keywords
substrate
chamber
gas
purge chamber
passage
Prior art date
Application number
PCT/KR2013/009858
Other languages
English (en)
French (fr)
Inventor
양일광
송병규
김경훈
김용기
신양식
Original Assignee
주식회사 유진테크
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 유진테크 filed Critical 주식회사 유진테크
Priority to US14/436,247 priority Critical patent/US20150267291A1/en
Priority to CN201380056780.5A priority patent/CN104756242A/zh
Priority to JP2015539512A priority patent/JP2016502753A/ja
Publication of WO2014069942A1 publication Critical patent/WO2014069942A1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45559Diffusion of reactive gas to substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers

Definitions

  • the present invention relates to a substrate processing apparatus and a purge chamber, and more particularly, to an apparatus for removing contaminants existing on a predetermined processed substrate using a purge chamber provided on one side of the transfer chamber.
  • unit processes such as deposition, photolithography, etching, ion implantation, polishing, and cleaning are repeatedly performed on a silicon substrate used as a substrate to form circuit patterns having desired electrical characteristics.
  • a chemical reaction as in Scheme (1) proceeds.
  • a silicon oxide film (SiO 2 ) is formed on the substrate subjected to the deposition process of dichlorosilane (SiH 2 Cl 2 ) and nitrogen oxide (2N 2 O).
  • hydrochloric acid (HCl) adsorbed on the surface of the substrate is transferred to the facility front end module, hydrochloric acid is generated by reacting with moisture inside the facility front end module, which causes corrosion of the metal inside the facility front end module.
  • HCl hydrochloric acid
  • the substrate W in which the deposition process is completed when the fume of the substrate W in which the deposition process is completed is moved to a storage container for accommodating a plurality of substrates without removing the fume, the substrate W may be transferred to other substrates in the storage container to cause defects and contamination of the substrate. .
  • An object of the present invention is to remove the fume by transferring the processed substrate to the purge chamber.
  • Another object of the present invention is to remove the fumes generated from the substrate after the process is completed to prevent the peripheral devices from corrosion.
  • a substrate processing apparatus includes a process chamber in which a process of processing a substrate is performed; A purge chamber to remove contaminants contained in the substrate; And a transfer chamber having a process handler and a purge chamber connected to a side thereof, and having a substrate handler configured to transfer the process-completed substrate to the purge chamber between the process chamber and the purge chamber.
  • the chamber includes a chamber having an internal space and a passage through which the substrate enters and exits the internal space; A substrate holder installed in the chamber and on which the substrate is placed; A gas supply port installed at one side of the passage to supply gas toward the inner space; And an exhaust port installed at an opposite side of the gas supply port to exhaust the gas in the internal space.
  • the purge chamber may further include at least one diffuser plate installed at a side wall of the chamber to which the gas supply port is connected and diffuse the gas supplied through the gas supply port.
  • the substrate holder may include one or more loading plates stacked up and down with an opening corresponding to the shape of the substrate, an opening in communication with the opening and positioned on the passage, and a seating groove formed along a circumference of the opening; And a holder cover spaced apart from an upper portion of the loading plate and partitioning the inner space up and down.
  • the substrate holder may include an upper frame installed on the substrate; A lower frame installed under the substrate; And one or more support rods connecting the upper frame and the lower frame and having a plurality of support slots formed along the longitudinal direction to accommodate the edge portion of the substrate.
  • the purge chamber may further include one or more baffles installed on a side wall of the chamber to which the exhaust port is connected to discharge gas in the internal space.
  • the flow direction of the gas may be perpendicular to the entrance direction of the substrate.
  • the gas may be an inert gas.
  • the purge chamber may further have a refrigerant supply path through which the refrigerant is circulated.
  • a chamber having an internal space and a passage through which the substrate enters and exits the internal space;
  • a substrate holder installed in the chamber and on which the substrate is placed;
  • a gas supply port installed at one side of the passage to supply gas toward the inner space;
  • an exhaust port disposed at an opposite side of the gas supply port to exhaust the gas in the internal space, wherein the substrate holder is located at the passage side in communication with an opening corresponding to the shape of the substrate and the opening.
  • At least one loading plate having an opening portion and a seating groove formed along a circumference of the opening and stacked up and down; And a holder cover spaced apart from an upper portion of the loading plate and partitioning the inner space up and down.
  • a chamber having an internal space and a passage through which the substrate enters and exits the internal space;
  • a substrate holder installed in the chamber and on which the substrate is placed;
  • a gas supply port installed at one side of the passage to supply gas toward the inner space;
  • an exhaust port disposed at an opposite side of the gas supply port to discharge the gas in the internal space
  • the substrate holder comprises: an upper frame installed at an upper portion of the substrate; A lower frame installed under the substrate; And one or more support rods connecting the upper frame and the lower frame and having a plurality of support surfaces on which edge portions of the substrate are accommodated.
  • the process can remove the fume of the substrate, it is possible to prevent the failure of the substrate by the fume to improve the yield of the product.
  • 1 is a view showing a position where the purge chamber is installed.
  • FIG. 2 is a view schematically showing a purge chamber according to an embodiment of the present invention.
  • FIG 3 is a view showing a substrate holder according to an embodiment of the present invention.
  • FIG. 4 is a view showing the flow of gas flow in the purge chamber in which the substrate holder shown in FIG. 3 is installed.
  • FIG. 5 is a view showing a substrate holder according to another embodiment of the present invention.
  • FIG. 6 is a rear view illustrating the flow of gas flow in the purge chamber in which the substrate holder shown in FIG. 5 is installed.
  • FIGS. 1 to 4 Embodiments of the invention may be modified in various forms, the scope of the invention should not be construed as limited to the embodiments described below. These embodiments are provided to explain in detail the present invention to those skilled in the art. Accordingly, the shape of each element shown in the drawings may be exaggerated to emphasize a more clear description.
  • the substrate processing apparatus 100 is installed in the purge chamber 1, the plurality of process chambers 110, the transfer chamber 170, and the transfer chamber 170, between the process chambers 110 and the purge chamber 1. It includes a substrate handler 120 for transporting the substrate (W).
  • a vacuum gate valve (not shown) is installed between the transfer chamber 170, the purge chamber 1, and the process chamber 110 to open and close the vacuum gate valve so that the substrate W is transferred from the transfer chamber 170 to the purge chamber ( 1) or transfer to process chambers 110.
  • Each of the process chambers 110 is provided with a substrate W, and processes the substrate W by performing a semiconductor process such as etching, cleaning, and ashing.
  • the transfer chamber 170 may have a generally polygonal shape when viewed from above, and the transfer chamber 170 is connected to the purge chamber 1, the process chambers 110, and the load lock chamber 150.
  • the substrate handler 120 may be installed in the transfer chamber 170, and the substrate handler 120 may load the substrate W into the purge chamber 1 and the respective process chambers 110 or purge chamber 1. ) And the substrate W are unloaded from the process chambers 110.
  • the substrate handler 120 may transfer the substrate W between the purge chamber 1, the process chambers 110, and the load lock chamber 150.
  • the load lock chamber 150 is installed between the transfer chamber 170 and the facility front end module 200.
  • the load lock chamber 150 is formed from the loading chamber (not shown) and the purge chamber 1 and the process chamber 110 in which the substrates W flowing into the purge chamber 1 and the process chamber 110 temporarily stay.
  • the semiconductor process may include an unloading chamber (not shown) that temporarily retains the taken out substrate W.
  • FIG. At this time, the interior of the load lock chamber 150 is switchable to a vacuum and atmospheric pressure, the transfer chamber 170, the purge chamber 1 and the process chamber 110 is maintained in a vacuum. Accordingly, the load lock chamber 150 prevents external contaminants from entering the purge chamber 1, the process chambers 110, and the transfer chamber 170.
  • the facility front end module 200 includes a plurality of storage containers 210, a plurality of load ports 220 and a frame 5, and a second transfer unit 230.
  • the storage container 210 can accommodate a plurality of substrates W, and the storage container 210 provides the unprocessed substrates W to the processing unit 100, and the substrate processed by the processing unit 100. (W) to receive them again.
  • the storage container 210 is seated in the load port 220, the load port 220 is installed in front of the frame 5 to support the storage container 210.
  • the frame 5 is installed between the load port 220 and the load lock chamber 150, the second transfer unit 230 may be installed inside the frame.
  • the second transfer unit 230 transfers the substrate W between the storage containers 210 mounted on the load port 220 and the transfer chamber 170.
  • the second transfer unit 230 withdraws the substrate W from the storage container 210 to provide the substrate W to the transfer chamber 170, and is processed from the purge chamber 1 and the process chambers 110.
  • the substrate W may be provided and transferred to the storage container 210.
  • the silicon oxide film is formed on the substrate W subjected to the dichlorosilane process.
  • hydrochloric acid (HCl) adsorbed on the surface of the substrate W is transferred to the facility front end module 200, hydrochloric acid is generated by reaction with moisture in the facility front end module 200, and the hydrochloric acid is installed in the facility.
  • HCl corrosive gas
  • the present invention may be installed on one side of the transfer chamber 170 to remove the fume and corrosive gas that is a source of contamination of the substrate (W).
  • the purge chamber 1 will be described with reference to the accompanying drawings.
  • the purge chamber 1 is connected to one side of the transfer chamber 170, and a passage (not shown) through which the substrate W enters and exits is formed by opening and closing the vacuum gate valve.
  • the substrate W processed from the process chambers 110 is transferred to the purge chamber 10 by the substrate handler 160.
  • the substrate W subjected to the processing process corrosive fumes remain to corrode peripheral devices. Therefore, the substrate W, which has been processed, may be immediately transferred to the purge chamber 1 to remove corrosive fumes, thereby preventing corrosion of peripheral devices, and preventing fumes from being exposed to the atmosphere.
  • the chamber 10 has an open shape at the top, and the chamber cover 20 is installed at the top of the chamber 10 to provide an inner space 15.
  • the substrate holder 30 is installed in the internal space 15, and the substrate W, which enters and exits through the passage, is loaded on the substrate holder 30.
  • the gas supply port 40 is installed at one side of the substrate W through the passage.
  • the gas supply port 40 is formed with a gas supply port 45, and the gas supply port 45 is connected to the gas supply pipe 46 to receive gas from the gas supply storage tank 48.
  • the valve 47 is installed on the gas supply pipe 46 to control the gas supply, and the gas is supplied toward the internal space 15 through the gas supply port 45.
  • the gas may be an inert gas including argon gas (Ar).
  • the purge chamber 1 may have a refrigerant passage 12 formed therein along the wall of the chamber 10.
  • the coolant flows along the coolant channel 12, and the coolant may use a cooling water or a cooling gas. Therefore, the inside of the purge chamber 1 can be cooled by supplying the refrigerant through the refrigerant passage 12.
  • the refrigerant may be supplied to the refrigerant passage 12 through the refrigerant supply pipe (not shown) connected from the refrigerant supply tank (not shown), and the refrigerant may circulate along the refrigerant passage.
  • the refrigerant heated after circulating the chamber 10 may be introduced into a chiller (not shown) along the refrigerant supply pipe and may be recooled.
  • a plurality of diffusion plates are installed on the sidewall of the chamber 10 to which the gas supply port 40 is connected.
  • the first to third diffusion plates 60, 64, and 67 are formed with a plurality of diffusion holes 61, 65, and 68, respectively, to supply gas supplied through the gas supply holes 45.
  • the diffusion is sequentially supplied toward the inner space 15.
  • the first to third diffusion plates 60, 64, and 67 may be disposed at predetermined intervals to uniformly diffuse the gas supplied through the gas supply holes 45 toward the internal space 15.
  • an exhaust port 50 for discharging the gas supplied through the gas supply port 40 is installed on the opposite side of the gas supply port 40.
  • the exhaust port 50 is connected to the exhaust pipe 46, and may forcibly discharge the gas in the internal space 15 by the exhaust pump 48 connected to the exhaust pipe 46.
  • a baffle 70 having a plurality of discharge holes 75 is installed on the exhaust port 50, and the gas flow in the internal space 15 is constantly maintained through the discharge holes 75. The gas can be released to the outside.
  • the gas supply port 40 and the exhaust port 50 are respectively installed on both sides of the passage. That is, the gas flows perpendicularly to the entry and exit direction of the substrate (W).
  • a plurality of baffles 70 may be provided on the exhaust port 50.
  • the substrate holder 30 is installed in the inner space 15 of the chamber 10.
  • the substrate W having completed the process is guided to the internal space 15 of the purge chamber 1 through the substrate handler 160 of the transfer chamber 170.
  • the substrate W guided into the inner space 15 is loaded into the substrate holder 30 and is blocked by a vacuum gate valve (not shown) when the loading is completed.
  • a vacuum gate valve (not shown) when the loading is completed.
  • gas is introduced through the gas supply port 45, and the introduced gas is discharged to the exhaust port together with the fume remaining on the substrate (W).
  • a cover 25 is installed to protect the inner wall of the chamber, and the cover 25 may be made of quartz or ceramic material.
  • the material of the substrate holder 30 supporting the substrate W may also be made of quartz or ceramic material, and the mounting effect of the substrate holder 30 and the substrate holder 30 will be described with the following drawings. do.
  • FIG. 3 is a view showing a substrate holder according to an embodiment of the present invention
  • Figure 4 is a view showing the flow of gas flow in the purge chamber, the substrate holder shown in FIG.
  • the loading plate 35 has an opening 34 corresponding to the shape of the substrate W.
  • the opening part 32 is formed in the passage side through which the board
  • the loading plate 35 has a seating groove 36 formed along the circumference of the opening 34 so that the substrate W guided into the inner space 15 is supported by the seating groove 36.
  • One or more loading plates 35 may be installed and stacked vertically, and three loading plates 35 may be installed to accommodate three substrates W.
  • the holder cover 38 is connected to the upper portion of the loading plate 35 installed at the top. That is, as shown in FIG. 4, the holder cover 38 partitions the inner space 15 up and down, and the gas introduced through the diffusion plates 60, 64, 67 is transferred by the holder cover 38. Most of the gas introduced by minimizing the gas flow space may be supplied to the substrate W to sufficiently remove the fume included in the substrate W.
  • FIG. 5 is a view showing a substrate holder according to another embodiment of the present invention
  • Figure 6 is a rear view showing the flow of gas flow in the purge chamber is installed substrate holder shown in FIG.
  • the substrate holder 30 may have a boat-type shape including an upper frame 80 and a lower frame 83.
  • the upper frame 80 is installed above the substrate W
  • the lower frame 83 is installed below the substrate W.
  • the upper and lower frames 80 and 83 may have a circular shape corresponding to the substrate W, respectively.
  • the support rod 85 connects the upper frame 80 and the lower frame 83 and has a plurality of support slots 87. After completion of the process, the substrate W is guided to the internal space 15 and seated on the support slot 87 formed in the support rod 85.
  • the support slot 87 may be formed in plural along the longitudinal direction of the support rod 85, and preferably, three support slots 87 may be formed to accommodate three substrates W.
  • the contact area between the substrate W and the substrate holder 30 can be minimized by installing the boat-type substrate holder 30 in the inner space 15 of the purge chamber 1. . Therefore, since the gas can be supplied to most areas of the substrate W, most of the corrosive fumes included in the substrate W can be removed.
  • the boat-type substrate holder 30 is provided with the chamber cover 38 described with reference to FIG. 3 to partition the inner space 15 to thereby maximize the amount of gas introduced through the diffusion plates 60, 64, and 67. Can be supplied to the substrate (W).
  • the present invention connects the purge chamber 1 to one side of the transfer chamber 170 and transfers the processed substrate W directly from the process chambers 110 to the purge chamber 1 to remove the corrosive fume.
  • Peripherals are not in contact with the fumes contained in the substrate (W). Therefore, it is possible to prevent corrosion of peripheral components and devices to secure productivity and economy.
  • by removing most of the fume of the substrate (W) by using the substrate holder 30 of the present invention it is possible to prevent defects of the processed substrate due to the fume and to improve the yield of the product.
  • the present invention can be applied to various types of semiconductor manufacturing equipment and manufacturing methods.

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

본 발명의 일 실시예에 의하면, 기판처리장치는 기판을 가공하는 공정이 이루어지는 공정챔버; 상기 기판에 포함된 오염물질을 제거하는 퍼지챔버; 및 상기 공정챔버 및 상기 퍼지챔버가 측면에 연결되며, 상기 공정챔버 및 상기 퍼지챔버 사이에서 상기 공정이 완료된 상기 기판을 상기 퍼지챔버로 이송하는 기판 핸들러를 구비하는 트랜스퍼챔버를 포함하며, 상기 퍼지챔버는, 내부공간 및 상기 내부공간으로 상기 기판이 출입하는 통로를 가지는 챔버; 상기 챔버의 내부에 설치되며, 상기 기판이 놓여지는 기판홀더; 상기 통로를 기준으로 일측면에 설치되어 상기 내부공간을 향해 가스를 공급하는 가스공급포트; 및 상기 가스공급포트의 반대편에 설치되어 상기 내부공간의 상기 가스를 배출하는 배기포트를 포함한다.

Description

퍼지챔버 및 이를 구비하는 기판처리장치
본 발명은 기판처리장치 및 퍼지챔버에 관한 것으로 더욱 상세하게는 소정의 가공처리된 기판 상에 존재하는 오염물질을 트랜스퍼챔버의 일측에 설치된 퍼지챔버를 이용하여 제거하는 장치에 관한 것이다.
일반적으로, 반도체 장치의 제조에서는 기판으로서 사용되는 실리콘 기판에 대하여 증착, 포토리소그리피, 식각, 이온 주입, 연마, 세정 등의 단위 공정들을 반복적으로 수행하여 목적하는 전기적 특성들을 갖는 회로 패턴들을 형성할 수 있다. 특히, 기판을 증착하는 SiH2Cl2(DCS:디클로로실란) 공정의 경우, 반응식 (1)과 같은 화학반응이 진행된다.
(SiH2Cl2 + 2N2O → SiO2 + 2N↑ + 2HCl) -------- 반응식 (1)
반응식 (1)에서와 같이, 디클로로실란(SiH2Cl2)과 질소산화물(2N2O)의 증착 공정이 진행된 기판은 실리콘산화막(SiO2)이 형성된다. 반면, 기판의 표면에 흡착되어 있던 염산(HCl)이 설비 전방 단부 모듈으로 이송되면, 설비 전방 단부 모듈 내부의 습기와 반응하여 염산이 발생하고, 이 염산이 설비 전방 단부 모듈 내부의 금속을 부식시키는 문제가 발생된다. 특히, 기판을 낱장 단위로 세정하는 매엽식 공정의 경우에는 배치식 공정보다 신속하게 공정이 완료됨에 따라 기판으로부터 발생되는 부식성가스(HCl)의 잔존량이 증가하여 주변 부품 및 장치의 부식되는 문제가 심각하다.
또한, 증착 공정이 완료된 기판(W)의 흄이 제거되지 않은 채 다수의 기판들을 수납하기 위한 수납용기로 이동될 경우, 수납용기 내부의 다른 기판들로 전이되어 기판의 불량 및 오염을 일으킬 수 있다.
본 발명의 목적은 공정 완료된 기판을 퍼지챔버로 이송하여 흄을 제거하는 데 있다.
본 발명의 다른 목적은 공정 완료 후 기판으로부터 발생하는 흄을 제거하여 주변장치들이 부식되는 것을 방지하는 데 있다.
본 발명의 또 다른 목적들은 다음의 상세한 설명과 도면으로부터 보다 명확해질 것이다.
본 발명의 일 실시예에 의하면, 기판처리장치는 기판을 가공하는 공정이 이루어지는 공정챔버; 상기 기판에 포함된 오염물질을 제거하는 퍼지챔버; 및 상기 공정챔버 및 상기 퍼지챔버가 측면에 연결되며, 상기 공정챔버 및 상기 퍼지챔버 사이에서 상기 공정이 완료된 상기 기판을 상기 퍼지챔버로 이송하는 기판 핸들러를 구비하는 트랜스퍼챔버를 포함하며, 상기 퍼지챔버는, 내부공간 및 상기 내부공간으로 상기 기판이 출입하는 통로를 가지는 챔버; 상기 챔버의 내부에 설치되며, 상기 기판이 놓여지는 기판홀더; 상기 통로를 기준으로 일측면에 설치되어 상기 내부공간을 향해 가스를 공급하는 가스공급포트; 및 상기 가스공급포트의 반대편에 설치되어 상기 내부공간의 상기 가스를 배출하는 배기포트를 포함한다.
상기 퍼지챔버는, 상기 가스공급포트가 연결된 상기 챔버의 측벽에 설치되며, 상기 가스공급포트를 통해 공급된 상기 가스를 확산하는 하나 이상의 확산판을 더 포함할 수 있다.
상기 기판홀더는, 상기 기판의 형상과 대응되는 개구 및 상기 개구와 연통되어 상기 통로 측에 위치하는 개방부, 그리고 상기 개구의 둘레를 따라 형성된 안착홈을 가지며 상하로 적층되는 하나 이상의 로딩플레이트; 및 상기 로딩플레이트의 상부에 이격 설치되며, 상기 내부공간을 상하로 구획하는 홀더덮개를 포함할 수 있다.
상기 기판홀더는, 상기 기판의 상부에 설치되는 상부프레임; 상기 기판의 하부에 설치되는 하부프레임; 및 상기 상부프레임과 상기 하부프레임을 연결하며, 상기 기판의 가장자리부분이 수용되는 복수의 지지슬롯들이 길이방향을 따라 형성된 하나 이상의 지지로드를 구비할 수 있다.
상기 퍼지챔버는, 상기 배기포트가 연결된 상기 챔버의 측벽에 설치되어 상기 내부공간의 가스를 배출하는 하나 이상의 배플을 더 포함할 수 있다.
상기 가스의 유동방향은 상기 기판의 출입방향과 수직일 수 있다.
상기 가스는 불활성가스일 수 있다.
상기 퍼지챔버는 냉매가 순환되는 냉매공급로를 더 가질 수 있다.
본 발명의 일 실시예에 의하면, 내부공간 및 상기 내부공간으로 상기 기판이 출입하는 통로를 가지는 챔버; 상기 챔버의 내부에 설치되며, 상기 기판이 놓여지는 기판홀더; 상기 통로를 기준으로 일측면에 설치되어 상기 내부공간을 향해 가스를 공급하는 가스공급포트; 및 상기 가스공급포트의 반대편에 설치되어 상기 내부공간의 상기 가스를 배출하는 배기포트를 포함하며, 상기 기판홀더는, 상기 기판의 형상과 대응되는 개구 및 상기 개구와 연통되어 상기 통로 측에 위치하는 개방부, 그리고 상기 개구의 둘레를 따라 형성된 안착홈을 가지며 상하로 적층되는 하나 이상의 로딩플레이트; 및 상기 로딩플레이트의 상부에 이격 설치되며, 상기 내부공간을 상하로 구획하는 홀더덮개를 포함한다.
본 발명의 일 실시예에 의하면, 내부공간 및 상기 내부공간으로 상기 기판이 출입하는 통로를 가지는 챔버; 상기 챔버의 내부에 설치되며, 상기 기판이 놓여지는 기판홀더; 상기 통로를 기준으로 일측면에 설치되어 상기 내부공간을 향해 가스를 공급하는 가스공급포트; 및 상기 가스공급포트의 반대편에 설치되어 상기 내부공간의 상기 가스를 배출하는 배기포트를 포함하며, 상기 기판홀더는, 상기 기판의 상부에 설치되는 상부프레임; 상기 기판의 하부에 설치되는 하부프레임; 및 상기 상부프레임과 상기 하부프레임을 연결하며, 상기 기판의 가장자리부분이 수용되는 복수의 지지면들을 가지는 하나 이상의 지지로드를 구비한다.
본 발명의 일 실시예에 의하면 공정이 완료된 기판을 별도의 퍼지챔버로 이송시켜 기판에 잔류된 흄을 제거함으로써 주변 장치의 부식을 방지가능하며, 기판이 대기에 노출되어도 인체에 무해하다. 또한, 공정이 완료된 기판의 흄을 제거할 수 있으므로, 흄에 의한 기판의 불량을 방지하여 제품의 수율을 향상시킨다.
도 1은 퍼지챔버가 설치되는 위치를 나타내는 도면이다.
도 2는 본 발명의 일 실시예에 따른 퍼지챔버를 개략적으로 나타내는 도면이다.
도 3은 본 발명의 일 실시예에 따른 기판홀더를 나타내는 도면이다.
도 4는 도 3에 도시한 기판홀더가 설치된 퍼지챔버의 가스유동의 흐름을 나타내는 도면이다.
도 5는 본 발명의 다른 실시예에 따른 기판홀더를 나타내는 도면이다.
도 6은 도 5에 도시한 기판홀더가 설치된 퍼지챔버의 가스유동의 흐름을 나타내는 배면도이다.
이하, 본 발명의 바람직한 실시예들을 첨부된 도 1 내지 도 4를 참고하여 더욱 상세히 설명한다. 본 발명의 실시예들은 여러 가지 형태로 변형될 수 있으며, 본 발명의 범위가 아래에서 설명하는 실시예들에 한정되는 것으로 해석되어서는 안 된다. 본 실시예들은 당해 발명이 속하는 기술분야에서 통상의 지식을 가진 자에게 본 발명을 더욱 상세하게 설명하기 위해서 제공되는 것이다. 따라서 도면에 나타난 각 요소의 형상은 보다 분명한 설명을 강조하기 위하여 과장될 수 있다.
도 1은 퍼지챔버가 설치되는 위치를 나타내는 도면이다. 도 1에 도시한 바와 같이, 기판에 대한 공정이 이루어지는 기판제조설비는 기판(W)의 처리 공정이 이루어지는 각각의 공정챔버들(110) 및 공정챔버들(110)으로부터 기판(W)을 인출하고 인입하는 설비 전방 단부 모듈(200)(Equipment Front End Module : EFEM)을 포함한다. 기판처리장치(100)는 퍼지챔버(1), 다수의 공정 챔버들(110), 트랜스퍼 챔버(170) 및 트랜스퍼 챔버(170)에 설치되어 공정챔버(110)들과 퍼지챔버(1) 사이에서 기판(W)을 이송하는 기판핸들러(120)를 포함한다. 트랜스퍼 챔버(170)와 퍼지챔버(1) 및 공정챔버(110)들 사이에는 진공게이트벨브(도시안함)가 설치되어 진공게이트벨브를 개폐하여 기판(W)을 트랜스퍼챔버(170)에서 퍼지챔버(1) 또는 공정챔버(110)들로 이송할 수 있다.
각각의 공정챔버(110)들은 각각 기판(W)을 제공받아 반도체 공정, 예컨데 에칭(etching), 세정(cleaning), 애싱(ashing) 등과 같은 공정을 수행하여 기판(W)을 가공한다. 트랜스퍼 챔버(170)는 상측에서 볼때 대체로 다각 형상을 가질 수 있으며, 트랜스퍼 챔버(170)는 퍼지챔버(1)와 각각의 공정챔버(110)들 및 로드락 챔버(150)와 연결된다. 트랜스퍼 챔버(170)의 안에는 기판핸들러(120)가 설치될 수 있으며, 기판 핸들러(120)는 퍼지챔버(1) 및 각각의 공정챔버(110)들에 기판(W)을 로딩하거나 퍼지챔버(1) 및 공정챔버(110)들로부터 기판(W)을 언로딩한다. 또한, 기판 핸들러(120)는 퍼지챔버(1) 및 각각의 공정챔버(110)들, 그리고 로드락 챔버(150)간에 기판(W)을 이송할 수 있다.
로드락 챔버(150)는 트랜스퍼 챔버(170)와 설비 전방 단부 모듈(200)과의 사이에 설치된다. 로드락 챔버(150)는 퍼지챔버(1) 및 공정챔버(110)들로 유입되는 기판(W)들이 일시적으로 머무르는 로딩챔버(도시안함)와 퍼지챔버(1) 및 공정챔버(110)들로부터 반도체 공정이 완료되어 인출된 기판(W)을 일시적으로 머무르는 언로딩 챔버(도시안함)를 포함할 수 있다. 이때, 로드락 챔버(150)의 내부는 진공 및 대기압으로 전환가능하며, 트랜스퍼 챔버(170), 퍼지챔버(1) 및 공정 챔버(110)들은 진공으로 유지된다. 따라서, 로드락 챔버(150)는 외부 오염물질이 퍼지챔버(1)와 공정챔버들(110) 및 트랜스퍼 챔버(170)로 유입되는 것을 방지한다.
설비전방단부모듈(200)은 복수개의 수납용기(210), 복수개의 로드포트(220) 및 프레임(5), 그리고 제2 이송유닛(230)을 포함한다. 수납용기(210)는 다수의 기판(W)을 수납가능하며, 수납용기(210)는 가공되지 않은 기판(W)들을 공정유닛(100)에 제공하고, 공정유닛(100)에 의해 가공된 기판(W)들을 다시 수납한다. 수납용기(210)는 로드포트(220)에 안착되며, 로드포트(220)는 프레임(5)의 전방에 설치되어 수납용기(210)를 지지한다.
프레임(5)은 로드포트(220)와 로드락 챔버(150) 사이에 설치되며 프레임의 내부에는 제2 이송유닛(230)이 설치될 수 있다. 제2 이송유닛(230)은 로드포트(220)에 안착된 수납용기(210)들과 트랜스퍼챔버(170) 간에 기판(W)을 이송한다. 제2 이송유닛(230)은 수납용기(210)로부터 기판(W)을 인출하여 트랜스퍼챔버(170)에 기판(W)을 제공하고, 퍼지챔버(1) 및 공정챔버들(110)으로부터 가공된 기판(W)를 제공받아 수납용기(210)로 이송할 수 있다.
기판을 가공하는 디클로로실란(DCS) 공정의 경우, 반응식 (1)과 같은 화학반응이 진행된다.
(SiH2Cl2 + 2N2O → SiO2 + 2N↑ + 2HCl) -------- 반응식 (1)
반응식 (1)에서와 같이, 디클로로실란 공정이 진행된 기판(W)은 실리콘 산화막이 형성된다. 반면, 기판(W)의 표면에 흡착되어 있던 염산(HCl)이 설비 전방 단부 모듈(200)으로 이송되면, 설비 전방 단부 모듈(200) 내부의 습기와 반응하여 염산이 발생하고, 이 염산이 설비 전방 단부 모듈(200) 내부의 금속을 부식시키는 문제가 발생된다. 특히, 기판(W)을 낱장 단위로 세정하는 매엽식 공정의 경우에는 배치식 공정보다 신속하게 공정이 완료됨에 따라 기판(W)으로부터 발생되는 부식성가스(HCl)의 잔존량이 증가하여 주변 부품 및 장치의 부식되는 문제가 심각하다.
또한, 증착공정이 완료된 기판(W)의 흄이 제거되지 않은 채 다수의 기판(W)들을 수납하기 위한 수납용기(210)로 이동될 경우, 수납용기(210) 내부의 다른 기판(W)들로 전이되어 오염을 일으킬 수 있다. 이와 같은 문제점을 해결하기 위해, 본 발명은 퍼지챔버(1)를 트랜스퍼챔버(170)의 일측에 설치하여 기판(W)의 오염원인 흄 및 부식성 가스를 제거할 수 있다. 퍼지챔버(1)에 대해 이어지는 도면과 함께 설명하기로 한다.
도 2는 본 발명의 일 실시예에 따른 퍼지챔버를 개략적으로 나타내는 도면이다. 앞서 설명한 바와 같이, 퍼지챔버(1)는 트랜스퍼챔버(170)의 일측에 연결되며, 진공게이트밸브의 개폐에 의해 기판(W)이 출입되는 통로(도시안함)가 형성된다. 공정챔버(110)들로부터 가공이 완료된 기판(W)은 기판핸들러(160)에 의해 퍼지챔버(10)로 이송된다. 가공공정을 가친 기판(W)은 부식성 흄이 잔존하여 주변 장치들을 부식시키는 현상이 발생한다. 따라서, 공정이 완료된 기판(W)을 곧바로 퍼지챔버(1)로 이송하여 부식성 흄을 제거함으로써 주변장치의 부식을 방지하고, 대기 중에 흄이 노출되는 것을 방지할 수 있다.
도 2에 도시한 바와 같이, 챔버(10)는 상부가 개방된 형상을 가지며, 챔버덮개(20)는 챔버(10)의 상부에 설치되어 내부공간(15)을 제공한다. 내부공간(15)에는 기판홀더(30)가 설치되며, 통로를 통해 출입된 기판(W)은 기판홀더(30) 상에 로딩된다. 기판(W)이 출입하는 통로를 기준으로 일측면에는 가스공급포트(40)가 설치된다. 가스공급포트(40)는 가스공급구(45)가 형성되며, 가스공급구(45)는 가스공급관(46)과 연결되어 가스공급저장탱크(48)로부터 가스를 공급받는다. 가스공급관(46) 상에는 벨브(47)가 설치되어 가스공급을 제어할 수 있으며, 가스는 가스공급구(45)를 통해 내부공간(15)을 향해 공급된다. 가스는 아르곤가스(Ar)을 포함한 불활성 가스일 수 있다.
퍼지챔버(1)는 챔버(10)의 벽을 따라 내부에 형성된 냉매유로(12)를 가질 수 있다. 냉매유로(12)를 따라 냉매가 흐르며, 냉매는 냉각수 또는 냉각기체를 사용할 수 있다. 따라서, 냉매유로(12)를 통해 냉매를 공급함으로써 퍼지챔버(1) 내부를 냉각할 수 있다. 냉매는 냉매공급탱크(도시안함)로부터 연결된 냉매공급관(도시안함)을 통해 냉매유로(12)로 공급될 수 있으며, 냉매는 냉매유로를 따라 순환할 수 있다. 또한, 챔버(10)를 순환한 후 데워진 냉매는 냉매공급관을 따라 칠러(chiller)(도시안함)에 유입되어 재냉각될 수 있다.
또한, 가스공급포트(40)가 연결된 챔버(10)의 측벽에는 복수개의 확산판들이 설치된다. 도 2에 도시한 바와 같이, 제1 내지 제3 확산판(60, 64, 67)은 각각 복수개의 확산홀(61, 65, 68)들이 형성되어 가스공급구(45)를 통해 공급된 가스를 내부공간(15)을 향해 순차적으로 확산하여 공급한다. 제1 내지 제3 확산판(60, 64, 67)은 일정간격으로 배치되어 가스공급구(45)를 통해 공급된 가스를 내부공간(15)을 향해 균일하게 확산시켜 공급할 수 있다.
가스공급포트(40)의 반대측에는 가스공급포트(40)를 통해 공급된 가스를 배출하는 배기포트(50)가 설치된다. 배기포트(50)는 배기관(46)과 연결되며, 배기관(46)에 연결된 배기펌프(48)에 의해 내부공간(15)의 가스를 강제 배출할 수 있다. 배기포트(50) 상에는 복수개의 배출홀(75)들을 가지는 배플(70)이 설치되며, 배출홀(75)들을 통해 내부공간(15)의 가스의 유동을 일정하게 유지하여 내부공간(15)의 가스를 외부로 배출할 수 있다. 통로를 기준으로 양측면에 각각 가스공급포트(40)와 배기포트(50)가 설치된다. 즉, 가스는 기판(W)의 출입방향과 수직으로 유동한다. 또한, 배플(70)은 배기포트(50) 상에 복수개로 구비될 수 있다.
앞서 설명한 바와 같이, 챔버(10)의 내부공간(15)에는 기판홀더(30)가 설치된다. 공정이 완료된 기판(W)은, 트랜스퍼챔버(170)의 기판핸들러(160)을 통해 퍼지챔버(1)의 내부공간(15)으로 안내된다. 내부공간(15)으로 안내된 기판(W)은 기판홀더(30)에 로딩되며, 로딩이 완료되면 진공게이트밸브(도시안함)에 의해 차단된다. 진공게이트밸브가 닫히면, 가스공급구(45)를 통해 가스가 유입되며, 유입된 가스는 기판(W)에 잔류된 흄과 함께 배기포트로 배출된다.
또한, 기판(W)에 포함된 부식성 흄은 챔버(10)의 내벽을 부식시키므로, 챔버의 내벽을 보호하기 위해 커버(25)가 설치되며, 커버(25)는 석영 또는 세라믹 소재일 수 있다. 뿐만 아니라, 기판(W)을 지지하는 기판홀더(30)의 재질 또한 석영 또는 세라믹 소재로 제작될 수 있으며, 이어지는 도면과 함께 기판홀더(30) 및 기판홀더(30)의 장착효과에 대해 설명하고자 한다.
도 3은 본 발명의 일 실시예에 따른 기판홀더를 나타내는 도면이며, 도 4는 도 3에 도시한 기판홀더가 설치된 퍼지챔버의 가스유동의 흐름을 나타내는 도면이다. 도 3에 도시한 바와 같이, 로딩플레이트(35)는 기판(W)의 형상과 대응되는 개구(34)를 가진다. 또한, 기판(W)이 출입하는 통로 측에 개방부(32)가 형성되어 개구(34)와 연통되는 형상을 가진다. 로딩플레이트(35)는 개구(34)의 둘레를 따라 안착홈(36)이 형성되어 내부공간(15)으로 안내된 기판(W)은 안착홈(36)에 맞닿아 지지된다. 로딩플레이트(35)는 하나 이상 설치되어 상하로 적층될 수 있으며, 바람직하게는 세 개의 로딩플레이트(35)가 설치되어 세 개의 기판(W)을 수용할 수 있다.
최상부에 설치된 로딩플레이트(35)의 상부에는 홀더덮개(38)가 연결된다. 즉, 도 4에 도시한 바와 같이, 홀더덮개(38)는 내부공간(15)을 상하로 구획하며, 확산판들(60, 64, 67)을 통해 유입된 가스는 홀더덮개(38)에 의해 가스유동공간을 최소화하여 유입된 가스의 대부분은 기판(W)에 공급되어 기판(W)에 포함된 흄을 충분히 제거할 수 있다.
본 발명을 바람직한 실시예를 통하여 상세하게 설명하였으나, 이와 다른 형태의 실시예들도 가능하다. 그러므로, 이하에 기재된 청구항들의 기술적 사상과 범위는 바람직한 실시예들에 한정되지 않는다.
이하, 본 발명의 실시예들을 첨부된 도 5 및 도 6을 참고하여 더욱 상세히 설명한다. 본 발명의 실시예들은 여러 가지 형태로 변형될 수 있으며, 본 발명의 범위가 아래에서 설명하는 실시예들에 한정되는 것으로 해석되어서는 안 된다. 본 실시예들은 당해 발명이 속하는 기술분야에서 통상의 지식을 가진 자에게 본 발명을 더욱 상세하게 설명하기 위해서 제공되는 것이다. 따라서 도면에 나타난 각 요소의 형상은 보다 분명한 설명을 강조하기 위하여 과장될 수 있다.
도 5는 본 발명의 다른 실시예에 따른 기판홀더를 나타내는 도면이며, 도 6은 도 5에 도시한 기판홀더가 설치된 퍼지챔버의 가스유동의 흐름을 나타내는 배면도이다. 도 5에 도시한 바와 같이, 기판홀더(30)는 상부프레임(80)과 하부프레임(83)을 포함하는 보트타입(boat-type)의 형상일 수 있다. 상부프레임(80)은 기판(W)의 상부에 설치되며, 하부프레임(83)은 기판(W)의 하부에 설치된다. 상부 및 하부프레임(80, 83)은 각각 기판(W)과 대응되는 형상인 원형일 수 있다.
지지로드(85)는 상부프레임(80)과 하부프레임(83)을 연결하며, 복수의 지지슬롯(87)을 가진다. 공정이 완료된 기판(W)은 내부공간(15)으로 안내되어 지지로드(85)에 형성된 지지슬롯(87)에 안착된다. 지지슬롯(87)은 지지로드(85)의 길이방향을 따라 복수개로 형성될 수 있으며, 바람직하게는 세 개의 지지슬롯(87)이 형성되어 세 개의 기판(W)을 수용할 수 있다.
도 6에 도시한 바와 같이, 퍼지챔버(1)의 내부공간(15)에 보트타입의 기판홀더(30)를 설치함으로써 기판(W)과 기판홀더(30) 사이의 접촉면적을 최소화할 수 있다. 따라서, 기판(W)의 대부분의 면적에 가스를 공급할 수 있으므로 기판(W)에 포함된 부식성 흄을 대부분 제거할 수 있다. 도시하지는 않았으나, 보트타입의 기판홀더(30)에 도 3을 통해 설명한 챔버덮개(38)를 구비함으로써 내부공간(15)을 구획하여 확산판(60, 64, 67)들을 통해 유입된 가스의 최대량을 기판(W)에 공급할 수 있다.
즉, 본 발명은 트랜스퍼챔버(170)의 일측에 퍼지챔버(1)를 연결하여 공정챔버(110)들로부터 공정 완료된 기판(W)을 곧바로 퍼지챔버(1)로 이송하여 부식성 흄을 제거함으로써 다른 주변장치들이 기판(W)에 포함된 흄과 접촉하지 않는다. 따라서, 주변 부품 및 장치의 부식을 방지하여 생산성 및 경제성을 확보할 수 있다. 뿐만 아니라, 본 발명의 기판홀더(30)를 이용하여 기판(W)의 흄을 대부분 제거함으로써 흄으로 인한 가공된 기판의 불량을 방지하고, 제품의 수율을 향상시킬 수 있다.
본 발명을 실시예들을 통하여 상세하게 설명하였으나, 이와 다른 형태의 실시예들도 가능하다. 그러므로, 이하에 기재된 청구항들의 기술적 사상과 범위는 바람직한 실시예들에 한정되지 않는다.
본 발명은 다양한 형태의 반도체 제조설비 및 제조방법에 응용될 수 있다.

Claims (10)

  1. 기판을 가공하는 공정이 이루어지는 공정챔버;
    상기 기판에 포함된 오염물질을 제거하는 퍼지챔버; 및
    상기 공정챔버 및 상기 퍼지챔버가 측면에 연결되며, 상기 공정챔버 및 상기 퍼지챔버 사이에서 상기 공정이 완료된 상기 기판을 상기 퍼지챔버로 이송하는 기판 핸들러를 구비하는 트랜스퍼챔버를 포함하며,
    상기 퍼지챔버는,
    내부공간 및 상기 내부공간으로 상기 기판이 출입하는 통로를 가지는 챔버;
    상기 챔버의 내부에 설치되며, 상기 기판이 놓여지는 기판홀더;
    상기 통로를 기준으로 일측면에 설치되어 상기 내부공간을 향해 가스를 공급하는 가스공급포트; 및
    상기 가스공급포트의 반대편에 설치되어 상기 내부공간의 상기 가스를 배출하는 배기포트를 포함하는 것을 특징으로 하는 기판처리장치.
  2. 제1항에 있어서,
    상기 퍼지챔버는,
    상기 가스공급포트가 연결된 상기 챔버의 측벽에 설치되며, 상기 가스공급포트를 통해 공급된 상기 가스를 확산하는 하나 이상의 확산판을 더 포함하는 것을 특징으로 하는 기판처리장치.
  3. 제1항 또는 제2항에 있어서,
    상기 기판홀더는,
    상기 기판의 형상과 대응되는 개구 및 상기 개구와 연통되어 상기 통로 측에 위치하는 개방부, 그리고 상기 개구의 둘레를 따라 형성된 안착홈을 가지며 상하로 적층되는 하나 이상의 로딩플레이트; 및
    상기 로딩플레이트의 상부에 이격 설치되며, 상기 내부공간을 상하로 구획하는 홀더덮개를 포함하는 것을 특징으로 하는 기판처리장치.
  4. 제1항 또는 제2항에 있어서,
    상기 기판홀더는,
    상기 기판의 상부에 설치되는 상부프레임;
    상기 기판의 하부에 설치되는 하부프레임; 및
    상기 상부프레임과 상기 하부프레임을 연결하며, 상기 기판의 가장자리부분이 수용되는 복수의 지지슬롯들이 길이방향을 따라 형성된 하나 이상의 지지로드를 구비하는 것을 특징으로 하는 기판처리장치.
  5. 제1항 또는 제2항에 있어서,
    상기 퍼지챔버는,
    상기 배기포트가 연결된 상기 챔버의 측벽에 설치되어 상기 내부공간의 가스를 배출하는 하나 이상의 배플을 더 포함하는 것을 특징으로 하는 기판처리장치.
  6. 제1항에 있어서,
    상기 가스의 유동방향은 상기 기판의 출입방향과 수직한 것을 특징으로 하는 기판처리장치.
  7. 제1항에 있어서,
    상기 가스는 불활성가스인 것을 특징으로 하는 기판처리장치.
  8. 제1항에 있어서,
    상기 퍼지챔버는 외부로부터 공급된 냉매가 순환되는 냉매유로를 더 가지는 것을 특징으로 하는 기판처리장치.
  9. 내부공간 및 상기 내부공간으로 상기 기판이 출입하는 통로를 가지는 챔버;
    상기 챔버의 내부에 설치되며, 상기 기판이 놓여지는 기판홀더;
    상기 통로를 기준으로 일측면에 설치되어 상기 내부공간을 향해 가스를 공급하는 가스공급포트; 및
    상기 가스공급포트의 반대편에 설치되어 상기 내부공간의 상기 가스를 배출하는 배기포트를 포함하며,
    상기 기판홀더는,
    상기 기판의 형상과 대응되는 개구 및 상기 개구와 연통되어 상기 통로 측에 위치하는 개방부, 그리고 상기 개구의 둘레를 따라 형성된 안착홈을 가지며 상하로 적층되는 하나 이상의 로딩플레이트; 및
    상기 로딩플레이트의 상부에 이격 설치되며, 상기 내부공간을 상하로 구획하는 홀더덮개를 포함하는 것을 특징으로 하는 퍼지챔버.
  10. 내부공간 및 상기 내부공간으로 상기 기판이 출입하는 통로를 가지는 챔버;
    상기 챔버의 내부에 설치되며, 상기 기판이 놓여지는 기판홀더;
    상기 통로를 기준으로 일측면에 설치되어 상기 내부공간을 향해 가스를 공급하는 가스공급포트; 및
    상기 가스공급포트의 반대편에 설치되어 상기 내부공간의 상기 가스를 배출하는 배기포트를 포함하며,
    상기 기판홀더는,
    상기 기판의 상부에 설치되는 상부프레임;
    상기 기판의 하부에 설치되는 하부프레임; 및
    상기 상부프레임과 상기 하부프레임을 연결하며, 상기 기판의 가장자리부분이 수용되는 복수의 지지슬롯들을 가지는 하나 이상의 지지로드를 구비하는 것을 특징으로 하는 퍼지챔버.
PCT/KR2013/009858 2012-11-01 2013-11-01 퍼지챔버 및 이를 구비하는 기판처리장치 WO2014069942A1 (ko)

Priority Applications (3)

Application Number Priority Date Filing Date Title
US14/436,247 US20150267291A1 (en) 2012-11-01 2013-11-01 Purge chamber, and substrate-processing apparatus including same
CN201380056780.5A CN104756242A (zh) 2012-11-01 2013-11-01 清洗室及具有清洗室的基板处理装置
JP2015539512A JP2016502753A (ja) 2012-11-01 2013-11-01 パージチャンバー及びそれを具備する基板処理装置

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR1020120123116A KR101387519B1 (ko) 2012-11-01 2012-11-01 퍼지챔버 및 이를 구비하는 기판처리장치
KR10-2012-0123116 2012-11-01

Publications (1)

Publication Number Publication Date
WO2014069942A1 true WO2014069942A1 (ko) 2014-05-08

Family

ID=50627750

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/KR2013/009858 WO2014069942A1 (ko) 2012-11-01 2013-11-01 퍼지챔버 및 이를 구비하는 기판처리장치

Country Status (6)

Country Link
US (1) US20150267291A1 (ko)
JP (1) JP2016502753A (ko)
KR (1) KR101387519B1 (ko)
CN (1) CN104756242A (ko)
TW (1) TW201419407A (ko)
WO (1) WO2014069942A1 (ko)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101637498B1 (ko) 2015-03-24 2016-07-07 피코앤테라(주) 웨이퍼 수납용기
KR101720620B1 (ko) * 2015-04-21 2017-03-28 주식회사 유진테크 기판처리장치 및 챔버 세정방법
TWI563586B (en) * 2015-07-14 2016-12-21 Motech Ind Inc Substrate-separating apparatus and substrate-separating method
KR101822554B1 (ko) * 2017-03-22 2018-01-26 우범제 웨이퍼 수납용기
US20200294819A1 (en) * 2019-03-12 2020-09-17 Nissin Ion Equipment Co., Ltd. Systems and Methods for Substrate Cooling
CN111952139B (zh) * 2019-05-16 2023-11-14 北京北方华创微电子装备有限公司 半导体制造设备及半导体制造方法
KR102357066B1 (ko) * 2019-10-31 2022-02-03 세메스 주식회사 기판 처리 장치

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10321714A (ja) * 1997-05-20 1998-12-04 Sony Corp 密閉コンテナ並びに密閉コンテナ用雰囲気置換装置及び雰囲気置換方法
KR20040023963A (ko) * 2002-09-12 2004-03-20 주식회사 테라세미콘 초고온용 반도체 기판 홀더와 이를 장착하는 기판 로딩용보트및 이를 포함하는 초고온 열처리 장치
JP2006190760A (ja) * 2005-01-05 2006-07-20 Hitachi Kokusai Electric Inc 基板処理装置
KR100774711B1 (ko) * 2006-07-19 2007-11-08 동부일렉트로닉스 주식회사 반도체 제조용 에피택셜 장비의 파티클 제거 장치 및 제거방법
KR101043775B1 (ko) * 2009-02-03 2011-06-22 세메스 주식회사 반도체 제조용 장비의 로드락 챔버

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0212914A (ja) * 1988-06-30 1990-01-17 Nec Corp エッチング装置
JPH05235156A (ja) * 1992-02-21 1993-09-10 Sony Corp 縦型炉用ボート
US20030049372A1 (en) * 1997-08-11 2003-03-13 Cook Robert C. High rate deposition at low pressures in a small batch reactor
JP2003218101A (ja) * 2002-01-22 2003-07-31 Sharp Corp 半導体装置の製造方法
US20090017637A1 (en) * 2007-07-10 2009-01-15 Yi-Chiau Huang Method and apparatus for batch processing in a vertical reactor
KR20100083904A (ko) * 2009-01-15 2010-07-23 엘지이노텍 주식회사 Rf 출력장치
JP2012119626A (ja) * 2010-12-03 2012-06-21 Tokyo Electron Ltd ロードロック装置
JP5625981B2 (ja) * 2011-02-10 2014-11-19 東京エレクトロン株式会社 熱処理装置及び熱処理方法

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10321714A (ja) * 1997-05-20 1998-12-04 Sony Corp 密閉コンテナ並びに密閉コンテナ用雰囲気置換装置及び雰囲気置換方法
KR20040023963A (ko) * 2002-09-12 2004-03-20 주식회사 테라세미콘 초고온용 반도체 기판 홀더와 이를 장착하는 기판 로딩용보트및 이를 포함하는 초고온 열처리 장치
JP2006190760A (ja) * 2005-01-05 2006-07-20 Hitachi Kokusai Electric Inc 基板処理装置
KR100774711B1 (ko) * 2006-07-19 2007-11-08 동부일렉트로닉스 주식회사 반도체 제조용 에피택셜 장비의 파티클 제거 장치 및 제거방법
KR101043775B1 (ko) * 2009-02-03 2011-06-22 세메스 주식회사 반도체 제조용 장비의 로드락 챔버

Also Published As

Publication number Publication date
KR101387519B1 (ko) 2014-04-24
US20150267291A1 (en) 2015-09-24
TW201419407A (zh) 2014-05-16
JP2016502753A (ja) 2016-01-28
CN104756242A (zh) 2015-07-01

Similar Documents

Publication Publication Date Title
WO2014069942A1 (ko) 퍼지챔버 및 이를 구비하는 기판처리장치
WO2014046476A1 (ko) 흄제거장치 및 기판처리장치
KR100406337B1 (ko) 기판이송및처리시스템
US8702365B2 (en) Substrate processing apparatus and method for transferring substrate for the apparatus
US8851819B2 (en) Substrate processing apparatus
US9159600B2 (en) Wafer transport apparatus
WO2010016650A1 (en) Substrate-processing apparatus and method of transferring substrate in the same
WO2013147481A1 (ko) 선택적 에피택셜 성장을 위한 장치 및 클러스터 설비
KR970077124A (ko) 기판처리시스템 및 기판처리방법
KR19980019185A (ko) 기판처리장치, 기판반송장치 및 기판반송방법(substrate treatment system substrate transfer system, substrate transfer method)
KR19980025067A (ko) 세정장치 및 세정방법
CN117219547A (zh) 高流速、气体净化的侧储存舱装置、组件和方法
KR20090041049A (ko) 기판 처리 장치 및 기판 처리 장치의 제조 방법
KR20130014304A (ko) 기판처리장치, 기판처리설비 및 기판처리방법
KR100839911B1 (ko) 기판 처리 장치
WO2015156542A1 (ko) 가스 분사 장치 및 이를 포함하는 기판 처리 장치
WO2016171452A1 (ko) 기판처리장치 및 챔버 세정방법
KR20010014835A (ko) 기판처리장치
KR20130109680A (ko) 기판 처리를 위한 클러스터 설비
JP2004304116A (ja) 基板処理装置
JP3200291B2 (ja) 洗浄装置
JPH07283288A (ja) 処理装置
KR20160081008A (ko) 버퍼 유닛 및 이를 포함하는 기판 처리 장치
JP2014067797A (ja) 基板処理装置、基板処理方法及び半導体装置の製造方法
WO2015016492A1 (ko) 기판 처리장치

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 13850588

Country of ref document: EP

Kind code of ref document: A1

WWE Wipo information: entry into national phase

Ref document number: 14436247

Country of ref document: US

ENP Entry into the national phase

Ref document number: 2015539512

Country of ref document: JP

Kind code of ref document: A

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 13850588

Country of ref document: EP

Kind code of ref document: A1