WO2014030432A1 - Substrate transportation device and substrate processing system - Google Patents

Substrate transportation device and substrate processing system Download PDF

Info

Publication number
WO2014030432A1
WO2014030432A1 PCT/JP2013/067766 JP2013067766W WO2014030432A1 WO 2014030432 A1 WO2014030432 A1 WO 2014030432A1 JP 2013067766 W JP2013067766 W JP 2013067766W WO 2014030432 A1 WO2014030432 A1 WO 2014030432A1
Authority
WO
WIPO (PCT)
Prior art keywords
substrate
chamber
common transfer
processing
support arm
Prior art date
Application number
PCT/JP2013/067766
Other languages
French (fr)
Japanese (ja)
Inventor
山田 洋平
Original Assignee
東京エレクトロン株式会社
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 東京エレクトロン株式会社 filed Critical 東京エレクトロン株式会社
Publication of WO2014030432A1 publication Critical patent/WO2014030432A1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67766Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67778Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving loading and unloading of wafers
    • H01L21/67781Batch transfer of wafers

Definitions

  • the present invention relates to a substrate transfer device that transfers a substrate and a substrate processing system that includes such a transfer device and processes a substrate.
  • FPD flat panel displays
  • LCDs liquid crystal displays
  • solar cells a large glass substrate is provided with a plurality of processing chambers for performing predetermined processing such as etching and film formation
  • predetermined processing such as etching and film formation
  • Patent Document 1 A single-wafer multi-chamber type processing system that processes substrates one by one is known (for example, Patent Document 1).
  • a multi-chamber type processing system that processes a plurality of substrates at a time is also known (for example, Patent Document 2).
  • Both the single wafer processing system disclosed in Patent Document 1 and the batch processing system disclosed in Patent Document 2 have a common transfer chamber provided with a transfer device for transferring a large substrate (object to be processed).
  • a load lock chamber for exchanging the object to be processed before and after the processing, and a plurality of modules such as the processing chamber are attached.
  • substrate is conveyed between each module using the conveying apparatus provided in the common conveyance chamber.
  • the substrate support arm of the transfer device in the common transfer chamber is inserted into the processing chamber. After that, the substrate is transferred.
  • the substrate is transferred by moving the substrate up and down by providing a mechanism for supporting the edge of the substrate and moving the substrate up and down in the processing chamber. This is performed by driving the substrate support arm up and down while being supported on the support pins.
  • the former substrate when the former substrate is moved up and down, if a thin substrate is to be transported, the substrate will be greatly bent, making it difficult to secure clearance especially in the batch type.
  • it may be possible to suppress the bending by providing a support pin in the plane (center part) of the substrate.
  • a height for storing the mechanism part is required, and the target number of processed sheets (number of stages) is ensured. Becomes difficult.
  • a substrate transfer apparatus that is provided in a common transfer chamber to which a plurality of modules are connected, and that transfers a substrate between the plurality of modules.
  • a base member provided horizontally and pivotably; a substrate support arm that supports the substrate; a support member that supports the substrate support arm so as to be movable up and down; and is supported by the base member so as to be movable in a horizontal direction;
  • a turning drive unit for turning the base member; a horizontal drive unit for moving the support member horizontally along the base member; and a lift drive unit for raising and lowering the substrate support arm along the support member.
  • a plurality of the substrate support arms are provided as a unit, and are lifted and lowered collectively by the lifting drive unit, and a plurality of substrates are collectively supported and transported. can do.
  • the plurality of modules include a processing chamber for performing a predetermined process on the substrate in a vacuum, and a load lock chamber for exchanging the substrate before and after the processing, and the common transfer chamber is maintained in a vacuum.
  • a processing chamber for performing a predetermined process on the substrate in a vacuum
  • a load lock chamber for exchanging the substrate before and after the processing, and the common transfer chamber is maintained in a vacuum.
  • the elevating drive unit may be attached to the support member.
  • the interior surrounding the drive source of the elevating drive unit is an atmospheric space
  • the housing is connected to the housing
  • a cable insertion member that accommodates the connected wiring and takes it out of the common transfer chamber, the inside of which is an air space
  • the cable insertion member connects a plurality of arms and the arms.
  • a link-type driven arm that is bent in conjunction with the horizontal movement of the support member.
  • a displacement difference absorbing mechanism for absorbing the change of the base member and the vertical displacement difference of the cable insertion member while maintaining the inside in an air space is further provided between the cable insertion member and the housing. May be.
  • a plurality of modules including a common transfer chamber, a plurality of modules connected to the common transfer chamber and performing a predetermined process, and provided in the common transfer chamber, A substrate processing apparatus for transporting a substrate between modules, wherein the substrate transporting apparatus has the configuration of the first aspect.
  • the elevating drive unit is provided in the common transfer chamber, and the elevating operation is performed by elevating the substrate support arm with respect to the support member, so that the entire substrate transfer apparatus other than the elevating drive unit is raised and lowered. There is no need. For this reason, since the weight of the raising / lowering drive part can be made small, it is not necessary to make a raising / lowering drive part highly rigid, a board
  • the inertia of the swivel drive unit can be supported by the bottom plate of the common transfer chamber, which enables stable operation, facilitates control, and enables high-speed operation.
  • FIG. 1 is a plan view schematically showing a substrate processing system according to an embodiment of the present invention.
  • FIG. 2 is a cross-sectional view of a common transfer chamber and a processing chamber showing a state in which a substrate transfer apparatus provided in the common transfer chamber of the substrate processing system of FIG. It is a top view of the board
  • FIG. 1 is a plan view schematically showing a substrate processing system according to an embodiment of the present invention.
  • the substrate processing system 1 is configured as a device that performs vacuum processing such as etching or film formation on a rectangular substrate used as an FPD glass substrate such as a liquid crystal display (LCD) or a solar cell glass substrate. ing.
  • the substrate processing system 1 includes a common transfer chamber 10, a preheating chamber 20 that preheats the substrate G connected to the common transfer chamber 10, and processes such as etching and film formation on the substrate G.
  • the common transfer chamber 10 has a rectangular planar shape, and the preheating chamber 20, the processing chambers 30 a and 30 b, and the load lock chamber 40, which are a plurality of modules, are provided on the side surfaces of the common transfer chamber 10 with gate valves 61 and 62 a, respectively. , 62b, 63 are connected.
  • a gate valve 64 is provided on the atmosphere side of the load lock chamber 40.
  • the plane shape of the common transfer chamber 10 is configured as a rectangular shape, but the plane shape of the common transfer chamber 10 is configured as a polygon, for example, a hexagon or an octagon, and the preheating chamber and the processing chamber. Alternatively, a load lock chamber or another module may be added.
  • the common transfer chamber 10, the preheating chamber 20, and the processing chambers 30a and 30b are configured as vacuum chambers.
  • a substrate transfer device 50 is provided inside the common transfer chamber 10, and each of the preheating chamber 20 and the processing chambers 30a and 30b has a mechanism (not shown) for supporting the substrate G therein, and has a predetermined structure. Is maintained in a reduced pressure atmosphere.
  • the load lock chamber 40 as a vacuum preparatory chamber is for exchanging the substrate G between a substrate container (not shown) arranged on the atmosphere side and the common transfer chamber 10 held in a vacuum. And functions as a vacuum preparatory chamber that can be switched between an air atmosphere and a reduced pressure atmosphere.
  • the substrate processing system 1 is configured to process a plurality of substrates G, for example, three or more substrates G at a time in a horizontal direction in the height direction. After the plurality of substrates G are loaded into the load lock chamber 40 via the gate valve 64, the loaded substrates G are transferred from the load lock chamber 40 via the gate valve 63 by the substrate transfer device 50. It is carried into the common transfer chamber 10 and transferred from the common transfer chamber 10 to the preheating chamber 20 through the gate valve 61, and from the preheating chamber 20 to the processing chamber 30a or through the gate valve 61 and the gate valve 62a or 62b. It is conveyed to 30b.
  • the substrate G that has been processed in the processing chamber 30a or 30b is transferred from the processing chamber 30a or 30b to the load lock chamber 40 via the gate valve 62a or 62b and the gate valve 63, and the load lock chamber 40 is brought to atmospheric pressure. It is carried out after being returned.
  • the processing chamber 30a and the processing chamber 30b are processing chambers that perform the same processing, but may be configured as processing chambers that perform different processing. That is, a configuration in which the first step is processed in the processing chamber 30a and the subsequent second step is continuously processed in the processing chamber 30b may be employed.
  • the control unit 70 includes a process controller 71 having a microprocessor.
  • the process controller 71 includes a keyboard for an operator to input commands for managing the substrate processing system 1, and the substrate processing system 1.
  • a user interface 72 including a display for visualizing and displaying the operation status of the computer, a control program for realizing various processes executed by the substrate processing system 1 under the control of the process controller 71, and a substrate according to the processing conditions
  • a storage unit 73 storing a control program and recipe for causing the processing system 1 to execute a predetermined process is connected.
  • the storage unit 73 has a storage medium, and recipes and the like are stored in the storage medium.
  • the storage medium may be a hard disk or a semiconductor memory, or may be a portable medium such as a CD-ROM, DVD, or flash memory. Recipes and the like are read from the storage unit 73 according to instructions from the user interface 72 as necessary, and are executed by the process controller 71, so that desired processing in the substrate processing system 1 can be performed under the control of the process controller 71. Done.
  • FIG. 2 is a cross-sectional view of the common transfer chamber 10 and the processing chamber 30a showing the substrate transfer apparatus 50 as viewed from the side
  • FIG. 3 is a plan view of the substrate transfer apparatus 50
  • FIG. 4 is a perspective view thereof.
  • the substrate transfer device 50 transfers a plurality of, for example, three or more substrates G at a time between the common transfer chamber 10, the preheating chamber 20, the processing chambers 30a and 30b, and the load lock chamber 40.
  • FIG. 2 shows a state in which the substrate G is transferred to the processing chamber 30a.
  • a plurality of lower electrodes 201 and a plurality of upper electrodes 202 are alternately arranged in the vertical direction in the processing chamber 30a, and the lower electrodes 201 function as a substrate mounting table. Then, with the substrate G placed on the lower electrode 201, a high-frequency power is supplied to the lower electrode 201 or the upper electrode 202 while introducing a processing gas under vacuum, so that the lower electrode 201 and the upper electrode 202 can be connected to each other. A predetermined plasma process is performed on the substrate G by forming plasma therebetween.
  • Reference numeral 11 denotes a carry-in / out port of the common transfer chamber 10, and 31 denotes a carry-in / out port of the processing chamber 30a.
  • a mechanism for supplying a processing gas into the processing chamber 30a, a mechanism for exhausting the processing chamber 30a, a mechanism for supplying high-frequency power, a mechanism for exhausting the processing chamber 10, and the like are not shown. Yes.
  • the substrate transfer device 50 is provided in the vicinity of the bottom in the common transfer chamber 10, and can be swiveled in a horizontal plane, and is provided in a long shape along the horizontal direction.
  • a turning drive unit 52 for turning the base member 51, a support arm unit 53 having a plurality of substrate support arms for supporting the substrate, and the support arm unit 53 are supported so as to be movable up and down.
  • the turning drive unit 52 includes a hollow turning shaft 101 that extends downward from the lower surface of the base member 51, a turning bearing 102 that is attached to the bottom plate of the transfer chamber 10 and receives the turning shaft 101, and the turning shaft 101 and the turning bearing 102.
  • a rotation seal 103 provided therebetween and a turning shaft motor 104 for rotating the turning shaft 101 are provided.
  • the support arm unit 53 includes a vertically provided lift plate 111 that moves up and down with respect to the support member 54 and a plurality of substrate support arms 112 that extend horizontally from the lift plate.
  • the intermediate one of the plurality of substrate support arms 112 is omitted (the same applies to FIGS. 4 and 7 described later).
  • the support member 54 has a horizontal portion 121 extending horizontally facing the base member 51, a vertical portion 122 extending vertically facing the elevating plate 111, and an upper end of the vertical portion 122 and an end portion of the horizontal portion 121 obliquely And a reinforcing portion 123 that plays a role of reinforcement, and the side surfaces are formed in a triangular shape.
  • the horizontal drive unit 55 is provided horizontally on the upper surface of the base member 51 for running the support member 54, and the guide member is provided on the lower surface of the support member 54 and guides the support member 54 to the rail 131.
  • 132 a horizontal drive motor 133 provided on the rear end side of the base member 51, a drive transmission belt 134 that transmits the power of the horizontal drive motor 133 to the support member 54 and moves the support member 54 horizontally, and drive transmission
  • a fixing member 135 fixed to the belt 134 and the support member 54 and a pair of pulleys 136 and 137 for suspending the driving belt 134 are provided.
  • the pulley 136 is attached to the rotating shaft of the horizontal drive motor 133, and the pulley 137 is attached to the tip of the base member 51.
  • the rotation of the horizontal drive motor 133 is transmitted to the drive transmission belt 134 via the pulley 136, and when the drive transmission belt 134 is driven, the support member 54 is driven in the horizontal direction via the fixed member 135, It moves between an extended position indicated by a solid line and a degenerated position indicated by a two-dot chain line.
  • the support member 54 is in the extended position, as shown in FIG. 2, the plurality of substrate support arms 112 reach the position above the lower electrode 201 in the processing chamber 30a, transfer the substrate G to the lower electrode 201, and The substrate can be received from the electrode 201.
  • the raising / lowering drive unit 56 is provided on the vertical surface of the vertical part 122 of the support member 54, and is a surface facing the vertical part 122 of the elevation plate 111 and the rail 141 for running the elevation plate 111 of the substrate support arm unit 53. And a guide member 142 that guides the lifting plate 111 to the rail 141 and a ball screw mechanism 143 that drives the lifting plate 111 to move up and down.
  • the ball screw mechanism 143 is directly connected to a servo motor 145 as a drive source attached to the vertical portion 122 of the support member 54, a rotation shaft of the servo motor 145, and extends upward, and is screwed to the ball screw 144.
  • the elevating member 146 is fixed to the elevating plate 111.
  • the elevating member 146 moves up and down, and the elevating plate 111 moves up and down accordingly. Then, with the support member 54 extended by the horizontal drive unit 55, the substrate support arm 112 is moved up and down by the lift drive unit 56, whereby the substrate G is transferred to and received from the lower electrode 201 of the processing chamber 30a.
  • the servo motor 145 is surrounded by a casing 147, and the inside thereof is an atmospheric space. A space between the housing 147 and the ball screw 144 is sealed with a rotary seal 148.
  • the casing 147 has an air space inside as a cable insertion member for inserting a cable for supplying a signal to the servo motor 145, transmitting a signal, and for a brake or the like.
  • a link type driven arm 150 is connected.
  • the link type follower arm 150 is connected to a hollow turning shaft 101 attached to the base member 51, and the cable is taken out through the hollow portion of the hollow turning shaft 101.
  • the link driven arm 150 is connected to a displacement difference absorbing mechanism 151 that absorbs a vertical displacement difference provided in a hollow member 149 extending from the housing 147, and a hollow first arm provided below the displacement difference absorbing mechanism 151.
  • a first link mechanism 152 capable of being connected in an airtight manner, a second link mechanism 154 for connecting the first arm 153 and the second arm 155 in a rotatable and airtight manner, a second arm 155 and a hollow member 157 And a third link mechanism 156 that is pivotally and airtightly connected.
  • the link driven arm 150 When the support member 54 is in the extended position, the link driven arm 150 is extended as shown by a solid line, and when the support member 54 is in the retracted position, the link driven arm 150 is bent as shown by a two-dot chain line. It becomes a state. By doing so, even if the support member 54 moves, the cable from the servo motor 145 arranged inside the housing 47 in the atmospheric space can be twisted while maintaining the atmospheric space. Thereby, it is possible to prevent the dust generated from the servo motor 145 and the cable from diffusing into the common transfer chamber 10 in a vacuum atmosphere.
  • the displacement difference absorbing mechanism 151 is for absorbing the deformation of the base member 51 due to the weight of the support member 54 and the substrate support arm unit 53 and the vertical displacement difference of the link type driven arm 150.
  • An upper tube 161 connected to the hollow member 149 and a lower tube 162 connected to the first link mechanism 152 are arranged in a nested manner, and the periphery of the joint portion is covered with a weld bellows 163. That is, the displacement difference absorbing mechanism 151 has a structure that allows vertical movement while keeping the inside in the atmospheric space.
  • Reference numeral 164 is a guide made of a ball spline, and 165 is a casing.
  • the first link mechanism 152 includes an inner member 166 that is connected to the lower pipe 162 of the displacement difference absorbing mechanism 151 and an outer member 167 that is connected to the first arm 153, and a rotary seal 168 and a bearing 169 are interposed therebetween. And has a structure that allows the first arm 153 to rotate while keeping the inside in an atmospheric space.
  • the second link mechanism 154 and the third link mechanism 156 have the same structure.
  • Reference numeral 170 denotes a cable.
  • the gate valve 64 is opened, a plurality of (for example, three or more) unprocessed substrates G are carried into the load lock chamber 40 in the atmospheric atmosphere by an atmosphere side substrate transfer device (not shown), and the gate valve 64 is closed.
  • the inside of the load lock chamber 40 is set to a reduced pressure atmosphere.
  • the gate valve 63 is opened, the plurality of substrate support arms 112 of the substrate transfer apparatus 50 are advanced into the load lock chamber 40, and the unprocessed substrates G carried into the load lock chamber 40 are collectively received.
  • the substrate support arm 112 of the substrate transfer apparatus 50 is retracted to the common transfer chamber 10 and the gate valve 63 is closed.
  • the plurality of substrate support arms 112 of the substrate transfer apparatus 50 are made to face the preheating chamber 20, the gate valve 61 is opened, the substrate support arm 112 is advanced into the preheating chamber 20, and the unprocessed substrate G Is conveyed to the preheating chamber 20.
  • preheating of the substrate G is started in the preheating chamber 20.
  • the gate valve 61 is opened, the substrate support arm 112 is advanced into the preheating chamber 20, and the preheated substrate G is received. Next, the substrate support arm 112 is retracted to the common transfer chamber 10 and the gate valve 61 is closed.
  • the plurality of substrate support arms 112 are made to face the process chamber 30a or 30b, the gate valve 62a or 62b is opened, the substrate support arm 112 is advanced into the process chamber 30a or 30b, and the preheated substrate G is It is transferred to the processing chamber 30a or 30b.
  • the substrate support arm 112 is retracted to the common transfer chamber 10, the gate valve 62a or 62b is closed, and processing in the processing chamber 30a or 30b is started.
  • the substrate support arm 112 takes out the plurality of substrates G from the load lock chamber 40 and transfers them to the preheating chamber 20.
  • the gate valve 62a or 62b is opened, the substrate support arm 112 is advanced to the processing chamber 30a or 30b, and the processed substrate G is received.
  • the substrate support arm 112 is retracted to the common transfer chamber 10, and the gate valve 62a or 62b is closed.
  • the substrate support 112 is made to face the load lock chamber 40, the gate valve 63 is opened, the substrate support arm 112 is advanced into the load lock chamber 40, and the processed substrate G is transferred to the load lock chamber 40.
  • the substrate support arm 112 is retracted to the common transfer chamber 10, the gate valve 63 is closed, and the inside of the load lock chamber 40 is set to an atmospheric atmosphere.
  • the gate valve 64 is opened, and the processed substrate G is carried out of the load lock chamber 40 by an atmosphere side substrate transfer device (not shown).
  • the substrate transfer device 50 turns the base member 51 by the turning drive unit 52 to move the substrate support arm 112 out of the processing chambers 30 a and 30 b, the preheating chamber 20, and the load lock chamber 40. It can be relative to what you are trying to access.
  • the support arm 112 is opposed to, for example, the processing chamber 30a, and the support member 54 that supports the substrate support arm 112 is extended by the horizontal driving unit 55 in a state where the gate valve 62a is opened. It can be inserted into the processing chamber 30a. In this state, the support arm unit 53 is moved up and down by the lift drive unit 56, whereby the substrate G is received or delivered.
  • FIGS. 6A to 6C The reception or delivery of the substrate G by the raising / lowering operation of the substrate support arm 112 at this time will be described with reference to FIGS. 6A to 6C, taking as an example the case of receiving the substrate G from the processing chamber 30a.
  • the lower electrode 201 and the upper electrode 202 are brought close to each other with the substrate G placed on the plurality of lower electrodes 201 as shown in FIG. 6A.
  • the substrate support pins 203 extend upward from the lower upper electrode 202 and are inserted into the lower electrode 201.
  • the lowermost substrate support pin 203 extends upward from the bottom of the processing chamber 30a and is inserted through the lowermost lower electrode.
  • the lower electrode 201 is lowered by a drive mechanism (not shown), and a gap is formed between the substrate G and the lower electrode 201. Then, the substrate support arm 112 is inserted into the gap.
  • the substrate support arm 112 is raised to receive the substrate G on the substrate support arm 112.
  • the transfer of the substrate G from the substrate support arm 112 to the lower electrode 201 is the reverse of the above operation, that is, the lower electrode 201 is lowered and the substrate support pins 203 protrude from the surface of the lower electrode 201. Then, the substrate support arm 112 supporting the substrate G is inserted so that the substrate G is positioned above the substrate support pins 203 (the state shown in FIG. 6C), and then the substrate transfer arm 112 is lowered and the substrate support pins 203 are inserted. The substrate G is supported above (state of FIG. 6B), and then the substrate support arm 112 is retracted to raise the lower electrode 201 (state of FIG. 6A), and a predetermined process is performed.
  • the substrate G is transferred by raising and lowering the substrate support arm 112 without raising and lowering the substrate G, the substrate G is provided even if the substrate support pins 203 are provided at the in-plane position (center position) of the substrate G. Since there is no possibility of cracking, the problem of warping of the substrate is unlikely to occur when a thin large substrate is transferred, such as when the substrate is transferred up and down.
  • Such a raising / lowering operation of the substrate G has been conventionally performed by using a raising / lowering drive unit provided outside the common transfer chamber 10 from the viewpoint of avoiding the influence of particles caused by placing the drive system in the vacuum chamber.
  • the substrate transfer device the one shown in FIG. 7 is frequently used. In FIG. 7, for the sake of convenience, the same components as those in FIG.
  • the substrate transfer apparatus 50 ′ assumed as the prior art is attached to the outside of the bottom plate of the common transfer chamber 10 as an elevating drive unit 56 ′.
  • Reference numeral 304 is a welding bellows for sealing between the elevating base 302 and the bottom plate of the common transfer chamber 10.
  • the weight of the transfer apparatus itself often exceeds 1 t. Therefore, in the case of the substrate transfer apparatus 50 ′ of FIG. A large load is applied, and it is necessary to make the main body 301, the lift base 302, and the ball screw mechanism 303 of the lift drive unit 56 'highly rigid. Moreover, the raising / lowering stroke of a board
  • the elevating drive unit 56 is provided in the common transfer chamber 10, and the elevating operation is a substrate support in which the substrate support arm 112 is unitized with respect to the support member 54.
  • This is done by raising and lowering the arm unit 53, and it is not necessary to raise and lower the entire substrate transfer device other than the elevation drive unit, so that the weight of the elevation drive unit is significantly smaller than the substrate transfer device 50 'of FIG. .
  • substrate conveyance apparatus can be manufactured at low cost, and an apparatus weight also becomes small.
  • the elevating drive unit 56 is provided inside the common transfer chamber 10 and does not need to be provided below the elevating common transfer chamber 10, the common transfer chamber 10 can be provided at a low position, and the substrate transfer line can be kept low. Can do. Thereby, maintainability can be improved, it becomes easy to secure the necessary number of steps when transporting the substrate, it is difficult to limit transportation, and the apparatus cost can be reduced. Furthermore, since the inertia of the turning drive unit 52 is supported by the bottom plate of the common transfer chamber 10, stable operation is possible, control becomes easy, and high-speed operation is possible.
  • the servo motor 145 of the lifting / lowering drive unit 56 exists in the common transfer chamber 10 and is attached to the horizontally driven support member 54 as in this embodiment, the servo motor 145 emits power. There is a concern that particles may adhere to the substrate G due to dust and dust generation from the cable when the support member 54 is expanded and contracted, or the degree of vacuum in the common transfer chamber 10 may not be sufficiently increased.
  • the servo motor 145 of the elevating drive unit 56 is housed in a housing 147 whose inside is an atmospheric space, and the housing 147 is interlocked with the expansion and contraction of the support member 54, A link type follower arm 150 serving as an atmospheric space is connected, and the cable is taken out of the common transfer chamber 10 through the link type follower arm 150. Is rarely reached. For this reason, the particles hardly adhere to the substrate G or the degree of vacuum does not sufficiently increase.
  • the casing 147 and the link type driven arm 150 are used, the inside of the common transfer chamber 10 is sufficiently reached to the order of 10 ⁇ 1 Pa, and normal vacuum processing is sufficient. Processing is possible.
  • the installation of the link type driven arm 150 and the like is somewhat expensive, the cost is much lower than when the elevating drive unit is configured with high rigidity as shown in FIG.
  • the present invention can be variously modified without being limited to the above embodiment.
  • a substrate processing system that transports and processes a plurality of substrates at once is shown.
  • a single wafer processing system that processes substrates one by one may be used.
  • the number of substrates is not limited even when there are a plurality of substrates, but in the present invention, the effect is greater as the number of substrates processed in a lump is larger, and it is suitable for the case of three or more substrates.
  • the example which used the ball screw mechanism as an raising / lowering mechanism was shown, not only this but another mechanism may be sufficient.
  • sticker of a rotation part was shown, other seals, such as a magnetic fluid seal, may be sufficient.
  • the plasma processing performed between the upper electrode and the lower electrode is shown as an example of processing in the processing chamber, it is not limited to this. Further, the preheating chamber is not essential, and the number of modules connected to the common transfer chamber is not limited to this embodiment.
  • Substrate processing system 10; Common transfer chamber, 20; Preheating chamber, 30a, 30b; Processing chamber, 40; Load lock chamber (vacuum preliminary chamber), 50; Substrate transfer device, 51; Base member, 52; Drive unit 53; Substrate support arm unit 54; Support member 55; Horizontal drive unit 56; Lift drive unit 61, 62a, 62b, 63, 64; Gate valve 70; Control unit 111; Lift plate 112; substrate transfer arm, 143; ball screw mechanism, 145; servo motor, 147; housing, 150; link driven arm (cable insertion member), 151; displacement difference absorbing mechanism, G;

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

This substrate transportation device (50), for transporting a substrate (G) between modules, is provided to a common transportation chamber (10) to which a plurality of modules (20, 30a, 30b, 40) are connected. The substrate transportation device (50) is provided with: a base member (51) provided horizontally in the common transportation chamber (10) so as to be capable of turning; a substrate support arm unit (53) for supporting the substrate (G); a support member (54) for supporting the substrate support arm unit (53) so as to be capable of raising or lowering the substrate support arm unit (53), the support member (54) being supported by the base member (51) so as to be capable of horizontal movement; a turning driving part (52) for turning the base member (51); a horizontal driving part (55) for causing the support member (54) to travel horizontally along the base member (51); and a raising/lowering driving part (56) for raising or lowering the substrate support arm unit (53) along the support member (54).

Description

基板搬送装置および基板処理システムSubstrate transfer apparatus and substrate processing system
 本発明は、基板を搬送する基板搬送装置およびそのような搬送装置を備えた、基板に処理を行う基板処理システムに関する。 The present invention relates to a substrate transfer device that transfers a substrate and a substrate processing system that includes such a transfer device and processes a substrate.
 液晶ディスプレイ(LCD)に代表されるフラットパネルディスプレイ(FPD)や太陽電池などの製造過程においては、大型のガラス基板に対し、エッチングや成膜等の所定の処理を施す複数の処理室を備え、基板一枚ずつ処理を行う枚葉方式のマルチチャンバタイプの処理システムが知られている(例えば、特許文献1)。 In the manufacturing process of flat panel displays (FPD) typified by liquid crystal displays (LCDs) and solar cells, a large glass substrate is provided with a plurality of processing chambers for performing predetermined processing such as etching and film formation, A single-wafer multi-chamber type processing system that processes substrates one by one is known (for example, Patent Document 1).
 また、一度に複数枚の基板を処理するマルチチャンバタイプの処理システムも知られている(例えば特許文献2)。 A multi-chamber type processing system that processes a plurality of substrates at a time is also known (for example, Patent Document 2).
 特許文献1に開示された枚葉式の処理システムおよび特許文献2に開示されたバッチ式の処理システムは、いずれも大型の基板(被処理体)を搬送する搬送装置が設けられた共通搬送室を有し、この共通搬送室の周囲に、処理前および処理後の被処理体を交換するロードロック室と、処理室等の複数のモジュールが取り付けられている。基板は、共通搬送室に設けられた搬送装置を用いて、各モジュール間で搬送される。 Both the single wafer processing system disclosed in Patent Document 1 and the batch processing system disclosed in Patent Document 2 have a common transfer chamber provided with a transfer device for transferring a large substrate (object to be processed). Around the common transfer chamber, a load lock chamber for exchanging the object to be processed before and after the processing, and a plurality of modules such as the processing chamber are attached. A board | substrate is conveyed between each module using the conveying apparatus provided in the common conveyance chamber.
 ところで、上記特許文献1,2に開示されたマルチチャンバタイプの処理システムにおいては、例えば、処理室で処理された基板を搬送する際、共通搬送室の搬送装置の基板支持アームを処理室へ挿入した後、基板を受け渡すが、この際の基板の受け渡しは、処理室内で基板のエッジを支持して基板を昇降する機構を設けて、基板を昇降させることにより行われるか、または、基板を支持ピン上に支持した状態で基板支持アームを昇降駆動させることにより行われる。 By the way, in the multi-chamber type processing system disclosed in Patent Documents 1 and 2, for example, when a substrate processed in the processing chamber is transferred, the substrate support arm of the transfer device in the common transfer chamber is inserted into the processing chamber. After that, the substrate is transferred. In this case, the substrate is transferred by moving the substrate up and down by providing a mechanism for supporting the edge of the substrate and moving the substrate up and down in the processing chamber. This is performed by driving the substrate support arm up and down while being supported on the support pins.
 しかし、前者の基板を昇降させる場合には、薄型基板を搬送しようとすると、基板が大きく撓むため、特にバッチ式タイプにおいてクリアランス確保が難しくなる。この場合に、基板の面内(中央部)に支持ピンを設けて撓みを抑えることが考えられるが、機構部を格納するための高さが必要となり、目的とする処理枚数(段数)の確保が難しくなる。 However, when the former substrate is moved up and down, if a thin substrate is to be transported, the substrate will be greatly bent, making it difficult to secure clearance especially in the batch type. In this case, it may be possible to suppress the bending by providing a support pin in the plane (center part) of the substrate. However, a height for storing the mechanism part is required, and the target number of processed sheets (number of stages) is ensured. Becomes difficult.
 これに対して、後者の基板支持アームを昇降駆動させる場合には、基板のエッジおよび基板の面内に支持ピンを設けられるのでこのような問題を回避することが可能となる。基板支持アームを昇降駆動させるためには、共通搬送室の外部に設けられた駆動装置により基板支持アームを含む搬送装置自体を昇降させることが一般的である。 On the other hand, when the latter substrate support arm is driven up and down, such a problem can be avoided because the support pins are provided on the edge of the substrate and in the plane of the substrate. In order to raise and lower the substrate support arm, it is common to raise and lower the transfer device itself including the substrate support arm by a driving device provided outside the common transfer chamber.
特開平10-98085号公報Japanese Patent Laid-Open No. 10-98085 特開2011-35103号公報JP 2011-35103 A
 しかしながら、大型のFPD基板を搬送するための搬送装置や、バッチ式装置に設けられる搬送装置においては、搬送装置自体の重量は1tを超えることが多い。このため、昇降のための駆動機構を高剛性にする必要がある。また、搬送装置の昇降ストロークも大きなものとなる。このため、搬送室の下方に昇降駆動のための高い空間が必要となってしまう。これにより、搬送室内の基板搬送ラインの位置が高くなり、メンテナンスや輸送等に制限がでる場合がある。また、昇降のための駆動機構を高剛性にするためには、コストが高いものとなってしまう。特に、バッチ式の搬送装置にこれらの傾向が大きい。 However, in a transport device for transporting a large FPD substrate or a transport device provided in a batch type device, the weight of the transport device itself often exceeds 1 t. For this reason, it is necessary to make the drive mechanism for raising / lowering highly rigid. Moreover, the raising / lowering stroke of a conveying apparatus also becomes a big thing. For this reason, a high space for raising and lowering drive is required below the transfer chamber. As a result, the position of the substrate transfer line in the transfer chamber is increased, which may limit maintenance, transportation, and the like. Moreover, in order to make the drive mechanism for raising / lowering highly rigid, cost will become high. In particular, these tendencies are large in batch-type transport apparatuses.
 したがって、本発明の目的は、昇降のための駆動機構を高剛性にする必要がなく、装置全体を昇降させる必要がない基板搬送装置、およびそのような基板搬送装置を用いた処理システムを提供することにある。 Accordingly, it is an object of the present invention to provide a substrate transfer apparatus that does not require the drive mechanism for raising and lowering to be highly rigid and does not require raising and lowering the entire apparatus, and a processing system using such a substrate transfer apparatus. There is.
 すなわち、本発明の第1の観点によれば、複数のモジュールが接続された共通搬送室に設けられ、前記複数のモジュール間で基板の搬送を行う基板搬送装置であって、前記共通搬送室内に水平にかつ旋回可能に設けられたベース部材と、基板を支持する基板支持アームと、前記基板支持アームを昇降可能に支持し、前記ベース部材に水平方向に移動可能に支持された支持部材と、前記ベース部材を旋回させる旋回駆動部と、前記支持部材を前記ベース部材に沿って水平方向に走行させる水平駆動部と、前記基板支持アームを前記支持部材に沿って昇降させる昇降駆動部とを具備する基板搬送装置が提供される。 That is, according to the first aspect of the present invention, there is provided a substrate transfer apparatus that is provided in a common transfer chamber to which a plurality of modules are connected, and that transfers a substrate between the plurality of modules. A base member provided horizontally and pivotably; a substrate support arm that supports the substrate; a support member that supports the substrate support arm so as to be movable up and down; and is supported by the base member so as to be movable in a horizontal direction; A turning drive unit for turning the base member; a horizontal drive unit for moving the support member horizontally along the base member; and a lift drive unit for raising and lowering the substrate support arm along the support member. A substrate transfer apparatus is provided.
 上記第1の観点に係る基板搬送装置において、前記基板支持アームは複数設けられてユニット化され、前記昇降駆動部により一括して昇降され、複数の基板を一括して支持して搬送する構成とすることができる。 In the substrate transport apparatus according to the first aspect, a plurality of the substrate support arms are provided as a unit, and are lifted and lowered collectively by the lifting drive unit, and a plurality of substrates are collectively supported and transported. can do.
 前記複数のモジュールは、真空中で基板に所定の処理を施す処理室と、処理前および処理後の基板を交換するロードロック室とを有し、前記共通搬送室は真空に保持されるように構成することができる。 The plurality of modules include a processing chamber for performing a predetermined process on the substrate in a vacuum, and a load lock chamber for exchanging the substrate before and after the processing, and the common transfer chamber is maintained in a vacuum. Can be configured.
 前記昇降駆動部は、前記支持部材に取り付けられていてよく、この場合に、前記昇降駆動部の駆動源を取り囲む内部が大気空間となる筐体と、前記筐体に接続され、前記駆動源に接続された配線を収容して前記共通搬送室の外部に取り出すための、内部が大気空間となるケーブル挿通部材とをさらに具備し、前記ケーブル挿通部材は、複数のアームと、前記アーム間を接続する気密に形成されたリンク機構とを有し、前記支持部材の水平方向の移動に連動して伸屈するリンク式従動アームとして構成されるものとすることができる。また、前記ケーブル挿通部材と前記筐体との間に、内部を大気空間に保ったまま前記ベース部材の変更と前記ケーブル挿通部材の上下変位差を吸収するための変位差吸収機構をさらに具備してもよい。 The elevating drive unit may be attached to the support member. In this case, the interior surrounding the drive source of the elevating drive unit is an atmospheric space, and the housing is connected to the housing, A cable insertion member that accommodates the connected wiring and takes it out of the common transfer chamber, the inside of which is an air space; and the cable insertion member connects a plurality of arms and the arms. And a link-type driven arm that is bent in conjunction with the horizontal movement of the support member. Further, a displacement difference absorbing mechanism for absorbing the change of the base member and the vertical displacement difference of the cable insertion member while maintaining the inside in an air space is further provided between the cable insertion member and the housing. May be.
 本発明の第2の観点によれば、共通搬送室と、前記共通搬送室に接続された、所定の処理を行う処理室を含む複数のモジュールと、前記共通搬送室に設けられ、前記複数のモジュール間で基板の搬送を行う基板搬送装置とを具備し、前記基板搬送装置は上記第1の観点の構成を有する基板処理システムが提供される。 According to a second aspect of the present invention, a plurality of modules including a common transfer chamber, a plurality of modules connected to the common transfer chamber and performing a predetermined process, and provided in the common transfer chamber, A substrate processing apparatus for transporting a substrate between modules, wherein the substrate transporting apparatus has the configuration of the first aspect.
 このように、昇降駆動部が共通搬送室の内部に設けられ、昇降動作は、支持部材に対し、基板支持アームを昇降させることにより行われるので、昇降駆動部以外の基板搬送装置全体を昇降する必要がない。このため、昇降駆動部の重量を小さくすることができるので、昇降駆動部を高剛性にする必要がなく、基板搬送装置を低コストで製作可能であり、装置重量も小さくなる。また、昇降駆動部を共通搬送室の内部に設け、昇降共通搬送室の下に設ける必要がないので、共通搬送室を低い位置に設けることができ、基板搬送ラインを低く保つことができる。これにより、メンテナンス性を向上させることができ、また複数の基板を搬送する場合には必要な段数を確保することができる。さらに旋回駆動部のイナーシャを共通搬送室の底板で支持させることができ、安定した動作が可能となり、制御が容易となるとともに高速動作が可能となる。 As described above, the elevating drive unit is provided in the common transfer chamber, and the elevating operation is performed by elevating the substrate support arm with respect to the support member, so that the entire substrate transfer apparatus other than the elevating drive unit is raised and lowered. There is no need. For this reason, since the weight of the raising / lowering drive part can be made small, it is not necessary to make a raising / lowering drive part highly rigid, a board | substrate conveyance apparatus can be manufactured at low cost, and an apparatus weight also becomes small. In addition, since the elevating drive unit is not provided inside the common transfer chamber and below the elevating common transfer chamber, the common transfer chamber can be provided at a low position, and the substrate transfer line can be kept low. As a result, maintainability can be improved, and a necessary number of stages can be ensured when a plurality of substrates are transferred. Further, the inertia of the swivel drive unit can be supported by the bottom plate of the common transfer chamber, which enables stable operation, facilitates control, and enables high-speed operation.
本発明の一実施形態に係る基板処理システムを概略的に示す平面図である。1 is a plan view schematically showing a substrate processing system according to an embodiment of the present invention. 図1の基板処理システムの共通搬送室に設けられた基板搬送装置を側面から見た状態を示す共通搬送室および処理室の断面図である。FIG. 2 is a cross-sectional view of a common transfer chamber and a processing chamber showing a state in which a substrate transfer apparatus provided in the common transfer chamber of the substrate processing system of FIG. 図2の基板搬送装置の平面図である。It is a top view of the board | substrate conveyance apparatus of FIG. 図2の基板搬送装置の斜視図である。It is a perspective view of the board | substrate conveyance apparatus of FIG. 図2の基板搬送装置に用いられた変位差吸収機構と第1リンク機構を示す断面図である。It is sectional drawing which shows the displacement difference absorption mechanism and 1st link mechanism which were used for the board | substrate conveyance apparatus of FIG. 基板支持アームの昇降動作により処理室の基板を受け取る動作を説明するための図である。It is a figure for demonstrating the operation | movement which receives the board | substrate of a process chamber by the raising / lowering operation | movement of a substrate support arm. 基板支持アームの昇降動作により処理室の基板を受け取る動作を説明するための図である。It is a figure for demonstrating the operation | movement which receives the board | substrate of a process chamber by the raising / lowering operation | movement of a substrate support arm. 基板支持アームの昇降動作により処理室の基板を受け取る動作を説明するための図である。It is a figure for demonstrating the operation | movement which receives the board | substrate of a process chamber by the raising / lowering operation | movement of a substrate support arm. 従来技術として想定される基板搬送装置を側面から見た状態を示す図である。It is a figure which shows the state which looked at the board | substrate conveyance apparatus assumed as a prior art from the side surface.
 以下、添付図面を参照して本発明の実施形態について説明する。参照する図面全てにわたり、同一の部分については同一の参照符号を付す。
 図1は、本発明の一実施形態に係る基板処理システムを概略的に示す平面図である。この基板処理システム1は、例えば、液晶ディスプレイ(LCD)のようなFPD用ガラス基板あるいは太陽電池用ガラス基板として用いられる矩形基板に対し例えばエッチングや成膜のような真空処理を行う装置として構成されている。
Hereinafter, embodiments of the present invention will be described with reference to the accompanying drawings. Throughout the drawings to be referred to, the same parts are denoted by the same reference numerals.
FIG. 1 is a plan view schematically showing a substrate processing system according to an embodiment of the present invention. The substrate processing system 1 is configured as a device that performs vacuum processing such as etching or film formation on a rectangular substrate used as an FPD glass substrate such as a liquid crystal display (LCD) or a solar cell glass substrate. ing.
 図1に示すように、基板処理システム1は、共通搬送室10と、この共通搬送室10に接続された基板Gを予備加熱する予備加熱室20と、基板Gにエッチングや成膜等の処理を施す2つの処理室30a,30bと、大気側に配置された基板収容容器(図示せず)と真空に保持された共通搬送室10との間で基板Gを交換するロードロック室40と、共通搬送室10内に設けられた、基板Gを搬送する基板搬送装置50とを備えている。共通搬送室10は平面形状が矩形状をなし、複数のモジュールである予備加熱室20、処理室30a,30b、ロードロック室40は、共通搬送室10の各側面に、それぞれゲートバルブ61,62a,62b,63を介して接続されている。また、ロードロック室40の大気側にはゲートバルブ64が設けられている。なお、本実施形態においては共通搬送室10の平面形状は矩形状として構成されるが、共通搬送室10の平面形状を多角形、例えば六角形あるいは八角形に構成し、予備加熱室、処理室あるいはロードロック室、あるいは他のモジュールを追加した構成にしてもよい。 As shown in FIG. 1, the substrate processing system 1 includes a common transfer chamber 10, a preheating chamber 20 that preheats the substrate G connected to the common transfer chamber 10, and processes such as etching and film formation on the substrate G. A load lock chamber 40 for exchanging the substrate G between the two processing chambers 30a and 30b, a substrate container (not shown) disposed on the atmosphere side, and the common transfer chamber 10 held in vacuum, And a substrate transfer device 50 that transfers the substrate G provided in the common transfer chamber 10. The common transfer chamber 10 has a rectangular planar shape, and the preheating chamber 20, the processing chambers 30 a and 30 b, and the load lock chamber 40, which are a plurality of modules, are provided on the side surfaces of the common transfer chamber 10 with gate valves 61 and 62 a, respectively. , 62b, 63 are connected. A gate valve 64 is provided on the atmosphere side of the load lock chamber 40. In the present embodiment, the plane shape of the common transfer chamber 10 is configured as a rectangular shape, but the plane shape of the common transfer chamber 10 is configured as a polygon, for example, a hexagon or an octagon, and the preheating chamber and the processing chamber. Alternatively, a load lock chamber or another module may be added.
 本実施形態においては、共通搬送室10、予備加熱室20、処理室30a,30bは真空チャンバとして構成されている。共通搬送室10の内部には基板搬送装置50が設けられており、予備加熱室20、処理室30a,30bには、それぞれ内部に基板Gを支持する機構(図示せず)を有し、所定の減圧雰囲気に保持されるようになっている。また、真空予備室であるロードロック室40は、大気側に配置された基板収容容器(図示せず)と、真空に保持された共通搬送室10との間で基板Gを交換するためのものであり、大気雰囲気と減圧雰囲気との間で切り替え可能な真空予備室として機能する。 In the present embodiment, the common transfer chamber 10, the preheating chamber 20, and the processing chambers 30a and 30b are configured as vacuum chambers. A substrate transfer device 50 is provided inside the common transfer chamber 10, and each of the preheating chamber 20 and the processing chambers 30a and 30b has a mechanism (not shown) for supporting the substrate G therein, and has a predetermined structure. Is maintained in a reduced pressure atmosphere. The load lock chamber 40 as a vacuum preparatory chamber is for exchanging the substrate G between a substrate container (not shown) arranged on the atmosphere side and the common transfer chamber 10 held in a vacuum. And functions as a vacuum preparatory chamber that can be switched between an air atmosphere and a reduced pressure atmosphere.
 この基板処理システム1は、一度に複数枚、例えば3枚以上の基板Gを高さ方向に水平に配置して処理するように構成されており、外部の基板収容容器から図示しない大気側搬送装置によりゲートバルブ64を介してロードロック室40に複数枚の基板Gが搬入された後、搬入された複数枚の基板Gは、基板搬送装置50により、ロードロック室40からゲートバルブ63を介して共通搬送室10へ搬入され、この共通搬送室10からゲートバルブ61を介して予備加熱室20へ搬送され、この予備加熱室20からゲートバルブ61およびゲートバルブ62aまたは62bを介して処理室30aまたは30bへ搬送される。そして、処理室30aまたは30bにおいて処理が終了した基板Gは、処理室30aまたは30bからゲートバルブ62aまたは62bおよびゲートバルブ63を介してロードロック室40へ搬送され、ロードロック室40が大気圧に戻された後に搬出される。 The substrate processing system 1 is configured to process a plurality of substrates G, for example, three or more substrates G at a time in a horizontal direction in the height direction. After the plurality of substrates G are loaded into the load lock chamber 40 via the gate valve 64, the loaded substrates G are transferred from the load lock chamber 40 via the gate valve 63 by the substrate transfer device 50. It is carried into the common transfer chamber 10 and transferred from the common transfer chamber 10 to the preheating chamber 20 through the gate valve 61, and from the preheating chamber 20 to the processing chamber 30a or through the gate valve 61 and the gate valve 62a or 62b. It is conveyed to 30b. Then, the substrate G that has been processed in the processing chamber 30a or 30b is transferred from the processing chamber 30a or 30b to the load lock chamber 40 via the gate valve 62a or 62b and the gate valve 63, and the load lock chamber 40 is brought to atmospheric pressure. It is carried out after being returned.
 なお、本例においては、処理室30aおよび処理室30bは同一の処理を行う処理室であるが、異なる処理を行う処理室として構成してもよい。すなわち、処理室30aにおいて第一工程を処理し、引き続き行われる第二工程を処理室30bにおいて連続して処理するという構成でもよい。 In this example, the processing chamber 30a and the processing chamber 30b are processing chambers that perform the same processing, but may be configured as processing chambers that perform different processing. That is, a configuration in which the first step is processed in the processing chamber 30a and the subsequent second step is continuously processed in the processing chamber 30b may be employed.
 基板処理システム1の各構成部は、制御部(コンピュータ)70により制御される。制御部70はマイクロプロセッサを備えたプロセスコントローラ71を有しており、このプロセスコントローラ71には、オペレータが基板処理システム1を管理するためのコマンドの入力操作等を行うキーボードや、基板処理システム1の稼働状況を可視化して表示するディスプレイ等からなるユーザーインターフェース72と、基板処理システム1で実行される各種処理をプロセスコントローラ71の制御にて実現するための制御プログラムや、処理条件に応じて基板処理システム1に所定の処理を実行させるための制御プログラムやレシピが格納された記憶部73とが接続されている。記憶部73は記憶媒体を有しており、レシピ等はその記憶媒体に記憶されている。記憶媒体は、ハードディスクや半導体メモリであってもよいし、CD-ROM、DVD、フラッシュメモリ等の可搬性のものであってもよい。レシピ等は、必要に応じてユーザーインターフェース72からの指示等にて記憶部73から読み出し、プロセスコントローラ71に実行させることで、プロセスコントローラ71の制御下で、基板処理システム1での所望の処理が行われる。 Each component of the substrate processing system 1 is controlled by a control unit (computer) 70. The control unit 70 includes a process controller 71 having a microprocessor. The process controller 71 includes a keyboard for an operator to input commands for managing the substrate processing system 1, and the substrate processing system 1. A user interface 72 including a display for visualizing and displaying the operation status of the computer, a control program for realizing various processes executed by the substrate processing system 1 under the control of the process controller 71, and a substrate according to the processing conditions A storage unit 73 storing a control program and recipe for causing the processing system 1 to execute a predetermined process is connected. The storage unit 73 has a storage medium, and recipes and the like are stored in the storage medium. The storage medium may be a hard disk or a semiconductor memory, or may be a portable medium such as a CD-ROM, DVD, or flash memory. Recipes and the like are read from the storage unit 73 according to instructions from the user interface 72 as necessary, and are executed by the process controller 71, so that desired processing in the substrate processing system 1 can be performed under the control of the process controller 71. Done.
 次に基板搬送装置50について詳細に説明する。
 図2は基板搬送装置50を側面から見た状態を示す共通搬送室10および処理室30aの断面図、図3は基板搬送装置50の平面図、図4はその斜視図である。
Next, the substrate transfer apparatus 50 will be described in detail.
2 is a cross-sectional view of the common transfer chamber 10 and the processing chamber 30a showing the substrate transfer apparatus 50 as viewed from the side, FIG. 3 is a plan view of the substrate transfer apparatus 50, and FIG. 4 is a perspective view thereof.
 基板搬送装置50は、共通搬送室10と、予備加熱室20、処理室30a,30b、およびロードロック室40との相互間で複数枚、例えば3枚以上の基板Gを一括して搬送するためのものであり、図2では処理室30aに対して基板Gを搬送する状態を示している。 The substrate transfer device 50 transfers a plurality of, for example, three or more substrates G at a time between the common transfer chamber 10, the preheating chamber 20, the processing chambers 30a and 30b, and the load lock chamber 40. FIG. 2 shows a state in which the substrate G is transferred to the processing chamber 30a.
 図2に示すように、処理室30a内には、複数の下部電極201および複数の上部電極202が垂直方向に交互に配列されており、下部電極201が基板載置台として機能する。そして、下部電極201に基板Gを載置した状態で、真空下で処理ガスを導入しつつ、下部電極201または上部電極202に高周波電力を供給することにより、下部電極201と上部電極202との間にプラズマを形成して、基板Gに対して所定のプラズマ処理を行うように構成されている。なお、符号11は共通搬送室10の搬出入口、31は処理室30aの搬出入口である。また、図2では、処理室30a内に処理ガスを供給する機構、処理室30a内を排気する機構、高周波電力を供給する機構、および処理室10内を排気する機構等は図示を省略している。 As shown in FIG. 2, a plurality of lower electrodes 201 and a plurality of upper electrodes 202 are alternately arranged in the vertical direction in the processing chamber 30a, and the lower electrodes 201 function as a substrate mounting table. Then, with the substrate G placed on the lower electrode 201, a high-frequency power is supplied to the lower electrode 201 or the upper electrode 202 while introducing a processing gas under vacuum, so that the lower electrode 201 and the upper electrode 202 can be connected to each other. A predetermined plasma process is performed on the substrate G by forming plasma therebetween. Reference numeral 11 denotes a carry-in / out port of the common transfer chamber 10, and 31 denotes a carry-in / out port of the processing chamber 30a. In FIG. 2, a mechanism for supplying a processing gas into the processing chamber 30a, a mechanism for exhausting the processing chamber 30a, a mechanism for supplying high-frequency power, a mechanism for exhausting the processing chamber 10, and the like are not shown. Yes.
 基板搬送装置50は、図2に示すように、共通搬送室10内の底部近傍に設けられた、水平面内で旋回可能な、水平方向に沿って長尺状に設けられたベース部材51と、ベース部材51を旋回させるための旋回駆動部52と、基板を支持する基板支持アームを複数有する支持アームユニット53と、支持アームユニット53を昇降可能に支持し、ベース部材51上を水平方向に直動して支持アームを伸縮させる支持部材54と、支持部材54をベース部材51上で水平駆動させる水平駆動部55と、支持アームユニット53を支持部材54に対して昇降させる昇降駆動部56とを備えている。 As shown in FIG. 2, the substrate transfer device 50 is provided in the vicinity of the bottom in the common transfer chamber 10, and can be swiveled in a horizontal plane, and is provided in a long shape along the horizontal direction. A turning drive unit 52 for turning the base member 51, a support arm unit 53 having a plurality of substrate support arms for supporting the substrate, and the support arm unit 53 are supported so as to be movable up and down. A support member 54 that moves and expands and contracts the support arm, a horizontal drive unit 55 that horizontally drives the support member 54 on the base member 51, and a lift drive unit 56 that moves the support arm unit 53 up and down relative to the support member 54. I have.
 旋回駆動部52は、ベース部材51の下面から下方に延びる中空の旋回軸101と、搬送室10の底板に取り付けられ、旋回軸101を受ける旋回ベアリング102と、旋回軸101と旋回ベアリング102との間に設けられた回転シール103と、旋回軸101を回転させる旋回軸モータ104とを有する。この旋回駆動部52によってベース部材51を旋回させることにより、処理室30a、30b、予備加熱室20、およびロードロック室40のいずれのモジュールにもアクセス可能となる。 The turning drive unit 52 includes a hollow turning shaft 101 that extends downward from the lower surface of the base member 51, a turning bearing 102 that is attached to the bottom plate of the transfer chamber 10 and receives the turning shaft 101, and the turning shaft 101 and the turning bearing 102. A rotation seal 103 provided therebetween and a turning shaft motor 104 for rotating the turning shaft 101 are provided. By turning the base member 51 by the turning drive unit 52, it becomes possible to access any of the modules of the processing chambers 30a, 30b, the preheating chamber 20, and the load lock chamber 40.
 支持アームユニット53は、支持部材54に対して昇降する、垂直に設けられた昇降プレート111と、昇降プレートから水平に延びる複数の基板支持アーム112とを有する。なお、図2では、複数の基板支持アーム112のうち中間にあるものを省略して示している(後述の図4および図7も同じ)。 The support arm unit 53 includes a vertically provided lift plate 111 that moves up and down with respect to the support member 54 and a plurality of substrate support arms 112 that extend horizontally from the lift plate. In FIG. 2, the intermediate one of the plurality of substrate support arms 112 is omitted (the same applies to FIGS. 4 and 7 described later).
 支持部材54は、ベース部材51に面して水平に延びる水平部121と、昇降プレート111に面して垂直に延びる垂直部122と、垂直部122の上端と水平部121の端部とを斜めに結び、補強の役割をなす補強部123とを有し、側面が三角形をなすように形成されている。 The support member 54 has a horizontal portion 121 extending horizontally facing the base member 51, a vertical portion 122 extending vertically facing the elevating plate 111, and an upper end of the vertical portion 122 and an end portion of the horizontal portion 121 obliquely And a reinforcing portion 123 that plays a role of reinforcement, and the side surfaces are formed in a triangular shape.
 水平駆動部55は、ベース部材51の上面に水平に設けられた、支持部材54を走行させるためのレール131と、支持部材54の下面に設けられ、支持部材54をレール131にガイドさせるガイド部材132と、ベース部材51の後端側に設けられた水平駆動モータ133と、水平駆動モータ133の動力を支持部材54に伝達して支持部材54を水平に移動させる駆動伝達ベルト134と、駆動伝達ベルト134および支持部材54に固定される固定部材135と、駆動ベルト134を懸架する一対のプーリ136および137とを有する。プーリ136は水平駆動モータ133の回転軸に取り付けられ、プーリ137はベース部材51の先端部に取り付けられている。そして、水平駆動モータ133の回転がプーリ136を介して駆動伝達ベルト134に伝達され、この駆動伝達ベルト134が駆動されることにより、固定部材135を介して支持部材54が水平方向に駆動され、実線で示す伸長位置と二点鎖線で示す縮退位置との間で移動するようになっている。支持部材54が伸長位置においては、図2に示すように、複数の基板支持アーム112が、各々処理室30aの下部電極201の上方位置に達し、下部電極201への基板Gの受け渡し、および下部電極201からの基板の受け取りが可能となる。 The horizontal drive unit 55 is provided horizontally on the upper surface of the base member 51 for running the support member 54, and the guide member is provided on the lower surface of the support member 54 and guides the support member 54 to the rail 131. 132, a horizontal drive motor 133 provided on the rear end side of the base member 51, a drive transmission belt 134 that transmits the power of the horizontal drive motor 133 to the support member 54 and moves the support member 54 horizontally, and drive transmission A fixing member 135 fixed to the belt 134 and the support member 54 and a pair of pulleys 136 and 137 for suspending the driving belt 134 are provided. The pulley 136 is attached to the rotating shaft of the horizontal drive motor 133, and the pulley 137 is attached to the tip of the base member 51. Then, the rotation of the horizontal drive motor 133 is transmitted to the drive transmission belt 134 via the pulley 136, and when the drive transmission belt 134 is driven, the support member 54 is driven in the horizontal direction via the fixed member 135, It moves between an extended position indicated by a solid line and a degenerated position indicated by a two-dot chain line. When the support member 54 is in the extended position, as shown in FIG. 2, the plurality of substrate support arms 112 reach the position above the lower electrode 201 in the processing chamber 30a, transfer the substrate G to the lower electrode 201, and The substrate can be received from the electrode 201.
 昇降駆動部56は、支持部材54の垂直部122の垂直面に設けられた、基板支持アームユニット53の昇降プレート111を走行させるためのレール141と、昇降プレート111の垂直部122に対向する面に設けられ、昇降プレート111をレール141にガイドさせるガイド部材142と、昇降プレート111を昇降駆動させるボールネジ機構143とを有している。ボールネジ機構143は、支持部材54の垂直部122に取り付けられた駆動源であるサーボモータ145と、サーボモータ145の回転軸と直結し、上方に延びるボールネジ144と、ボールネジ144に螺合するとともに、昇降プレート111に固定される昇降部材146とを有し、サーボモータ145によりボールネジ144を回転させることにより昇降部材146が昇降し、それにともなって昇降プレート111が昇降する。そして、水平駆動部55により支持部材54を伸長させた状態で、昇降駆動部56により基板支持アーム112を昇降させることにより、処理室30aの下部電極201に対する基板Gの受け渡しおよび受け取りが行われる。 The raising / lowering drive unit 56 is provided on the vertical surface of the vertical part 122 of the support member 54, and is a surface facing the vertical part 122 of the elevation plate 111 and the rail 141 for running the elevation plate 111 of the substrate support arm unit 53. And a guide member 142 that guides the lifting plate 111 to the rail 141 and a ball screw mechanism 143 that drives the lifting plate 111 to move up and down. The ball screw mechanism 143 is directly connected to a servo motor 145 as a drive source attached to the vertical portion 122 of the support member 54, a rotation shaft of the servo motor 145, and extends upward, and is screwed to the ball screw 144. The elevating member 146 is fixed to the elevating plate 111. When the ball screw 144 is rotated by the servo motor 145, the elevating member 146 moves up and down, and the elevating plate 111 moves up and down accordingly. Then, with the support member 54 extended by the horizontal drive unit 55, the substrate support arm 112 is moved up and down by the lift drive unit 56, whereby the substrate G is transferred to and received from the lower electrode 201 of the processing chamber 30a.
 サーボモータ145は、筐体147で囲まれており、その中が大気空間とされる。筐体147とボールネジ144との間は回転シール148によりシールされている。 The servo motor 145 is surrounded by a casing 147, and the inside thereof is an atmospheric space. A space between the housing 147 and the ball screw 144 is sealed with a rotary seal 148.
 図3に示すように、筐体147には、サーボモータ145へ給電するため、信号を伝達するため、ブレーキのため等のケーブルを挿通するためのケーブル挿通部材として、内部が大気空間とされたリンク式従動アーム150が接続されている。リンク式従動アーム150はベース部材51に取り付けられた中空の旋回軸101に接続されており、ケーブルは中空の旋回軸101の中空部を経て外部に取り出されるようになっている。リンク式従動アーム150は、筐体147から延びる中空部材149に設けられた上下変位差を吸収する変位差吸収機構151に接続されており、変位差吸収機構151の下に設けられた中空の第1アーム153と、中空の第2アーム155と、ベース部材51の中央部に取り付けられ、旋回軸101の上方まで延びる中空部材157と、変位差吸収機構151と第1アームとの間を回動可能にかつ気密に接続する第1リンク機構152と、第1アーム153と第2アーム155とを回動可能にかつ気密に接続する第2リンク機構154と、第2アーム155と中空部材157とを回動可能にかつ気密に接続する第3リンク機構156とを有している。そして、リンク式従動アーム150は、支持部材54が伸長位置にあるときは、実線で示すように伸びた状態となり、支持部材54が縮退位置にあるときは、二点鎖線で示すように屈曲した状態となる。このようにすることにより、支持部材54が移動しても、大気空間の筐体47の内部に配置されたサーボモータ145からのケーブルを、大気空間を保ったまま這いまわすことができる。これにより、サーボモータ145やケーブルからの発塵が真空雰囲気の共通搬送室10内に拡散することを防止することができる。 As shown in FIG. 3, the casing 147 has an air space inside as a cable insertion member for inserting a cable for supplying a signal to the servo motor 145, transmitting a signal, and for a brake or the like. A link type driven arm 150 is connected. The link type follower arm 150 is connected to a hollow turning shaft 101 attached to the base member 51, and the cable is taken out through the hollow portion of the hollow turning shaft 101. The link driven arm 150 is connected to a displacement difference absorbing mechanism 151 that absorbs a vertical displacement difference provided in a hollow member 149 extending from the housing 147, and a hollow first arm provided below the displacement difference absorbing mechanism 151. 1 arm 153, a hollow second arm 155, a hollow member 157 attached to the center of the base member 51 and extending above the pivot shaft 101, and a displacement difference absorbing mechanism 151 and a first arm. A first link mechanism 152 capable of being connected in an airtight manner, a second link mechanism 154 for connecting the first arm 153 and the second arm 155 in a rotatable and airtight manner, a second arm 155 and a hollow member 157 And a third link mechanism 156 that is pivotally and airtightly connected. When the support member 54 is in the extended position, the link driven arm 150 is extended as shown by a solid line, and when the support member 54 is in the retracted position, the link driven arm 150 is bent as shown by a two-dot chain line. It becomes a state. By doing so, even if the support member 54 moves, the cable from the servo motor 145 arranged inside the housing 47 in the atmospheric space can be twisted while maintaining the atmospheric space. Thereby, it is possible to prevent the dust generated from the servo motor 145 and the cable from diffusing into the common transfer chamber 10 in a vacuum atmosphere.
 変位差吸収機構151は、支持部材54および基板支持アームユニット53の重量によるベース部材51の変形とリンク式従動アーム150の上下変位差を吸収するためのものであり、図5に示すように、中空部材149に繋がる上部管161と第1リンク機構152に繋がる下部管162とが入れ子状に配置され、その継ぎ目部分の周囲を溶接ベローズ163で覆った構造を有している。すなわち、変位差吸収機構151は、内部を大気空間に保ったまま上下動を許容する構造を有している。符号164はボールスプラインからなるガイドであり、165はケーシングである。また、第1リンク機構152は、変位差吸収機構151の下部管162に繋がる内側部材166と、第1アーム153に繋がる外側部材167とを有し、これらの間に回転シール168とベアリング169とが介在されており、内部を大気空間に保ったまま、第1アーム153の回動を許容する構造を有している。第2リンク機構154および第3リンク機構156も同様の構造を有している。符号170はケーブルである。 The displacement difference absorbing mechanism 151 is for absorbing the deformation of the base member 51 due to the weight of the support member 54 and the substrate support arm unit 53 and the vertical displacement difference of the link type driven arm 150. As shown in FIG. An upper tube 161 connected to the hollow member 149 and a lower tube 162 connected to the first link mechanism 152 are arranged in a nested manner, and the periphery of the joint portion is covered with a weld bellows 163. That is, the displacement difference absorbing mechanism 151 has a structure that allows vertical movement while keeping the inside in the atmospheric space. Reference numeral 164 is a guide made of a ball spline, and 165 is a casing. The first link mechanism 152 includes an inner member 166 that is connected to the lower pipe 162 of the displacement difference absorbing mechanism 151 and an outer member 167 that is connected to the first arm 153, and a rotary seal 168 and a bearing 169 are interposed therebetween. And has a structure that allows the first arm 153 to rotate while keeping the inside in an atmospheric space. The second link mechanism 154 and the third link mechanism 156 have the same structure. Reference numeral 170 denotes a cable.
 次に、このように構成される基板処理システムの処理動作について説明する。
 まず、ゲートバルブ64を開けて大気側基板搬送装置(図示せず)により複数枚(例えば3枚以上)の未処理の基板Gを大気雰囲気のロードロック室40に搬入し、ゲートバルブ64を閉じてロードロック室40内を減圧雰囲気とする。そして、ゲートバルブ63を開け、基板搬送装置50の複数の基板支持アーム112をロードロック室40内に進出させ、ロードロック室40内に搬入された未処理の基板Gを一括して受け取る。次いで、基板搬送装置50の基板支持アーム112を共通搬送室10に退避させ、ゲートバルブ63を閉じる。
Next, the processing operation of the substrate processing system configured as described above will be described.
First, the gate valve 64 is opened, a plurality of (for example, three or more) unprocessed substrates G are carried into the load lock chamber 40 in the atmospheric atmosphere by an atmosphere side substrate transfer device (not shown), and the gate valve 64 is closed. Thus, the inside of the load lock chamber 40 is set to a reduced pressure atmosphere. Then, the gate valve 63 is opened, the plurality of substrate support arms 112 of the substrate transfer apparatus 50 are advanced into the load lock chamber 40, and the unprocessed substrates G carried into the load lock chamber 40 are collectively received. Next, the substrate support arm 112 of the substrate transfer apparatus 50 is retracted to the common transfer chamber 10 and the gate valve 63 is closed.
 次いで、基板搬送装置50の複数の基板支持アーム112を予備加熱室20に相対するようにさせて、ゲートバルブ61を開け、基板支持アーム112を予備加熱室20に進出させ、未処理の基板Gを予備加熱室20へ搬送する。 Next, the plurality of substrate support arms 112 of the substrate transfer apparatus 50 are made to face the preheating chamber 20, the gate valve 61 is opened, the substrate support arm 112 is advanced into the preheating chamber 20, and the unprocessed substrate G Is conveyed to the preheating chamber 20.
 次いで、基板支持アーム112を共通搬送室10に退避させ、ゲートバルブ61を閉じた後、予備加熱室20にて基板Gの予備加熱を開始する。 Next, after the substrate support arm 112 is retracted to the common transfer chamber 10 and the gate valve 61 is closed, preheating of the substrate G is started in the preheating chamber 20.
 予備加熱が終了したら、ゲートバルブ61を開け、基板支持アーム112を予備加熱室20に進出させ、予備加熱済みの基板Gを受け取る。次いで、基板支持アーム112を共通搬送室10に退避させ、ゲートバルブ61を閉じる。 When the preheating is completed, the gate valve 61 is opened, the substrate support arm 112 is advanced into the preheating chamber 20, and the preheated substrate G is received. Next, the substrate support arm 112 is retracted to the common transfer chamber 10 and the gate valve 61 is closed.
 次いで、複数の基板支持アーム112を処理室30aまたは30bに相対するようにさせ、ゲートバルブ62aまたは62bを開け、基板支持アーム112を処理室30aまたは30bに進出させ、予備加熱済みの基板Gを処理室30aまたは30bへ搬送する。次いで、基板支持アーム112を共通搬送室10に退避させ、ゲートバルブ62aまたは62bを閉じ、処理室30aまたは30bにおける処理を開始する。この間に、次の基板Gの搬送が可能であれば、例えば、基板支持アーム112によりロードロック室40から複数の基板Gを取り出し、予備加熱室20へ搬送する。 Next, the plurality of substrate support arms 112 are made to face the process chamber 30a or 30b, the gate valve 62a or 62b is opened, the substrate support arm 112 is advanced into the process chamber 30a or 30b, and the preheated substrate G is It is transferred to the processing chamber 30a or 30b. Next, the substrate support arm 112 is retracted to the common transfer chamber 10, the gate valve 62a or 62b is closed, and processing in the processing chamber 30a or 30b is started. During this time, if the next substrate G can be transferred, for example, the substrate support arm 112 takes out the plurality of substrates G from the load lock chamber 40 and transfers them to the preheating chamber 20.
 処理室処理が終了したら、ゲートバルブ62aまたは62bを開け、基板支持アーム112を処理室30aまたは30bに進出させ、処理済みの基板Gを受け取る。次いで、基板支持アーム112を共通搬送室10に退避させ、ゲートバルブ62aまたは62bを閉じる。次いで、基板支持112をロードロック室40に相対するようにさせ、ゲートバルブ63を開け、基板支持アーム112をロードロック室40に進出させ、処理済みの基板Gをロードロック室40へ搬送する。次いで、基板支持アーム112を共通搬送室10に退避させ、ゲートバルブ63を閉じ、ロードロック室40内を大気雰囲気にする。この後、ゲートバルブ64を開けて大気側基板搬送装置(図示せず)により処理済みの基板Gをロードロック室40から搬出する。 When the processing chamber processing is completed, the gate valve 62a or 62b is opened, the substrate support arm 112 is advanced to the processing chamber 30a or 30b, and the processed substrate G is received. Next, the substrate support arm 112 is retracted to the common transfer chamber 10, and the gate valve 62a or 62b is closed. Next, the substrate support 112 is made to face the load lock chamber 40, the gate valve 63 is opened, the substrate support arm 112 is advanced into the load lock chamber 40, and the processed substrate G is transferred to the load lock chamber 40. Next, the substrate support arm 112 is retracted to the common transfer chamber 10, the gate valve 63 is closed, and the inside of the load lock chamber 40 is set to an atmospheric atmosphere. Thereafter, the gate valve 64 is opened, and the processed substrate G is carried out of the load lock chamber 40 by an atmosphere side substrate transfer device (not shown).
 この一連の動作の際、基板搬送装置50は、旋回駆動部52によってベース部材51を旋回させることにより、基板支持アーム112を処理室30a、30b、予備加熱室20、およびロードロック室40のうちアクセスしようとするものへ相対させることができる。そして、支持アーム112を例えば処理室30aに相対させ、ゲートバルブ62aを開けた状態で、水平駆動部55により、基板支持アーム112を支持する支持部材54を伸長させることにより、基板支持アーム112を処理室30aに挿入することができる。この状態で、昇降駆動部56により支持アームユニット53を昇降させることにより、基板Gの受け取りまたは受け渡しが行われる。 During this series of operations, the substrate transfer device 50 turns the base member 51 by the turning drive unit 52 to move the substrate support arm 112 out of the processing chambers 30 a and 30 b, the preheating chamber 20, and the load lock chamber 40. It can be relative to what you are trying to access. Then, the support arm 112 is opposed to, for example, the processing chamber 30a, and the support member 54 that supports the substrate support arm 112 is extended by the horizontal driving unit 55 in a state where the gate valve 62a is opened. It can be inserted into the processing chamber 30a. In this state, the support arm unit 53 is moved up and down by the lift drive unit 56, whereby the substrate G is received or delivered.
 このときの基板支持アーム112の昇降動作による基板Gの受け取りまたは受け渡しについて、処理室30aから基板Gを受け取る場合を例にとって、図6A~図6Cを参照して説明する。まず、基板Gを処理する際には、図6Aに示すように、複数の下部電極201上に基板Gを載せた状態で、下部電極201と上部電極202を近接させる。基板支持ピン203は、下段の上部電極202から上方に伸び下部電極201に挿通されている。なお、最下段の基板支持ピン203は、処理室30aの底部から上方に延び、最下段の下部電極に挿通されている。 The reception or delivery of the substrate G by the raising / lowering operation of the substrate support arm 112 at this time will be described with reference to FIGS. 6A to 6C, taking as an example the case of receiving the substrate G from the processing chamber 30a. First, when processing the substrate G, the lower electrode 201 and the upper electrode 202 are brought close to each other with the substrate G placed on the plurality of lower electrodes 201 as shown in FIG. 6A. The substrate support pins 203 extend upward from the lower upper electrode 202 and are inserted into the lower electrode 201. The lowermost substrate support pin 203 extends upward from the bottom of the processing chamber 30a and is inserted through the lowermost lower electrode.
 次に、処理が終了した後の基板Gの入れ替え時には、図6Bに示すように、下部電極201を駆動機構(図示せず)により下降させて、基板Gと下部電極201との間に隙間を形成し、その隙間へ基板支持アーム112を挿入する。 Next, when replacing the substrate G after the processing is completed, as shown in FIG. 6B, the lower electrode 201 is lowered by a drive mechanism (not shown), and a gap is formed between the substrate G and the lower electrode 201. Then, the substrate support arm 112 is inserted into the gap.
 次に、図6Cに示すように、基板支持アーム112を上昇させて基板Gを基板支持アーム112上に受け取る。 Next, as shown in FIG. 6C, the substrate support arm 112 is raised to receive the substrate G on the substrate support arm 112.
 基板支持アーム112から下部電極201への基板Gの受け渡しは、以上と逆の動作、すなわち、下部電極201を下降させて、基板支持ピン203が下部電極201の表面から突出した状態とし、この状態で基板Gを支持した基板支持アーム112を、基板Gが基板支持ピン203の上方に位置するようにして挿入し(図6Cの状態)、次いで、基板搬送アーム112を下降させて基板支持ピン203上に基板Gを支持させ(図6Bの状態)、その後、基板支持アーム112を退避させて、下部電極201を上昇させ(図6Aの状態)、所定の処理を行う。 The transfer of the substrate G from the substrate support arm 112 to the lower electrode 201 is the reverse of the above operation, that is, the lower electrode 201 is lowered and the substrate support pins 203 protrude from the surface of the lower electrode 201. Then, the substrate support arm 112 supporting the substrate G is inserted so that the substrate G is positioned above the substrate support pins 203 (the state shown in FIG. 6C), and then the substrate transfer arm 112 is lowered and the substrate support pins 203 are inserted. The substrate G is supported above (state of FIG. 6B), and then the substrate support arm 112 is retracted to raise the lower electrode 201 (state of FIG. 6A), and a predetermined process is performed.
 この場合には、基板Gを昇降させることなく基板支持アーム112を昇降させることにより基板Gの授受を行うため、基板Gの面内位置(中央位置)に基板支持ピン203を設けても基板Gが割れるおそれがないため、基板を昇降させて基板の授受を行う場合のような薄い大型基板の授受の際における基板の反りの問題が生じ難い。 In this case, since the substrate G is transferred by raising and lowering the substrate support arm 112 without raising and lowering the substrate G, the substrate G is provided even if the substrate support pins 203 are provided at the in-plane position (center position) of the substrate G. Since there is no possibility of cracking, the problem of warping of the substrate is unlikely to occur when a thin large substrate is transferred, such as when the substrate is transferred up and down.
 このような基板Gの昇降動作については、従来、駆動系を真空室に入れることによるパーティクルの影響を回避する観点から、共通搬送室10の外部に設けた昇降駆動部を用いて行うことが多く、基板搬送装置としては、図7に示すようなものが多用されている。なお、図7では、便宜上、図2と同じものには同じ符号を付している。 Such a raising / lowering operation of the substrate G has been conventionally performed by using a raising / lowering drive unit provided outside the common transfer chamber 10 from the viewpoint of avoiding the influence of particles caused by placing the drive system in the vacuum chamber. As the substrate transfer device, the one shown in FIG. 7 is frequently used. In FIG. 7, for the sake of convenience, the same components as those in FIG.
 図7に示すように、従来技術として想定される基板搬送装置50′は、昇降駆動部56′として、共通搬送室10の底板の外側に取り付けられ本体部301と、本体部301に対して、旋回駆動部52、ベース部材51、支持部材54、基板搬送アーム112、水平駆動機構55を昇降させる昇降ベース302と、本体部301に対して昇降ベース302を昇降駆動させるボールネジ機構303とを有している。符号304は昇降ベース302と共通搬送室10の底板との間をシールするための溶接ベローズである。 As shown in FIG. 7, the substrate transfer apparatus 50 ′ assumed as the prior art is attached to the outside of the bottom plate of the common transfer chamber 10 as an elevating drive unit 56 ′. The turning drive unit 52, the base member 51, the support member 54, the substrate transfer arm 112, and a lift base 302 that lifts and lowers the horizontal drive mechanism 55, and a ball screw mechanism 303 that drives the lift base 302 to move up and down relative to the main body 301. ing. Reference numeral 304 is a welding bellows for sealing between the elevating base 302 and the bottom plate of the common transfer chamber 10.
 本実施形態のようなバッチ式装置に設けられる搬送装置においては、搬送装置自体の重量は1tを超えることが多いため、図7の基板搬送装置50′の場合には、昇降駆動部56′に大きな負荷がかかり、昇降駆動部56′の本体部301、昇降ベース302、ボールネジ機構303を高剛性にする必要がある。また、基板搬送装置の昇降ストロークも大きなものとなる。このため、図7の基板搬送装置50′を用いる場合、共通搬送室10の下方に昇降駆動のための高い空間が必要となってしまう。これにより、共通搬送室10内の基板搬送ラインが高くなり、メンテナンスや輸送等に制限がでる場合があり、また装置コストも高いものとなる。また、図7の基板搬送装置50′では、旋回駆動部52のイナーシャ支持が昇降駆動ベース302へ付加されるため、高速動作では、ねじれが発生しやすく、動作の安定性に問題がある。さらに、上述のように昇降駆動部56′を高剛性にする必要から製作コストが高くなってしまう。 In the transfer apparatus provided in the batch type apparatus as in the present embodiment, the weight of the transfer apparatus itself often exceeds 1 t. Therefore, in the case of the substrate transfer apparatus 50 ′ of FIG. A large load is applied, and it is necessary to make the main body 301, the lift base 302, and the ball screw mechanism 303 of the lift drive unit 56 'highly rigid. Moreover, the raising / lowering stroke of a board | substrate conveyance apparatus also becomes a big thing. For this reason, when the substrate transfer apparatus 50 ′ of FIG. 7 is used, a high space for raising and lowering drive is required below the common transfer chamber 10. As a result, the substrate transfer line in the common transfer chamber 10 becomes high, and there are cases where maintenance, transportation, and the like are restricted, and the apparatus cost is high. Further, in the substrate transfer apparatus 50 ′ of FIG. 7, since the inertia support of the turning drive unit 52 is added to the lifting drive base 302, twisting is likely to occur at high speed operation, and there is a problem in operation stability. Further, as described above, since the elevating drive unit 56 'needs to be highly rigid, the manufacturing cost is increased.
 これに対して、本実施形態の基板搬送装置50では、昇降駆動部56は共通搬送室10の内部に設けられ、昇降動作は、支持部材54に対し、基板支持アーム112をユニット化した基板支持アームユニット53を昇降させることにより行われ、昇降駆動部以外の基板搬送装置全体を昇降する必要がないので、昇降駆動部の重量が図7の基板搬送装置50′に比較して格段に小さくなる。このため、昇降駆動部56を高剛性にする必要がなく、基板搬送装置を低コストで製作可能であり、装置重量も小さくなる。また、昇降駆動部56を共通搬送室10の内部に設け、昇降共通搬送室10の下に設ける必要がないので、共通搬送室10を低い位置に設けることができ、基板搬送ラインを低く保つことができる。これにより、メンテナンス性を向上させることができ、また基板搬送の際の必要な段数を確保しやすくなり、輸送制限も出にくくなり、装置コストを低減することもできる。さらに旋回駆動部52のイナーシャが共通搬送室10の底板で支持されるため、安定した動作が可能となり、制御が容易となるとともに高速動作が可能となる。 On the other hand, in the substrate transfer apparatus 50 of the present embodiment, the elevating drive unit 56 is provided in the common transfer chamber 10, and the elevating operation is a substrate support in which the substrate support arm 112 is unitized with respect to the support member 54. This is done by raising and lowering the arm unit 53, and it is not necessary to raise and lower the entire substrate transfer device other than the elevation drive unit, so that the weight of the elevation drive unit is significantly smaller than the substrate transfer device 50 'of FIG. . For this reason, it is not necessary to make the raising / lowering drive part 56 highly rigid, a board | substrate conveyance apparatus can be manufactured at low cost, and an apparatus weight also becomes small. Further, since the elevating drive unit 56 is provided inside the common transfer chamber 10 and does not need to be provided below the elevating common transfer chamber 10, the common transfer chamber 10 can be provided at a low position, and the substrate transfer line can be kept low. Can do. Thereby, maintainability can be improved, it becomes easy to secure the necessary number of steps when transporting the substrate, it is difficult to limit transportation, and the apparatus cost can be reduced. Furthermore, since the inertia of the turning drive unit 52 is supported by the bottom plate of the common transfer chamber 10, stable operation is possible, control becomes easy, and high-speed operation is possible.
 また、本実施形態のように昇降駆動部56のサーボモータ145が共通搬送室10の内部に存在し、かつ水平駆動される支持部材54に取り付けられている場合には、サーボモータ145からの発塵および支持部材54を伸縮動するときのケーブルからの発塵により、基板Gにパーティクルが付着したり、共通搬送室10内の真空度が十分に上がらなくなったりする懸念がある。しかし、本実施形態では、昇降駆動部56のサーボモータ145が、内部が大気空間とされた筐体147内に収納され、筐体147には、支持部材54の伸縮動に連動し、内部が大気空間となるリンク式の従動アーム150が接続され、ケーブルはこのリンク式従動アーム150を通って共通搬送室10の外部に取り出されるため、共通搬送室10内にサーボモータ145やケーブルからの塵埃が到達することはほとんどない。そのため、基板Gにパーティクルが付着したり、真空度が十分に上がらなくなったりすることはほとんど生じない。内部が大気空間となる筐体147およびリンク式の従動アーム150を用いた場合には、共通搬送室10内を10-1Paオーダーまでは十分に到達し、通常の真空処理であれば十分に処理が可能である。なお、リンク式従動アーム150等の設置により幾分コストがかかるが、図7のように昇降駆動部を高剛性に構成するよりも格段に低コストである。 Further, when the servo motor 145 of the lifting / lowering drive unit 56 exists in the common transfer chamber 10 and is attached to the horizontally driven support member 54 as in this embodiment, the servo motor 145 emits power. There is a concern that particles may adhere to the substrate G due to dust and dust generation from the cable when the support member 54 is expanded and contracted, or the degree of vacuum in the common transfer chamber 10 may not be sufficiently increased. However, in the present embodiment, the servo motor 145 of the elevating drive unit 56 is housed in a housing 147 whose inside is an atmospheric space, and the housing 147 is interlocked with the expansion and contraction of the support member 54, A link type follower arm 150 serving as an atmospheric space is connected, and the cable is taken out of the common transfer chamber 10 through the link type follower arm 150. Is rarely reached. For this reason, the particles hardly adhere to the substrate G or the degree of vacuum does not sufficiently increase. When the casing 147 and the link type driven arm 150 are used, the inside of the common transfer chamber 10 is sufficiently reached to the order of 10 −1 Pa, and normal vacuum processing is sufficient. Processing is possible. Although the installation of the link type driven arm 150 and the like is somewhat expensive, the cost is much lower than when the elevating drive unit is configured with high rigidity as shown in FIG.
 なお、本発明は、上記実施の形態に限定されることなく種々変形可能である。例えば、上記実施の形態においては、複数の基板を一括して搬送し、処理する基板処理システムを示したが、基板を1枚ずつ処理する枚葉式のものであってもよい。また、基板が複数の場合でもその枚数は限定されないが、本発明では一括して処理する基板の枚数が多いほど効果が大きく、3枚以上の場合に好適である。さらに、昇降機構としてボールネジ機構を用いた例を示したが、これに限らず、他の機構であってもよい。また、回転部分のシールとして回転シールを用いた例を示したが、磁性流体シール等、他のシールであってもよい。 Note that the present invention can be variously modified without being limited to the above embodiment. For example, in the above-described embodiment, a substrate processing system that transports and processes a plurality of substrates at once is shown. However, a single wafer processing system that processes substrates one by one may be used. In addition, the number of substrates is not limited even when there are a plurality of substrates, but in the present invention, the effect is greater as the number of substrates processed in a lump is larger, and it is suitable for the case of three or more substrates. Furthermore, although the example which used the ball screw mechanism as an raising / lowering mechanism was shown, not only this but another mechanism may be sufficient. Moreover, although the example which used the rotation seal as a seal | sticker of a rotation part was shown, other seals, such as a magnetic fluid seal, may be sufficient.
 さらに、処理室での処理として上部電極および下部電極との間で行われるプラズマ処理を例にとって示したが、これに限るものではない。また、予備加熱室は必須ではなく、共通搬送室に接続されるモジュールの数も本実施形態に限定されるものではない Furthermore, although the plasma processing performed between the upper electrode and the lower electrode is shown as an example of processing in the processing chamber, it is not limited to this. Further, the preheating chamber is not essential, and the number of modules connected to the common transfer chamber is not limited to this embodiment.
 1;基板処理システム、10;共通搬送室、20;予備加熱室、30a,30b;処理室、40;ロードロック室(真空予備室)、50;基板搬送装置、51;ベース部材、52;旋回駆動部、53;基板支持アームユニット、54;支持部材、55;水平駆動部、56;昇降駆動部、61,62a,62b,63,64;ゲートバルブ、70;制御部、111;昇降プレート、112;基板搬送アーム、143;ボールネジ機構、145;サーボモータ、147;筐体、150;リンク式従動アーム(ケーブル挿通部材)、151;変位差吸収機構、G;基板 DESCRIPTION OF SYMBOLS 1; Substrate processing system, 10; Common transfer chamber, 20; Preheating chamber, 30a, 30b; Processing chamber, 40; Load lock chamber (vacuum preliminary chamber), 50; Substrate transfer device, 51; Base member, 52; Drive unit 53; Substrate support arm unit 54; Support member 55; Horizontal drive unit 56; Lift drive unit 61, 62a, 62b, 63, 64; Gate valve 70; Control unit 111; Lift plate 112; substrate transfer arm, 143; ball screw mechanism, 145; servo motor, 147; housing, 150; link driven arm (cable insertion member), 151; displacement difference absorbing mechanism, G;

Claims (7)

  1.  複数のモジュールが接続された共通搬送室に設けられ、前記複数のモジュール間で基板の搬送を行う基板搬送装置であって、
     前記共通搬送室内に水平にかつ旋回可能に設けられたベース部材と、
     基板を支持する基板支持アームと、
     前記基板支持アームを昇降可能に支持し、前記ベース部材に水平方向に移動可能に支持された支持部材と、
     前記ベース部材を旋回させる旋回駆動部と、
     前記支持部材を前記ベース部材に沿って水平方向に走行させる水平駆動部と、
     前記基板支持アームを前記支持部材に沿って昇降させる昇降駆動部と
    を具備する、基板搬送装置。
    A substrate transfer apparatus that is provided in a common transfer chamber to which a plurality of modules are connected, and that transfers a substrate between the plurality of modules,
    A base member horizontally and pivotably provided in the common transfer chamber;
    A substrate support arm for supporting the substrate;
    A support member that supports the substrate support arm so as to be movable up and down, and is supported by the base member so as to be movable in a horizontal direction;
    A turning drive for turning the base member;
    A horizontal drive section for causing the support member to travel horizontally along the base member;
    A substrate transfer apparatus comprising: an elevating drive unit configured to elevate and lower the substrate support arm along the support member.
  2.  前記基板支持アームは、複数設けられてユニット化され、前記昇降駆動部により一括して昇降され、複数の基板を一括して支持して搬送する、請求項1に記載の基板搬送装置。 2. The substrate transfer apparatus according to claim 1, wherein a plurality of the substrate support arms are provided as a unit, are moved up and down collectively by the lifting drive unit, and support and transfer a plurality of substrates collectively.
  3.  前記複数のモジュールは、真空中で基板に所定の処理を施す処理室と、処理前および処理後の基板を交換するロードロック室とを有し、前記共通搬送室は真空に保持される、請求項1に記載の基板搬送装置。 The plurality of modules include a processing chamber for performing a predetermined process on a substrate in a vacuum, and a load lock chamber for exchanging a substrate before and after the processing, and the common transfer chamber is held in a vacuum. Item 2. The substrate transfer apparatus according to Item 1.
  4.  前記昇降駆動部は、前記支持部材に取り付けられている、請求項3に記載の基板搬送装置。 4. The substrate transfer apparatus according to claim 3, wherein the elevating drive unit is attached to the support member.
  5.  前記昇降駆動部の駆動源を取り囲む内部が大気空間となる筐体と、前記筐体に接続され、前記駆動源に接続された配線を収容して前記共通搬送室の外部に取り出すための、内部が大気空間となるケーブル挿通部材とをさらに具備し、前記ケーブル挿通部材は、複数のアームと、前記アーム間を接続する気密に形成されたリンク機構とを有し、前記支持部材の水平方向の移動に連動して伸屈するリンク式従動アームとして構成される、請求項4に記載の基板搬送装置。 A housing that surrounds the drive source of the elevating drive unit is an atmospheric space, and an interior that is connected to the housing and accommodates wiring connected to the drive source and is taken out of the common transfer chamber Further comprising a cable insertion member that serves as an atmospheric space, and the cable insertion member includes a plurality of arms and an airtight link mechanism that connects the arms, and the horizontal direction of the support member The board | substrate conveyance apparatus of Claim 4 comprised as a link type driven arm which bends in response to a movement.
  6.  前記ケーブル挿通部材と前記筐体との間に、内部を大気空間に保ったまま前記ベース部材の変更と前記ケーブル挿通部材の上下変位差を吸収するための変位差吸収機構をさらに具備する、請求項5に記載の基板搬送装置。 A displacement difference absorbing mechanism for absorbing the change of the base member and the vertical displacement difference of the cable insertion member while keeping the inside in an air space between the cable insertion member and the housing is further provided. Item 6. The substrate transfer apparatus according to Item 5.
  7.  共通搬送室と、
     前記共通搬送室に接続された、所定の処理を行う処理室を含む複数のモジュールと、
     前記共通搬送室に設けられ、前記複数のモジュール間で基板の搬送を行う基板搬送装置と
    を具備し、
     前記基板搬送装置は請求項1の構成を有する、基板処理システム。
    A common transfer room,
    A plurality of modules connected to the common transfer chamber and including a processing chamber for performing a predetermined process;
    A substrate transfer device provided in the common transfer chamber and configured to transfer a substrate between the plurality of modules;
    A substrate processing system having the configuration according to claim 1.
PCT/JP2013/067766 2012-08-20 2013-06-28 Substrate transportation device and substrate processing system WO2014030432A1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2012181903A JP2014038990A (en) 2012-08-20 2012-08-20 Substrate conveyance device and substrate processing system
JP2012-181903 2012-08-20

Publications (1)

Publication Number Publication Date
WO2014030432A1 true WO2014030432A1 (en) 2014-02-27

Family

ID=50149748

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2013/067766 WO2014030432A1 (en) 2012-08-20 2013-06-28 Substrate transportation device and substrate processing system

Country Status (3)

Country Link
JP (1) JP2014038990A (en)
TW (1) TW201428876A (en)
WO (1) WO2014030432A1 (en)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102014005467A1 (en) * 2014-04-03 2015-04-23 Asys Automatic Systems Gmbh & Co. Kg Cleanroom system with a handling unit that can be moved in a cleanroom area
JP7097760B2 (en) * 2018-06-25 2022-07-08 東京エレクトロン株式会社 Transport equipment and transport method

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1098085A (en) * 1996-09-19 1998-04-14 Hitachi Ltd Plasma-processing device and thin-film transistor manufacturing method
JP2005317656A (en) * 2004-04-27 2005-11-10 Tokyo Electron Ltd Vacuum processing device
WO2008066103A1 (en) * 2006-11-29 2008-06-05 Tokyo Electron Limited Substrate processing apparatus
JP2009283548A (en) * 2008-05-20 2009-12-03 Tokyo Electron Ltd Vacuum processing equipment
JP2010089203A (en) * 2008-10-07 2010-04-22 Kawasaki Heavy Ind Ltd Substrate conveying robot
JP2011035103A (en) * 2009-07-31 2011-02-17 Tokyo Electron Ltd Carrier device and processing system
JP2011081367A (en) * 2009-09-10 2011-04-21 Nikon Corp Device for guiding power transmitting member, and substrate processing apparatus

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1098085A (en) * 1996-09-19 1998-04-14 Hitachi Ltd Plasma-processing device and thin-film transistor manufacturing method
JP2005317656A (en) * 2004-04-27 2005-11-10 Tokyo Electron Ltd Vacuum processing device
WO2008066103A1 (en) * 2006-11-29 2008-06-05 Tokyo Electron Limited Substrate processing apparatus
JP2009283548A (en) * 2008-05-20 2009-12-03 Tokyo Electron Ltd Vacuum processing equipment
JP2010089203A (en) * 2008-10-07 2010-04-22 Kawasaki Heavy Ind Ltd Substrate conveying robot
JP2011035103A (en) * 2009-07-31 2011-02-17 Tokyo Electron Ltd Carrier device and processing system
JP2011081367A (en) * 2009-09-10 2011-04-21 Nikon Corp Device for guiding power transmitting member, and substrate processing apparatus

Also Published As

Publication number Publication date
JP2014038990A (en) 2014-02-27
TW201428876A (en) 2014-07-16

Similar Documents

Publication Publication Date Title
JP5108557B2 (en) Load lock device and substrate cooling method
JP5877016B2 (en) Substrate reversing apparatus and substrate processing apparatus
JP5102717B2 (en) Substrate transport apparatus and substrate processing apparatus provided with the same
WO2010041562A1 (en) Substrate transfer robot and system
TWI417978B (en) A substrate processing device, a loading lock chamber unit, and a transporting device
JP4711770B2 (en) Conveying apparatus, vacuum processing apparatus, and conveying method
JP5264050B2 (en) Lifting mechanism and transfer device
JP2009105081A (en) Substrate processing apparatus
JP2002203884A (en) Apparatus for processing substrate and transfer chamber
CN102194728B (en) Substrate processing apparatus
JP4903027B2 (en) Substrate transport device and substrate support
KR101299843B1 (en) Processing device and maintenance method thereof
JP2005012185A (en) Substrate transfer device and method, and vacuum processing device
TWI388026B (en) Apparatus and method for processing substrates
WO2014030432A1 (en) Substrate transportation device and substrate processing system
JP5524304B2 (en) Substrate transport method in substrate processing apparatus
JPWO2012053430A1 (en) Vapor deposition apparatus and vapor deposition method
KR101688842B1 (en) Substrate processing apparatus
KR100899135B1 (en) Glass transporting system
JP5283770B2 (en) Substrate transport apparatus and substrate processing apparatus provided with the same
JP2014070242A (en) Vacuum evaporation device, and vacuum evaporation method
JP2011233938A (en) Vacuum processing apparatus and substrate transfer method using the same
JP5190303B2 (en) Conveying device and processing device
JP4597810B2 (en) Substrate processing apparatus and substrate transfer method
JP2007039158A (en) Conveying device and vacuum treatment device

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 13831658

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 13831658

Country of ref document: EP

Kind code of ref document: A1