WO2008146834A1 - レジスト除去方法、半導体製造方法、及びレジスト除去装置 - Google Patents

レジスト除去方法、半導体製造方法、及びレジスト除去装置 Download PDF

Info

Publication number
WO2008146834A1
WO2008146834A1 PCT/JP2008/059786 JP2008059786W WO2008146834A1 WO 2008146834 A1 WO2008146834 A1 WO 2008146834A1 JP 2008059786 W JP2008059786 W JP 2008059786W WO 2008146834 A1 WO2008146834 A1 WO 2008146834A1
Authority
WO
WIPO (PCT)
Prior art keywords
resist
layer
resist removing
undeteriorated
substrate
Prior art date
Application number
PCT/JP2008/059786
Other languages
English (en)
French (fr)
Inventor
Hiroaki Yamamoto
Takashi Minamihonoki
Shinji Masuoka
Yoshishige Ninomiya
Kyota Morihira
Original Assignee
Sharp Kabushiki Kaisha
Aqua Science Corporation
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority to US12/601,913 priority Critical patent/US20100216312A1/en
Application filed by Sharp Kabushiki Kaisha, Aqua Science Corporation filed Critical Sharp Kabushiki Kaisha
Publication of WO2008146834A1 publication Critical patent/WO2008146834A1/ja

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/427Stripping or agents therefor using plasma means only
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/34Imagewise removal by selective transfer, e.g. peeling away
    • G03F7/343Lamination or delamination methods or apparatus for photolitographic photosensitive material
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70858Environment aspects, e.g. pressure of beam-path gas, temperature
    • G03F7/70866Environment aspects, e.g. pressure of beam-path gas, temperature of mask or workpiece
    • G03F7/70875Temperature, e.g. temperature control of masks or workpieces via control of stage temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Plasma & Fusion (AREA)
  • Power Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Atmospheric Sciences (AREA)
  • Toxicology (AREA)
  • Environmental & Geological Engineering (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Drying Of Semiconductors (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

 変質層と未変質層からなるレジストを基板から除去するレジスト除去装置は、窒素、酸素、水素、及び水蒸気のいずれか、あるいはそれらの混合ガスを低圧力下でプラズマ処理して生成したラジカルを前記基板に接触させてレジスト除去を行う工程と、前記基板にオゾン水を接触させてレジスト除去を行う工程を実行する。ラジカルによるレジスト除去工程では、レジスト表面の変質層の形成条件に応じラジカルの接触時間を制御して未変質層の大部分を残す。または、レジスト除去中に排出される反応ガスの分析結果に応じて工程制御を行い、未変質層の大部分を残す。
PCT/JP2008/059786 2007-06-01 2008-05-28 レジスト除去方法、半導体製造方法、及びレジスト除去装置 WO2008146834A1 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US12/601,913 US20100216312A1 (en) 2007-06-01 2008-05-23 Resist removing method, semiconductor manufacturing method, and resist removing apparatus

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2007146396A JP5332052B2 (ja) 2007-06-01 2007-06-01 レジスト除去方法、半導体製造方法、及びレジスト除去装置
JP2007-146396 2007-06-01

Publications (1)

Publication Number Publication Date
WO2008146834A1 true WO2008146834A1 (ja) 2008-12-04

Family

ID=40075071

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2008/059786 WO2008146834A1 (ja) 2007-06-01 2008-05-28 レジスト除去方法、半導体製造方法、及びレジスト除去装置

Country Status (5)

Country Link
US (1) US20100216312A1 (ja)
JP (1) JP5332052B2 (ja)
KR (1) KR20100027178A (ja)
TW (1) TW200913009A (ja)
WO (1) WO2008146834A1 (ja)

Families Citing this family (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US8129281B1 (en) 2005-05-12 2012-03-06 Novellus Systems, Inc. Plasma based photoresist removal system for cleaning post ash residue
US8435895B2 (en) 2007-04-04 2013-05-07 Novellus Systems, Inc. Methods for stripping photoresist and/or cleaning metal regions
JP2009218548A (ja) * 2008-02-12 2009-09-24 Tsukuba Semi Technology:Kk 高ドーズインプラ工程のレジスト除去方法及びレジスト除去装置
JP2010132512A (ja) * 2008-12-08 2010-06-17 Sasakura Engineering Co Ltd オゾン水供給装置、及び、洗浄装置
KR101770008B1 (ko) 2009-12-11 2017-08-21 노벨러스 시스템즈, 인코포레이티드 고주입량 주입 박리 전에 실리콘을 보호하기 위한 개선된 패시베이션 공정
US20110143548A1 (en) 2009-12-11 2011-06-16 David Cheung Ultra low silicon loss high dose implant strip
US9613825B2 (en) * 2011-08-26 2017-04-04 Novellus Systems, Inc. Photoresist strip processes for improved device integrity
US9786471B2 (en) 2011-12-27 2017-10-10 Taiwan Semiconductor Manufacturing Co., Ltd. Plasma etcher design with effective no-damage in-situ ash
CN105873969B (zh) 2013-12-06 2018-09-04 株式会社Lg化学 嵌段共聚物
US10239980B2 (en) 2013-12-06 2019-03-26 Lg Chem, Ltd. Block copolymer
EP3078654B1 (en) 2013-12-06 2021-07-07 LG Chem, Ltd. Monomer and block copolymer
EP3078694B1 (en) 2013-12-06 2021-01-27 LG Chem, Ltd. Block copolymer
CN106459326B (zh) 2013-12-06 2019-08-13 株式会社Lg化学 嵌段共聚物
WO2015084127A1 (ko) 2013-12-06 2015-06-11 주식회사 엘지화학 블록 공중합체
WO2015084123A1 (ko) 2013-12-06 2015-06-11 주식회사 엘지화학 블록 공중합체
CN105899560B (zh) 2013-12-06 2018-01-12 株式会社Lg化学 嵌段共聚物
EP3078690B1 (en) 2013-12-06 2021-01-27 LG Chem, Ltd. Block copolymer
EP3078689B1 (en) 2013-12-06 2020-12-02 LG Chem, Ltd. Block copolymer
CN105934455B (zh) 2013-12-06 2019-01-18 株式会社Lg化学 嵌段共聚物
EP3078692B1 (en) 2013-12-06 2021-01-27 LG Chem, Ltd. Block copolymer
JP6361893B2 (ja) 2013-12-06 2018-07-25 エルジー・ケム・リミテッド ブロック共重合体
US10087276B2 (en) 2013-12-06 2018-10-02 Lg Chem, Ltd. Block copolymer
US9514954B2 (en) * 2014-06-10 2016-12-06 Lam Research Corporation Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films
CN107075050B (zh) 2014-09-30 2019-08-13 株式会社Lg化学 嵌段共聚物
WO2016053007A1 (ko) * 2014-09-30 2016-04-07 주식회사 엘지화학 패턴화 기판의 제조 방법
EP3214102B1 (en) 2014-09-30 2022-01-05 LG Chem, Ltd. Block copolymer
US10703897B2 (en) 2014-09-30 2020-07-07 Lg Chem, Ltd. Block copolymer
US10287430B2 (en) 2014-09-30 2019-05-14 Lg Chem, Ltd. Method of manufacturing patterned substrate
CN107075051B (zh) 2014-09-30 2019-09-03 株式会社Lg化学 嵌段共聚物
EP3202798B1 (en) 2014-09-30 2022-01-12 LG Chem, Ltd. Block copolymer
JP6538158B2 (ja) 2014-09-30 2019-07-03 エルジー・ケム・リミテッド ブロック共重合体
EP3202801B1 (en) 2014-09-30 2021-08-18 LG Chem, Ltd. Block copolymer
EP3202797B1 (en) 2014-09-30 2021-07-07 LG Chem, Ltd. Block copolymer
JP7236583B2 (ja) * 2017-09-15 2023-03-09 株式会社Screenホールディングス レジスト除去方法およびレジスト除去装置

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04291719A (ja) * 1991-03-20 1992-10-15 Hitachi Ltd ウエーハ処理終点判定方法
JP2002100613A (ja) * 2000-09-25 2002-04-05 Nec Kyushu Ltd アッシング方法およびアッシング装置
JP2002217179A (ja) * 2000-11-09 2002-08-02 Samsung Electronics Co Ltd 大気圧ダウンストリームプラズマジェットシステムを用いて残留金属含有ポリマー物質及びイオン注入工程が行われたフォトレジストを除去する方法
JP2002289586A (ja) * 2001-03-27 2002-10-04 Matsushita Electric Ind Co Ltd レジスト剥離方法及びレジスト剥離装置
JP2003332310A (ja) * 2002-05-08 2003-11-21 Sony Corp 有機膜パターンの形成方法及び固体撮像素子の製造方法
JP2004128402A (ja) * 2002-10-07 2004-04-22 Matsushita Electric Ind Co Ltd プラズマアッシング方法
JP2004241414A (ja) * 2003-02-03 2004-08-26 Sharp Corp 剥離洗浄装置
JP2004356598A (ja) * 2003-05-30 2004-12-16 Seiko Epson Corp 基板処理方法及び電気光学装置の製造方法
JP2006270004A (ja) * 2005-03-25 2006-10-05 Osaka Univ レジスト膜の除去方法および除去装置
JP2007073564A (ja) * 2005-09-02 2007-03-22 Fujitsu Ltd アッシング装置
JP2008085231A (ja) * 2006-09-28 2008-04-10 Sharp Manufacturing System Corp 基板上の残留有機物除去方法

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0940846A1 (en) * 1998-03-06 1999-09-08 Interuniversitair Micro-Elektronica Centrum Vzw Method for stripping ion implanted photoresist layer
US6417080B1 (en) * 1999-01-28 2002-07-09 Canon Kabushiki Kaisha Method of processing residue of ion implanted photoresist, and method of producing semiconductor device
US6524936B2 (en) * 2000-12-22 2003-02-25 Axcelis Technologies, Inc. Process for removal of photoresist after post ion implantation
US6848455B1 (en) * 2002-04-22 2005-02-01 Novellus Systems, Inc. Method and apparatus for removing photoresist and post-etch residue from semiconductor substrates by in-situ generation of oxidizing species
KR20040013170A (ko) * 2002-08-01 2004-02-14 삼성전자주식회사 애싱 장치
US7371691B2 (en) * 2004-07-29 2008-05-13 Texas Instruments Incorporated Silicon recess improvement through improved post implant resist removal and cleans

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04291719A (ja) * 1991-03-20 1992-10-15 Hitachi Ltd ウエーハ処理終点判定方法
JP2002100613A (ja) * 2000-09-25 2002-04-05 Nec Kyushu Ltd アッシング方法およびアッシング装置
JP2002217179A (ja) * 2000-11-09 2002-08-02 Samsung Electronics Co Ltd 大気圧ダウンストリームプラズマジェットシステムを用いて残留金属含有ポリマー物質及びイオン注入工程が行われたフォトレジストを除去する方法
JP2002289586A (ja) * 2001-03-27 2002-10-04 Matsushita Electric Ind Co Ltd レジスト剥離方法及びレジスト剥離装置
JP2003332310A (ja) * 2002-05-08 2003-11-21 Sony Corp 有機膜パターンの形成方法及び固体撮像素子の製造方法
JP2004128402A (ja) * 2002-10-07 2004-04-22 Matsushita Electric Ind Co Ltd プラズマアッシング方法
JP2004241414A (ja) * 2003-02-03 2004-08-26 Sharp Corp 剥離洗浄装置
JP2004356598A (ja) * 2003-05-30 2004-12-16 Seiko Epson Corp 基板処理方法及び電気光学装置の製造方法
JP2006270004A (ja) * 2005-03-25 2006-10-05 Osaka Univ レジスト膜の除去方法および除去装置
JP2007073564A (ja) * 2005-09-02 2007-03-22 Fujitsu Ltd アッシング装置
JP2008085231A (ja) * 2006-09-28 2008-04-10 Sharp Manufacturing System Corp 基板上の残留有機物除去方法

Also Published As

Publication number Publication date
TW200913009A (en) 2009-03-16
JP5332052B2 (ja) 2013-11-06
JP2008300704A (ja) 2008-12-11
KR20100027178A (ko) 2010-03-10
US20100216312A1 (en) 2010-08-26

Similar Documents

Publication Publication Date Title
WO2008146834A1 (ja) レジスト除去方法、半導体製造方法、及びレジスト除去装置
WO2010047970A3 (en) Method and apparatus for removing photoresist
TW200715398A (en) Resist removing method and resist removing apparatus
WO2009114120A3 (en) Control of bevel etch film profile using plasma exclusion zone rings larger than the wafer diameter
WO2007120573A3 (en) Plasma dielectric etch process including ex-situ backside polumer removal for low-dielectric constant material
WO2013052509A3 (en) Remote plasma burn-in
WO2010105585A8 (de) Substratbearbeitungsanlage und substratbearbeitungsverfahren
KR101214643B1 (ko) 레지스트 제거방법 및 그를 위한 장치
WO2005114715A8 (en) Method for cleaning substrate surface
WO2006028858A3 (en) Methods of removing photoresist on substrates
WO2009057395A1 (ja) 酸化膜除去のための基板洗浄処理方法
SG142270A1 (en) Integrated method for removal of halogen residues from etched substrates by thermal process
TW200802603A (en) Method for removing damaged dielectric material
WO2006038990A3 (en) Method for treating a substrate
TW200725197A (en) Apparatus and methods for mask cleaning
TW200608489A (en) Plasma treatment method and plasma etching method
WO2008123431A1 (ja) プラズマ酸化処理方法、プラズマ処理装置、及び、記憶媒体
WO2008150930A3 (en) Masking high-aspect ratio structures
WO2005104186A3 (en) Method and processing system for plasma-enhanced cleaning of system components
TW200711757A (en) Method for removing surface deposits and passivating interior surfaces of the interior of a chemical vapor deposition reactor
TW200727346A (en) Method for manufacturing semiconductor device and plasma oxidation method
TW200705548A (en) Method of patterning material layer of semiconductor device
WO2008140022A1 (ja) 化合物半導体の熱処理方法及びその装置
TW200502718A (en) Methods of removing photoresist from substrates
TW200943412A (en) Method of manufacturing a semiconductor device and a device for treating substrate

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 08764802

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

ENP Entry into the national phase

Ref document number: 20097027436

Country of ref document: KR

Kind code of ref document: A

WWE Wipo information: entry into national phase

Ref document number: 12601913

Country of ref document: US

122 Ep: pct application non-entry in european phase

Ref document number: 08764802

Country of ref document: EP

Kind code of ref document: A1