WO2008097219A2 - System and method for automatic elimination of electromigration and self heat violations during construction of a mask layout block, maintaining process design rules and layout connectivity. - Google Patents

System and method for automatic elimination of electromigration and self heat violations during construction of a mask layout block, maintaining process design rules and layout connectivity. Download PDF

Info

Publication number
WO2008097219A2
WO2008097219A2 PCT/US2007/003253 US2007003253W WO2008097219A2 WO 2008097219 A2 WO2008097219 A2 WO 2008097219A2 US 2007003253 W US2007003253 W US 2007003253W WO 2008097219 A2 WO2008097219 A2 WO 2008097219A2
Authority
WO
WIPO (PCT)
Prior art keywords
electromigration
self heat
polygon
clean
violation
Prior art date
Application number
PCT/US2007/003253
Other languages
French (fr)
Other versions
WO2008097219A3 (en
Inventor
Dan Rittman
Original Assignee
Dan Rittman
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Dan Rittman filed Critical Dan Rittman
Priority to PCT/US2007/003253 priority Critical patent/WO2008097219A2/en
Publication of WO2008097219A2 publication Critical patent/WO2008097219A2/en
Publication of WO2008097219A3 publication Critical patent/WO2008097219A3/en

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]

Definitions

  • the present invention is generally related to the field of integrated circuits, and more particularly to a system and method for eliminating electromigration and self heating violations during construction of a mask layout block, maintaining the process design rules (DRC Clean) and layout connectivity (LVS Clean) correctness, in the metallic, polysilicon, contacts and VIA's interconnects of an integrated circuit device.
  • DRC Clean process design rules
  • LVS Clean layout connectivity
  • Nanometer designs contain millions of devices and operate at very high frequencies.
  • the current densities (current per cross-sectional area) in the signal lines and power are consequently high and can result in either signal or power electromigration problems.
  • the electron movement induced by the current in the metal power lines causes metal ions to migrate. That phenomenon of transport of mass in the path of a DC flow, as in the metal power lines in the design, is termed power electromigration.
  • Electromigration is harmful from the point of view of design reliability, since the transport of mass can cause open circuits, or shorts, to neighboring wires. Electromigration is actually not a function of current, but a function of current density. It is also accelerated by elevated temperature. Thus, electromigration is easily observed in Al metal lines that are subjected to high current densities at high temperature over time. The higher current density around the void results in localized heating that further accelerates the growth of the void, which again increases the current density. The cycle continues until the void becomes large enough to cause the metal line to fuse open. Typically the most susceptible to electromigration phenomenon are metallic interconnections of integrated circuit. (IC) EM effects become more prominent as IC feature sizes decrease and as IC frequencies and current densities increase.
  • EM in IC devices occurs due to direct current flow.
  • High direct current density in an IC device causes atoms and ions in the conductors of the device to move in the opposite direction of the direct current flow.
  • metal ions accumulate in some regions and voids form in other regions of the conductors. The accumulation of metal ions may result in a short circuit to adjacent conductors and the voids may result in an open-circuit condition.
  • the current density can be kept below a predetermined EM threshold, EM can be rendered negligible for the life of any particular IC device. Therefore, EM due to direct current flow in IC devices is a major concern with respect to the potential for device failures and the overall reliability of the device.
  • IC devices may also have alternating current flow.
  • the alternating current density in an IC device that results from alternating current flow causes atoms and ions in the conductors of the device to first move in one direction and then move in the opposite direction, back to their original positions.
  • a plurality of conductors with alternating current flow is defined as a signal net.
  • conductors with alternating current flow do not directly cause EM problems.
  • conductors with alternating current flow do use power and generate heat. Since EM is very sensitive to the temperature of the conductors, it is often necessary to limit the temperature increase of the conductors in IC devices that results from the heating due to alternating current flow. Therefore, the alternating current flow in a conductor does have an impact on EM because the heating due conductors with alternating current may increase the overall temperature of the IC device by heating up neighboring conductors with direct current flow.
  • the maximum current limit is set by: (1) considering the minimum distance between conductors with alternating current flow and conductors with direct current flow; and (2) the maximum temperature difference .DELTA.T.sub.MAX that maintains the reliability of the IC device.
  • using this type of worst-case "minimum distance- between-conductors" approach to determine space between conductors also wastes valuable space on the IC device.
  • Electromigration failures take time to develop, and are therefore very difficult to detect until it happens. Thus, the best solution to electromigration problems is to prevent them from taking place. Therefore, it is imperative to eliminate electromigration and self heating issues in order to maintain a reliable integrated circuit operation for many years.
  • the system and method described in this invention eliminates electromigration and self heating issues early in the IC layout design phase. In this way a significant amount of time is saved during the final reliability verification of the integrated circuit, achieving on-time tape outs and avoiding re-spins.
  • a method for eliminating electromigration and self heat violations during construction of a mask layout block includes automatically preventing a polygon from being placed, created or edited in a selected position in a mask layout block if an electromigration and self heat rule violation is identified.
  • an automated method for eliminating electromigration and self heat violations during construction of a mask layout block includes analyzing a selected polygon(s) in a mask layout block and obtaining one or more electromigration and self heat rules associated with the polygon from a technology or external constraints file.
  • the method provides a violation marker associated with the selected position for the polygon that graphically represents a space, width or length in the mask layout block where the selected polygon's position complies with the electromigration and self heat rules.
  • an automated method for eliminating electromigration and self heat violations during construction of a mask layout block includes analyzing a selected polygon in a mask layout block and identifying a electromigration and self heat violation in the mask layout block if the selected position, with or length of the polygon is less than electromigration and self heat value permitted from a technology or external constraints file. If the electromigration and self heat violation is identified, the placement, creation or edition of the polygon at the selected position is automatically prevented, maintaining the process design rules (DRC Clean) and layout connectivity (LVS Clean) correctness.
  • DRC Clean process design rules
  • LVS Clean layout connectivity
  • a computer system for eliminating electromigration and self heat violations during construction of a mask layout block, maintaining the process design rules (DRC Clean) and layout connectivity (LVS Clean) correctness includes a processing resource coupled to a computer readable memory. Processing instructions are encoded in the computer readable memory. When the processing instructions are executed by the processing resource, the instructions analyze a selected polygon in a mask layout block and identify an electromigration and self heat violation in the mask layout block if the selected position is less than an electromigration and self heat rule from a technology or external constraints file. If the electromigration and self heat violation is identified, the instructions prevent the polygon from being placed, created or edited at the selected position in the mask layout block, maintaining the process design rules (DRC Clean) and layout connectivity (LVS Clean) correctness.
  • DRC Clean process design rules
  • LVS Clean layout connectivity
  • an electromigration-self heat aware (EMSH Aware) tool that prevents electromigration and self heat violations from being created during the construction of a mask layout block.
  • a layout designer may move a cursor or click on a polygon in order to select it.
  • the EMSH Aware tool highlights a violation marker that may represent a width, space or length in the layout block to eliminate electromigration and self heat violation according to technology or external constraints file.
  • the EMSH Aware tool provides an information window with the current and required electromigration and self heat conditions related to the selected polygon.
  • the information window includes an option to perform an automatic correction of the selected polygon, also can be done by Right-Click of the mouse.
  • the system will change the selected polygon width, length or space according to electromigration and self heat rules taken from technology or external constraints file, maintaining the process design rules (DRC Clean) and layout connectivity (LVS Clean) correctness.
  • DRC Clean process design rules
  • LVS Clean layout connectivity
  • the system will automatically adjust the amount of contacts or vias according to electromigration and self heat rules taken from technology or external constraints file, maintaining the process design rules (DRC Clean) and layout connectivity (LVS Clean) correctness.
  • the mask layout block therefore, may be created free of electromigration and self heat violations.
  • an electromigration and self heat check (EMSH Check) tool analyzes a mask layout file for electromigration and self heat violations and identifies any violations in an output file.
  • a layout designer may use the output file to manually eliminate the identified electromigration and self heat violations.
  • DRC Design Rule Check
  • LVS Layerout vs. Schematics
  • the present invention may eliminate electromigration and self heat violations from a mask layout block before the mask layout block is converted into a mask layout file.
  • the time needed to complete the design process for the integrated circuit therefore, may be substantially reduced since the steps of checking the layout with an EMSH tool and correcting the identified electromigration and self heat violations may be eliminated.
  • FIG. 1 illustrates four Metals wires. These are given without electromigration or self heat analysis
  • FIG. 2 illustrates four Metals, each selected and analyzed for electromigration and/or self heat violation.
  • Metal 1 wire has LENGTH violation shown as a dashed line violation marker.
  • Metal 2 wire has WIDTH violation shown as a dashed line violation marker.
  • Metal 3 wire has PARTIAL WIDTH violation shown as a dashed line violation marker.
  • Metal 4 wire has WIDTH and LENGTH violation shown as a dashed line violation marker.
  • the boundaries of the violation marker have to be met in order to eliminate the electromigration and/or self heat violation
  • FIG. 3 illustrates the information window.
  • an information window is opened.
  • User has the option to FIX the selected polygon by clicking on the: FIX button or close it by clicking on the Close button;
  • FIG. 4 illustrates a layout view of the example Metals connections.
  • two (2) Metals [Metal 1 and Metal 2] are connected through two (2) VIA's.
  • FIG. 5 illustrates a layout view of the example Metals connections.
  • two (2) Metals [Metal 1 and Metal 2] are connected through two (2) VIA's.
  • the information window shows the system's recommendation to place four (4) VIA's in order to connect the two (2) metals.
  • the user has the option to automatically correct the situation by clicking on the: FIX button, located within the Information Window.
  • the system Upon clicking on the FIX button in the Information Window, the system will create a new layout within the connection area (Surrounded by GREEN rectangle) and place four (4) VIA's.
  • the system maintains all design rules dimensions according to technology file.
  • FIG. 6 illustrates the tool's basic interface with layout editor.
  • the system offers Advise mode and Correct mode.
  • Advise Mode - User receives graphical feedback during IC layout construction. No automatic correction is performed.
  • Correct Mode - User actions are automatically corrected by the system to eliminate electromigration and/or self heat violations, maintaining the process design rules (DRC Clean) and layout connectivity (LVS Clean) correctness. User may check both options to activate the two modes at the same time. If none of these modes are checked, the system is disconnected from the layout editor.
  • DRC Clean process design rules
  • LVS Clean layout connectivity
  • FIG. 7 illustrates the tool's option to check the entire cell. With the selection of this option the entire cell that is loaded within the layout editor window is checked for electromigration and self heat. Violation(s) will be shown as violation markers. In addition a log file is generated with a complete coordinates and description of each violation.
  • FIG. 8 illustrates a flow chart for one example of a method for eliminating electromigration and/or self heat violations during construction of a mask layout block in accordance with teachings of the present invention.
  • the processing instructions may include a commercially available layout editor interfaced with an electromigration-self-heat Aware (EMSH Aware) tool.
  • EMSH Aware electromigration-self-heat Aware
  • the EMSH Aware tool may provide the ability to analyze the width, length and placement of polygons in a mask layout block and determine if an electromigration and/or self heat violation is created.
  • the EMSH Aware tool may provide the ability to analyze the number of contacts and VIA's, determine the amount needed in order to comply with electromigration and self heat rules.
  • the EMSH Aware tool may be operated in two different modes: an Advise mode and a Correct mode.
  • the EMSH Aware tool may graphically display a violation marker which shows the required width, length or space of the selected polygon without violating any electromigration and/or self heat or design rules included in a technology and/or external constraints file.
  • the EMSH Aware tool may prevent or adjust the creation, placement or edition of polygons in order to eliminate electromigration and/or self heat and design rule violation, maintaining the process design rules (DRC Clean) and layout connectivity (LVS Clean) correctness.
  • DRC Clean process design rules
  • LVS Clean layout connectivity
  • the EMSH Aware tool reads a technology and/or external constraints file corresponding to a desired manufacturing process.
  • the technology file may contain design rules for the desired manufacturing process that ensures an integrated circuit fabricated on a semiconductor wafer functions correctly.
  • the technology file may contain electromigration and self heat rules to ensure reliable integrated circuit operation for desired time period.
  • the tool has an option to read another constraints file which contains layout extraction information (resistance and capacitance values) per circuit net.
  • the electromigration and self heat rules may define the minimum or maximum allowable feature dimensions (e.g., metal and polysilicons wires width, spaces and length) for the desired manufacturing process.
  • the EMSH Aware tool uses the electromigration and self heat rules to prevent the layout designer from creating electromigration and self heat violations during the construction of the mask layout block, maintaining the process design rules (DRC Clean) and layout connectivity (LVS Clean) correctness.
  • DRC Clean process design rules
  • LVS Clean layout connectivity
  • the layout designer may select a polygon by moving a cursor over the desired polygon or selecting it.
  • the EMSH Aware tool uses the electromigration and self heat rules to graphically display the required length, width or space through a violation marker, within the mask layout block where the layout designer may move, place, create or edit a polygon. If the layout designers selects, create or move contacts or VIA's the EMSH Aware tool may graphically guide for the amount, location and space of the contacts or VIA's, maintaining the process design rules (DRC Clean) and layout connectivity (LVS Clean) correctness.
  • DRC Clean process design rules
  • LVS Clean layout connectivity
  • the EMSH Aware tool may graphically represent the violation marker in the mask layout block by highlighting the required width, length or space with an appropriate color and/or pattern.
  • the violation marker color and/or pattern can be set in an initial tool setup.
  • the EMSH Aware tool may show an Information Window with the current and required conditions. The Information Window also provides with the option to correct the violation.
  • the EMSH Aware tool may prevent the layout designer from creating, placing or editing a polygon in a position within the mask layout block that will cause an electromigration and/or self heat violation. If the layout designer attempts to create a polygon in a certain width or length that does not comply with the electromigration and/or self heat requirements, the EMSH Aware tool automatically adjusts the polygon to the correct width or length size. Another example, if the layout designer is stretching a metal polygon's edge, the EMSH Aware tool automatically stretches the edge to the required length to comply with electromigration and/or self heat rule.
  • the EMSH Aware tool will automatically adjust the amount and location of the VIA's to meet electromigration and/or self heat rules.
  • the VIA's that will be placed maintaining design rule correctness regarding distance, width, length and metal coverage.
  • the EMSH Aware tool is included an entire layout block Check mode. This mode is aimed to be activation with the completion of the entire layout block. Using this feature the entire block will be analyzed for electromigration and self heat violations. When analysis is complete all violations will be shown using violation marker. This mode operates in flat or fully hierarchical mode.
  • the processing instructions for correcting electromigration and/or self heat violations in a mask layout file may be encoded in computer- usable media.
  • Such computer-usable media may include, without limitation, storage media such as floppy disks, hard disks, CD-ROMS, DVDs, read-only memory, and random access memory; as well as communications media such wires, optical fibers, microwaves, radio waves, and other electromagnetic or optical carriers.

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

A system and method for automatic elimination of electromigration (EM) and self heat (SH) violations during construction of a mask layout block, maintaining the process design rules (DRC Clean) and layout connectivity (LVS Clean) correctness, are disclosed. The method includes analyzing a selected polygon for space, width and length, in a mask layout block and obtaining one or more electromigration and/or self heat rules associated with the polygon from a technology and an external constraints file. The method also includes analyzing contacts and VIA's for amount and location in order to comply with electromigration and self heat rules. The method provides a violation marker associated with the selected position for the polygon that graphically represents a width, space, length and other polygon's physical characteristics within the mask layout block where the selected polygon complies with the electromigration and/or self heat violation. The method and system also provides an option to automatically correct the electromigration (EM) and self heat violation of the mask layout block, maintaining the process design rules (DRC Clean) and layout connectivity (LVS Clean) correctness.

Description

TITLE OF INVENTION
System and method for automatic elimination of electromigration and self heat violations during construction of a mask layout block, maintaining process design rules and layout connectivity.
BACKGROUND OF INVENTION TECHNICAL FIELD OF THE INVENTION
The present invention is generally related to the field of integrated circuits, and more particularly to a system and method for eliminating electromigration and self heating violations during construction of a mask layout block, maintaining the process design rules (DRC Clean) and layout connectivity (LVS Clean) correctness, in the metallic, polysilicon, contacts and VIA's interconnects of an integrated circuit device.
BACKGROUND OF THE INVENTION
Nanometer designs contain millions of devices and operate at very high frequencies. The current densities (current per cross-sectional area) in the signal lines and power are consequently high and can result in either signal or power electromigration problems. The electron movement induced by the current in the metal power lines causes metal ions to migrate. That phenomenon of transport of mass in the path of a DC flow, as in the metal power lines in the design, is termed power electromigration. There are two types of electromigration. Uni-Directional, for example power and static signals and Bi-Directional, for example clocks and other switching signals. The most critical is the Uni-Directional electromigration type since the electron 'erosion' move constantly in one direction and can cause signal line failure. The power electromigration effect is harmful from the point of view of design reliability, since the transport of mass can cause open circuits, or shorts, to neighboring wires. Electromigration is actually not a function of current, but a function of current density. It is also accelerated by elevated temperature. Thus, electromigration is easily observed in Al metal lines that are subjected to high current densities at high temperature over time. The higher current density around the void results in localized heating that further accelerates the growth of the void, which again increases the current density. The cycle continues until the void becomes large enough to cause the metal line to fuse open. Typically the most susceptible to electromigration phenomenon are metallic interconnections of integrated circuit. (IC) EM effects become more prominent as IC feature sizes decrease and as IC frequencies and current densities increase.
EM in IC devices occurs due to direct current flow. High direct current density in an IC device causes atoms and ions in the conductors of the device to move in the opposite direction of the direct current flow. In particular, when high direct current densities pass through thin conductors, metal ions accumulate in some regions and voids form in other regions of the conductors. The accumulation of metal ions may result in a short circuit to adjacent conductors and the voids may result in an open-circuit condition. However, if the current density can be kept below a predetermined EM threshold, EM can be rendered negligible for the life of any particular IC device. Therefore, EM due to direct current flow in IC devices is a major concern with respect to the potential for device failures and the overall reliability of the device.
IC devices may also have alternating current flow. The alternating current density in an IC device that results from alternating current flow causes atoms and ions in the conductors of the device to first move in one direction and then move in the opposite direction, back to their original positions. A plurality of conductors with alternating current flow is defined as a signal net. In contrast to conductors with direct current flow, conductors with alternating current flow do not directly cause EM problems. However, conductors with alternating current flow do use power and generate heat. Since EM is very sensitive to the temperature of the conductors, it is often necessary to limit the temperature increase of the conductors in IC devices that results from the heating due to alternating current flow. Therefore, the alternating current flow in a conductor does have an impact on EM because the heating due conductors with alternating current may increase the overall temperature of the IC device by heating up neighboring conductors with direct current flow.
As noted above, EM effects also become more prominent as IC feature size decreases. To counteract this effect, background art methods for controlling EM used wider conductor widths for an entire IC wiring network affected by EM. However, since EM problems become less severe as one moves away from a current source pin and toward each of the current sink pins of a wiring network, wider conductor widths are typically not required for the entire IC wiring network. Often, only a small segment of the IC wiring network needs the wider conductor width to eliminate EM problems for the entire IC wiring network. Therefore, these background art methods that use wider conductors throughout the IC wiring network often wastes valuable space on the IC device.
Other background art methods provide EM control by setting limits on the power dissipated in conductors with alternating current flow. In these background art methods adjacent conductors with direct current flow are only allowed to be heated by a maximum temperature difference .DELTA.T.sub.MAX in order to maintain the reliability of the IC device. In particular, to limit the heat generated as a result of the temperature difference .DELTA.T caused by alternating current flow in adjacent conductors, a maximum root-mean-square (RMS) current limit (I. sub. RMS) is set for all conductors with alternating current flow adjacent to a conductor with direct current flow. The maximum current limit is set by: (1) considering the minimum distance between conductors with alternating current flow and conductors with direct current flow; and (2) the maximum temperature difference .DELTA.T.sub.MAX that maintains the reliability of the IC device. However, using this type of worst-case "minimum distance- between-conductors" approach to determine space between conductors also wastes valuable space on the IC device.
Electromigration failures take time to develop, and are therefore very difficult to detect until it happens. Thus, the best solution to electromigration problems is to prevent them from taking place. Therefore, it is imperative to eliminate electromigration and self heating issues in order to maintain a reliable integrated circuit operation for many years. The system and method described in this invention eliminates electromigration and self heating issues early in the IC layout design phase. In this way a significant amount of time is saved during the final reliability verification of the integrated circuit, achieving on-time tape outs and avoiding re-spins. SUMMARY OF THE INVENTION
In accordance with the present invention, the disadvantages and problems associated with eliminating electromigration and self heat violations during construction of a mask layout block, maintaining the process design rules (DRC Clean) and layout connectivity (LVS Clean) correctness, have been substantially reduced or eliminated. In a particular embodiment, a method for eliminating electromigration and self heat violations during construction of a mask layout block includes automatically preventing a polygon from being placed, created or edited in a selected position in a mask layout block if an electromigration and self heat rule violation is identified.
In accordance with one embodiment of the present invention, an automated method for eliminating electromigration and self heat violations during construction of a mask layout block includes analyzing a selected polygon(s) in a mask layout block and obtaining one or more electromigration and self heat rules associated with the polygon from a technology or external constraints file. The method provides a violation marker associated with the selected position for the polygon that graphically represents a space, width or length in the mask layout block where the selected polygon's position complies with the electromigration and self heat rules.
In accordance with another embodiment of the present invention, an automated method for eliminating electromigration and self heat violations during construction of a mask layout block includes analyzing a selected polygon in a mask layout block and identifying a electromigration and self heat violation in the mask layout block if the selected position, with or length of the polygon is less than electromigration and self heat value permitted from a technology or external constraints file. If the electromigration and self heat violation is identified, the placement, creation or edition of the polygon at the selected position is automatically prevented, maintaining the process design rules (DRC Clean) and layout connectivity (LVS Clean) correctness.
In accordance with a further embodiment of the present invention, a computer system for eliminating electromigration and self heat violations during construction of a mask layout block, maintaining the process design rules (DRC Clean) and layout connectivity (LVS Clean) correctness includes a processing resource coupled to a computer readable memory. Processing instructions are encoded in the computer readable memory. When the processing instructions are executed by the processing resource, the instructions analyze a selected polygon in a mask layout block and identify an electromigration and self heat violation in the mask layout block if the selected position is less than an electromigration and self heat rule from a technology or external constraints file. If the electromigration and self heat violation is identified, the instructions prevent the polygon from being placed, created or edited at the selected position in the mask layout block, maintaining the process design rules (DRC Clean) and layout connectivity (LVS Clean) correctness.
Important technical advantages of certain embodiments of the present invention include an electromigration-self heat aware (EMSH Aware) tool that prevents electromigration and self heat violations from being created during the construction of a mask layout block. A layout designer may move a cursor or click on a polygon in order to select it. The EMSH Aware tool highlights a violation marker that may represent a width, space or length in the layout block to eliminate electromigration and self heat violation according to technology or external constraints file. In addition the EMSH Aware tool provides an information window with the current and required electromigration and self heat conditions related to the selected polygon. The information window includes an option to perform an automatic correction of the selected polygon, also can be done by Right-Click of the mouse. With the activation of the correction action on the polygon the system will change the selected polygon width, length or space according to electromigration and self heat rules taken from technology or external constraints file, maintaining the process design rules (DRC Clean) and layout connectivity (LVS Clean) correctness. In case of contacts or vias individual or multiple selections, the system will automatically adjust the amount of contacts or vias according to electromigration and self heat rules taken from technology or external constraints file, maintaining the process design rules (DRC Clean) and layout connectivity (LVS Clean) correctness. The mask layout block, therefore, may be created free of electromigration and self heat violations.
Another important technical advantage of certain embodiments of the present invention includes EMSH Aware tool that significantly reduces the design time for an integrated circuit. In a typical integrated circuit design process, an electromigration and self heat check (EMSH Check) tool analyzes a mask layout file for electromigration and self heat violations and identifies any violations in an output file. A layout designer may use the output file to manually eliminate the identified electromigration and self heat violations. Then the same IC layout block needs to be re-checked for electromigration and self heat again and also other checks like DRC (Design Rule Check) and LVS (Layout vs. Schematics) to make sure that the connectivity and geometrical sizes are correct according to technology file and schematics respectfully. These repeated cycles are time consuming and tedious procedures that can be eliminated using the presented invention. In addition, the present invention may eliminate electromigration and self heat violations from a mask layout block before the mask layout block is converted into a mask layout file. The time needed to complete the design process for the integrated circuit, therefore, may be substantially reduced since the steps of checking the layout with an EMSH tool and correcting the identified electromigration and self heat violations may be eliminated.
All, some, or none of these technical advantages may be present in various embodiments of the present invention. Other technical advantages will be readily apparent to one skilled in the art from the following figures, descriptions, and claims.
BRIEF DESCRIPTION OF THE DRAWINGS
A more complete and thorough understanding of the present embodiments and advantages thereof may be acquired by referring to the following description taken in conjunction with the accompanying drawings, in which like reference numbers indicate like features, and wherein:
FIG. 1 illustrates four Metals wires. These are given without electromigration or self heat analysis;
FIG. 2 illustrates four Metals, each selected and analyzed for electromigration and/or self heat violation.
Metal 1 wire has LENGTH violation shown as a dashed line violation marker.
Metal 2 wire has WIDTH violation shown as a dashed line violation marker.
Metal 3 wire has PARTIAL WIDTH violation shown as a dashed line violation marker.
Metal 4 wire has WIDTH and LENGTH violation shown as a dashed line violation marker.
The boundaries of the violation marker have to be met in order to eliminate the electromigration and/or self heat violation;
FIG. 3 illustrates the information window. Upon a user selection of a Metal 1 wire, an information window is opened. User has the option to FIX the selected polygon by clicking on the: FIX button or close it by clicking on the Close button;
FIG. 4 illustrates a layout view of the example Metals connections. In this example two (2) Metals [Metal 1 and Metal 2] are connected through two (2) VIA's.
FIG. 5 illustrates a layout view of the example Metals connections. In this example two (2) Metals [Metal 1 and Metal 2] are connected through two (2) VIA's. The information window shows the system's recommendation to place four (4) VIA's in order to connect the two (2) metals. The user has the option to automatically correct the situation by clicking on the: FIX button, located within the Information Window. Upon clicking on the FIX button in the Information Window, the system will create a new layout within the connection area (Surrounded by GREEN rectangle) and place four (4) VIA's. The system maintains all design rules dimensions according to technology file.
FIG. 6 illustrates the tool's basic interface with layout editor. The system offers Advise mode and Correct mode.
Advise Mode - User receives graphical feedback during IC layout construction. No automatic correction is performed.
Correct Mode - User actions are automatically corrected by the system to eliminate electromigration and/or self heat violations, maintaining the process design rules (DRC Clean) and layout connectivity (LVS Clean) correctness. User may check both options to activate the two modes at the same time. If none of these modes are checked, the system is disconnected from the layout editor.
FIG. 7 illustrates the tool's option to check the entire cell. With the selection of this option the entire cell that is loaded within the layout editor window is checked for electromigration and self heat. Violation(s) will be shown as violation markers. In addition a log file is generated with a complete coordinates and description of each violation.
FIG. 8 illustrates a flow chart for one example of a method for eliminating electromigration and/or self heat violations during construction of a mask layout block in accordance with teachings of the present invention.
DETAILED DESCRIPTION OF THE INVENTION
The processing instructions may include a commercially available layout editor interfaced with an electromigration-self-heat Aware (EMSH Aware) tool. The EMSH Aware tool may provide the ability to analyze the width, length and placement of polygons in a mask layout block and determine if an electromigration and/or self heat violation is created. In addition the EMSH Aware tool may provide the ability to analyze the number of contacts and VIA's, determine the amount needed in order to comply with electromigration and self heat rules. The EMSH Aware tool may be operated in two different modes: an Advise mode and a Correct mode. When operating in the Advise mode, the EMSH Aware tool may graphically display a violation marker which shows the required width, length or space of the selected polygon without violating any electromigration and/or self heat or design rules included in a technology and/or external constraints file. In the Correct mode, the EMSH Aware tool may prevent or adjust the creation, placement or edition of polygons in order to eliminate electromigration and/or self heat and design rule violation, maintaining the process design rules (DRC Clean) and layout connectivity (LVS Clean) correctness.
When a layout designer creates a mask layout block, the EMSH Aware tool reads a technology and/or external constraints file corresponding to a desired manufacturing process. The technology file may contain design rules for the desired manufacturing process that ensures an integrated circuit fabricated on a semiconductor wafer functions correctly. In addition the technology file may contain electromigration and self heat rules to ensure reliable integrated circuit operation for desired time period. Furthermore, the tool has an option to read another constraints file which contains layout extraction information (resistance and capacitance values) per circuit net. Within the mask layout block, the electromigration and self heat rules may define the minimum or maximum allowable feature dimensions (e.g., metal and polysilicons wires width, spaces and length) for the desired manufacturing process. The EMSH Aware tool then uses the electromigration and self heat rules to prevent the layout designer from creating electromigration and self heat violations during the construction of the mask layout block, maintaining the process design rules (DRC Clean) and layout connectivity (LVS Clean) correctness.
If the layout designer chooses to operate in Advise mode, the layout designer may select a polygon by moving a cursor over the desired polygon or selecting it. The EMSH Aware tool uses the electromigration and self heat rules to graphically display the required length, width or space through a violation marker, within the mask layout block where the layout designer may move, place, create or edit a polygon. If the layout designers selects, create or move contacts or VIA's the EMSH Aware tool may graphically guide for the amount, location and space of the contacts or VIA's, maintaining the process design rules (DRC Clean) and layout connectivity (LVS Clean) correctness.
The EMSH Aware tool may graphically represent the violation marker in the mask layout block by highlighting the required width, length or space with an appropriate color and/or pattern. The violation marker color and/or pattern can be set in an initial tool setup. In addition the EMSH Aware tool may show an Information Window with the current and required conditions. The Information Window also provides with the option to correct the violation.
If the layout designer chooses to operate in Correct mode, the EMSH Aware tool may prevent the layout designer from creating, placing or editing a polygon in a position within the mask layout block that will cause an electromigration and/or self heat violation. If the layout designer attempts to create a polygon in a certain width or length that does not comply with the electromigration and/or self heat requirements, the EMSH Aware tool automatically adjusts the polygon to the correct width or length size. Another example, if the layout designer is stretching a metal polygon's edge, the EMSH Aware tool automatically stretches the edge to the required length to comply with electromigration and/or self heat rule. Another example, if the layout designer is placing a certain amount of VIA's on a connection area between Metal 3 and Metal4 polygons the EMSH Aware tool will automatically adjust the amount and location of the VIA's to meet electromigration and/or self heat rules. The VIA's that will be placed maintaining design rule correctness regarding distance, width, length and metal coverage.
Both two modes operate in flat mode and hierarchical mode. When layout designer chooses to work in hierarchical mode, the EMSH Aware tool will graphically guide about electromigration and self heat violations throughout the hierarchy in Advise mode. The EMSH Aware tool will enforce electromigration and self heat violation elimination throughout the hierarchy in Correct mode. 7 003253
16
The EMSH Aware tool is included an entire layout block Check mode. This mode is aimed to be activation with the completion of the entire layout block. Using this feature the entire block will be analyzed for electromigration and self heat violations. When analysis is complete all violations will be shown using violation marker. This mode operates in flat or fully hierarchical mode.
The processing instructions for correcting electromigration and/or self heat violations in a mask layout file may be encoded in computer- usable media. Such computer-usable media may include, without limitation, storage media such as floppy disks, hard disks, CD-ROMS, DVDs, read-only memory, and random access memory; as well as communications media such wires, optical fibers, microwaves, radio waves, and other electromagnetic or optical carriers.

Claims

7 00325317Claims
1. An automated method for eliminating electromigration and/or self heat violations during construction of a mask layout block, maintaining the process design rules (DRC Clean) and layout connectivity (LVS Clean) correctness, comprising: analyzing a selected polygon in the mask layout block; obtaining one or more electromigration and/or self heat rule associated with the polygon from a technology and/or external constraints file; providing an information window with the current and required integrated circuit electromigration and/or self heat parameters; providing a violation marker associated with the selected position for the polygon, the violation marker operable to graphically represent a width, space, length or any other polygon's characteristic (Polygon's Metal type) in the mask layout block where the selected polygon complies with the electromigration and/or self heat rules: and automatically preventing a layout designer from creating, placing or editing the polygon at the selected position based on the violation marker if the selected position creates an electromigration or self heat rule violation.
2. The method of claim 1, further comprising: analyzing the mask layout block during its construction for existence of electromigration and/or self heat violations which are determined by a technology file and/or external constraints ASCII file which contains net's capacitance, resistance parameters and other integrated circuit relate reliability factors.
3. The method of claim 1, further comprising: determined if a selected area, through a selection box, contains sufficient amount of CONTACT or VIA polygons in order to comply with electromigration and/or self heat rule, 7 003253
18
taken from a technology and/or external constraints file; and automatically modifying the amount of CONATCTS or VIA polygons according to electromig ration and/or self heat rule until matching the minimum required according to technology and/or external constraints file rule, maintaining the process design rules (DRC Clean) and layout connectivity (LVS Clean) correctness.
4. The method of claim 1, further comprising: determining if the selected position for the polygon creates a feature dimension in the mask layout block (space, width or length) greater than at least one of the electromigration and/or self heat rules; and modifying the selected position until the feature dimension is approximately equal to the at least one electromigration and/or self heat rule, maintaining the process design rules (DRC Clean) and layout connectivity (LVS Clean) correctness.
5. The method of claim 1, further comprising the electromigration and/or self heat rules selected from a group consisting of a metals spacing, polysilicon spacing, contact spacing and all types of VIA spacing, maintaining the process design rules (DRC Clean) and layout connectivity (LVS Clean) correctness.
6. The method of claim 1, further comprising the electromigration and/or self heat rules selected from a group consisting of a metals length, polysilicon length, contact length and all types of VIA length.
7. The method of claim 1, further comprising the electromigration and/or self heat rules selected from a group consisting of a metals width, polysilicon width, contact width and all types of VIA width.
8. The method of claim 1, wherein the selected position for the polygon comprises a location for the polygon in the mask layout block.
9. The method of claim 1, wherein the selected position for the polygon comprises a location for edges of the polygon in the mask layout block.
10. The method of claim 1, wherein the mask layout block is hierarchical.
11. An automated method for eliminating electromigration and/or self heat violations during construction of a mask layout block, maintaining the process design rules (DRC Clean) and layout connectivity (LVS Clean) correctness, comprising: analyzing a selected polygon in the mask layout block; providing a violation marker associated with the polygon; determining if the selected position, width or length of the selected polygon produces a electromigration and/or self heat violation in the mask layout block based on a electromigration or self heat rule taken from a technology and/or external constraints file; and automatically preventing a layout designer from creating, placing or editing the polygon in the mask layout block at the selected position based on the violation marker if the electromigration or self heat violation exists.
12. The method of claim 11, further comprising automatically placing the polygon in an original position in the mask layout block if the electromigration and/or self heat violation exists, maintaining the process design rules (DRC Clean) and layout connectivity (LVS Clean) correctness.
13. The method of claim 11, further comprising automatically adjusting the selected position until the electromigration and/or self heat violation is eliminated, maintaining the process design rules (DRC Clean) and layout connectivity (LVS Clean) correctness.
14. The method of claim 11, further comprising automatically adjusting the width of the selected polygon until the electromigration and/or self heat violation is eliminated, maintaining the process design rules (DRC Clean) and layout connectivity (LVS Clean) correctness.
15. The method of claim 11, further comprising automatically adjusting the length of the selected polygon until the electromigration and/or self heat violation is eliminated, maintaining the process design rules (DRC Clean) and layout connectivity (LVS Clean) correctness.
16. The method of claim 11, further comprising automatically adjusting the amount of the selected contacts or VIAs until the electromigration and/or self heat violation is eliminated, maintaining the process design rules (DRC Clean) and layout connectivity (LVS Clean) correctness.
17. The method of claim 11, wherein the mask layout block is hierarchical.
18. The method of claim 11, further comprising: the mask layout block including at least one top-level cell and one or more instances of a subcell located in the top-level cell; and determining if the selected position produces an electromigration and/or self heat violation in one or more instances of a subcell in the mask layout block, the subcell located in a top- level cell; and simultaneously preventing the layout designer from creating or placing the polygon in mask layout block at the selected position based on the violation marker in each instance of the subcell if the eiectromigration and/or self heat violation exists, maintaining the process design rules (DRC Clean) and layout connectivity (LVS Clean) correctness.
19. The method of claim 11, further comprising generating a mask layout file from the mask layout block that does not include the eiectromigration and/or self heat violation.
20. A computer system for eliminating eiectromigration and/or self heat violations during construction of a mask layout block, maintaining the process design rules (DRC Clean) and layout connectivity (LVS Clean) correctness, comprising: a processing resource; a computer readable memory; and processing instructions encoded in the computer readable memory, the processing instructions, when executed by the processing resource, operable to perform operations comprising: analyzing a selected polygon in the mask layout block; providing a violation marker associated with the polygon; providing an information window with the current and required integrated circuit eiectromigration and/or self heat parameters; determining if the selected position, width or length of the selected polygon produces a eiectromigration and/or self heat violation in the mask layout block based on an eiectromigration and/or self heat rule taken from a technology and/or external constraints file; and automatically preventing a layout designer from creating, placing or editing the polygon in the mask layout block at the selected position based on the violation marker if the eiectromigration and/or self heat violation exists, maintaining the process design rules (DRC Clean) and layout connectivity (LVS Clean) correctness.
21. The system of claim 20, further comprising the instructions operable to perform operations including automatically placing the polygon in an original position in the mask layout block if the electromigration and/or self heat violation exists, maintaining the process design rules (DRC Clean) and layout connectivity (LVS Clean) correctness.
22. The system of claim 20, further comprising the instructions operable to perform operations including automatically adjusting the selected position until the electromigration and/or self heat violation is eliminated, maintaining the process design rules (DRC Clean) and layout connectivity (LVS Clean) correctness.
23. The system of claim 22, further comprising the instructions operable to perform operations including automatically adjusting the width and/or length of the selected polygon until the electromigration and/or self heat violation is eliminated, maintaining the process design rules (DRC Clean) and layout connectivity (LVS Clean) correctness.
24. The system of claim 20, further comprising the instructions operable to perform operations including automatically adjusting partial part of the polygon's width and/or length until the electromigration and/or self heat violation is eliminated.
25. The system of claim 20, further comprising the instructions operable to perform operations including: determining if the selected position for the polygon creates an electromigration and/or self heat violation in the mask layout block according to electromigration and/or self heat rule taken from a technology and/or external constraints file; and modifying the selected polygon position, width or length until the electromigration and/or self heat is approximately equal to the associated technology file rule and/or complying with external constraints file rule according to priority.
26. Software for eliminating electromigration and/or self heat violations during construction of a mask layout block, maintaining the process design rules (DRC Clean) and layout connectivity (LVS Clean) correctness, the software being embodied in computer-readable media and when executed operable to: analyze a selected polygon in the mask layout block; providing a violation marker associated with the polygon; providing an information window with the current and required integrated circuit electromigration and/or self heat parameters; and determining if the selected position, width or length of the selected polygon produces an electromigration and/or self heat violation in the mask layout block based on an electromigration and/or self heat rule from a technology and/or external constraints file; and automatically prevent a layout designer from creating, placing or editing the polygon in the mask layout block at the selected position based on the violation marker if the electromigration and/or self heat violation exists, maintaining the process design rules (DRC Clean) and layout connectivity (LVS Clean) correctness.
27. The software of claim 26, further operable to automatically place the polygon in an original position in the mask layout block if the electromigration and/or self heat violation exists, maintaining the process design rules (DRC Clean) and layout connectivity (LVS Clean) correctness. US2007/003253
24
28. The software of claim 26, further operable to automatically adjust the selected polygon's position and width and length until the electromigration and/or self heat violation is eliminated, maintaining the process design rules (DRC Clean) and layout connectivity (LVS Clean) correctness.
29. The software of claim 26, further operable to automatically adjust the selected polygon's position and partial width and length until the electromigration and/or self heat violation is eliminated, maintaining the process design rules (DRC Clean) and layout connectivity (LVS Clean) correctness.
30. The software of claim 26, further operable to automatically adjust selected VIA's position and/or amount until the electromigration and/or self heat violation is eliminated, maintaining the process design rules (DRC Clean) and layout connectivity (LVS Clean) correctness.
31. The software of claim 26, further operable to automatically adjust selected CONTACTS position and/or amount until the electromigration and/or self heat violation is eliminated, maintaining the process design rules (DRC Clean) and layout connectivity (LVS Clean) correctness.
32. The software of claim 26 further has the feature to work in CORRECT mode. In CORRECT mode all edited, placed or created polygons are automatically made electromigration and/or self heat correct, maintaining the process design rules (DRC Clean) and layout connectivity (LVS Clean) correctness.
PCT/US2007/003253 2007-02-06 2007-02-06 System and method for automatic elimination of electromigration and self heat violations during construction of a mask layout block, maintaining process design rules and layout connectivity. WO2008097219A2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
PCT/US2007/003253 WO2008097219A2 (en) 2007-02-06 2007-02-06 System and method for automatic elimination of electromigration and self heat violations during construction of a mask layout block, maintaining process design rules and layout connectivity.

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/US2007/003253 WO2008097219A2 (en) 2007-02-06 2007-02-06 System and method for automatic elimination of electromigration and self heat violations during construction of a mask layout block, maintaining process design rules and layout connectivity.

Publications (2)

Publication Number Publication Date
WO2008097219A2 true WO2008097219A2 (en) 2008-08-14
WO2008097219A3 WO2008097219A3 (en) 2008-11-13

Family

ID=39682222

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2007/003253 WO2008097219A2 (en) 2007-02-06 2007-02-06 System and method for automatic elimination of electromigration and self heat violations during construction of a mask layout block, maintaining process design rules and layout connectivity.

Country Status (1)

Country Link
WO (1) WO2008097219A2 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8082525B2 (en) * 2008-04-15 2011-12-20 Luminescent Technologies, Inc. Technique for correcting hotspots in mask patterns and write patterns

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5581475A (en) * 1993-08-13 1996-12-03 Harris Corporation Method for interactively tailoring topography of integrated circuit layout in accordance with electromigration model-based minimum width metal and contact/via rules
US20060101367A1 (en) * 2004-11-08 2006-05-11 Matsushita Electric Industrial Co., Ltd. Design method of semiconductor device and semiconductor device

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5581475A (en) * 1993-08-13 1996-12-03 Harris Corporation Method for interactively tailoring topography of integrated circuit layout in accordance with electromigration model-based minimum width metal and contact/via rules
US20060101367A1 (en) * 2004-11-08 2006-05-11 Matsushita Electric Industrial Co., Ltd. Design method of semiconductor device and semiconductor device

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8082525B2 (en) * 2008-04-15 2011-12-20 Luminescent Technologies, Inc. Technique for correcting hotspots in mask patterns and write patterns

Also Published As

Publication number Publication date
WO2008097219A3 (en) 2008-11-13

Similar Documents

Publication Publication Date Title
US20080086709A1 (en) System and method for automatic elimination of electromigration and self heat violations during construction of a mask layout block, maintaining the process design rules (DRC Clean) and layout connectivity (LVS Clean) correctness
US20080086708A1 (en) System and method for automatic elimination of electromigration and self heat violations of a mask layout block, maintaining the process design rules correctness
US20090031264A1 (en) System and method for finding electromigration, self heat and voltage drop violations of an integrated circuit when its design and electrical characterization are incomplete
US6892368B2 (en) Patching technique for correction of minimum area and jog design rule violations
US6804808B2 (en) Redundant via rule check in a multi-wide object class design layout
US9922161B2 (en) IC layout adjustment method and tool for improving dielectric reliability at interconnects
US7007258B2 (en) Method, apparatus, and computer program product for generation of a via array within a fill area of a design layout
US20080115102A1 (en) System and method for automatic elimination of connectivity mismatches during construction of a mask layout block, maintaining process design rule correctness
US20080127020A1 (en) System and method for automatic elimination of voltage drop, also known as IR drop, violations of a mask layout block, maintaining the process design rules correctness
US5410490A (en) Electromigration verification method and apparatus
US7721240B2 (en) Systematic yield in semiconductor manufacture
US6684377B2 (en) Access cell design and a method for enabling automatic insertion of access cells into an integrated circuit design
EP3239865A1 (en) Method for analyzing ir drop and electromigration of ic
KR100565404B1 (en) Semiconductor integrated circuit, method of designing semiconductor integrated circuit, and device for designing the same
US7698679B2 (en) Method and apparatus for automatic routing yield optimization
US20030237070A1 (en) Semiconductor circuit designing method, semiconductor circuit designing apparatus, program, and semiconductor device
WO2008097219A2 (en) System and method for automatic elimination of electromigration and self heat violations during construction of a mask layout block, maintaining process design rules and layout connectivity.
CN115587569B (en) Method, system and storage medium for checking design rule of chip layout
US11763062B2 (en) Systems and methods for eliminating electromigration and self-heat violations in a mask layout block
WO2008094143A2 (en) System and method for automatic elimination of electromigration and self heat violations of a mask layout block, maintaining the process design rules (drc clean) and layout connectivity (lvs clean) correctness.
CN105868438A (en) Methods for redistributing cell densities and computer
WO2009002301A1 (en) System and method for automatic elimination of voltage drop
US8694926B2 (en) Techniques for checking computer-aided design layers of a device to reduce the occurrence of missing deck rules
Chang et al. How to consider shorts and guarantee yield rate improvement for redundant wire insertion
US7328417B2 (en) Cell-based method for creating slotted metal in semiconductor designs

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 07750123

Country of ref document: EP

Kind code of ref document: A2

NENP Non-entry into the national phase in:

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 07750123

Country of ref document: EP

Kind code of ref document: A2