WO2007123378A1 - Réacteur à plasma à chambre à plasma couplée à un canal de flux magnétique - Google Patents

Réacteur à plasma à chambre à plasma couplée à un canal de flux magnétique Download PDF

Info

Publication number
WO2007123378A1
WO2007123378A1 PCT/KR2007/002034 KR2007002034W WO2007123378A1 WO 2007123378 A1 WO2007123378 A1 WO 2007123378A1 KR 2007002034 W KR2007002034 W KR 2007002034W WO 2007123378 A1 WO2007123378 A1 WO 2007123378A1
Authority
WO
WIPO (PCT)
Prior art keywords
magnetic flux
plasma
plasma reactor
substrate
gas
Prior art date
Application number
PCT/KR2007/002034
Other languages
English (en)
Inventor
Dae-Kyu Choi
Joung-Ho Lee
Original Assignee
New Power Plasma Co., Ltd.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from KR1020060036969A external-priority patent/KR100743842B1/ko
Priority claimed from KR1020060098377A external-priority patent/KR100883561B1/ko
Application filed by New Power Plasma Co., Ltd. filed Critical New Power Plasma Co., Ltd.
Publication of WO2007123378A1 publication Critical patent/WO2007123378A1/fr

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means

Definitions

  • the present invention relates to a plasma source that generates active gases including ions, free radicals, atoms, and molecules by the plasma discharge to perform plasma processing of solids, powders, and gases, and more particularly, to a plasma reactor having a plasma chamber coupled with a magnetic flux channel.
  • Plasma discharge is used for gas excitation for generating active gases such as ions, free radicals, atoms, and molecules.
  • active gases such as ions, free radicals, atoms, and molecules.
  • the active gases are used in various fields of application, typically in a semiconductor manufacturing process, such as etching, depositing, and cleansing.
  • a ca- pacitively coupled plasma source and an inductively coupled plasma source, using a radio frequency are typically used.
  • the inductively coupled plasma source can easily increase ion density in accordance with an increase in a radio frequency power source so that it is suitable for obtaining high density plasma.
  • an inductively coupled plasma method since energy coupled with plasma is lower than supplied energy, uses a high voltage driving coil. Therefore, the ion energy is so high that the inner surface of the plasma reactor may be damaged by ion bombardment.
  • the damage of the inner surface of the plasma reactor caused by the ion bombardment serves as a plasma processing contamination source as well as shortens the lifespan of the plasma reactor.
  • energy coupled with the plasma is so low that plasma discharge is frequently turned off. Therefore, it is difficult to stably maintain the plasma.
  • the use of remote plasma is very useful.
  • the remote plasma is useful in an ashing process for cleansing a process chamber or for stripping photoresist.
  • a plasma source that can remotely supply the high density active gases is required.
  • the plasma source that can remotely supply the high density active gases is more required for a multi-process chamber that simultaneously processes a plurality of substrates. Disclosure of Invention
  • the present invention is directed to provide a plasma reactor having a plasma chamber coupled with an expandable magnetic flux channel in which transmission efficiency of inductively coupled energy increases to stably maintain plasma and to securely obtain high density plasma.
  • the present invention also provides a plasma reactor having a substrate process chamber coupled with an expandable magnetic flux channel in which transmission efficiency of inductively coupled energy increases to stably maintain plasma and to securely obtain uniform high density plasma.
  • a plasma reactor comprising: a magnetic core to form a magnetic flux channel between magnetic flux entrances to face each other by a distance; a magnetic flux induction coil wound around the magnetic core; a plasma chamber having a hollow region where plasma is generated and to form the magnetic flux channel, a gas inlet through which a plasma gas is injected into the hollow region, and a gas outlet through which the plasma gas generated in the hollow region is discharged; and a power source connected to the magnetic flux induction coil to supply an alternate current power such that the current of the magnetic flux induction coil flows due to power source, and an AC potential to generate the plasma in the hollow region of the plasma chamber is induced due to a change of the magnetic flux which is induced in the magnetic flux channel by the magnetic flux induction coil.
  • the hollow region of the plasma chamber may comprise a single hollow region between the gas inlet and the gas outlet.
  • the hollow region of the plasma chamber may comprise two or more separated gas flow channels between the gas inlet and the gas outlet.
  • the magnetic flux channel may be formed between magnetic flux entrances of a single magnetic core.
  • the magnetic flux channel may be formed between magnetic flux entrances of a separated magnetic core.
  • the plasma chamber may comprise a metal.
  • the plasma chamber may include at least one electrical insulating region so that electrical discontinuity is provided in the metal in order to minimize eddy current.
  • the plasma chamber may comprise a dielectric material.
  • the dielectric material of the plasma chamber may comprise a dielectric window formed in a part of a plasma chamber to be coupled with the magnetic flux channel.
  • the plasma chamber may comprise a cooling water supplying channel.
  • the plasma reactor according to an embodiment of the present invention may further comprise an ignition induction coil wound around the magnetic core; and ignition electrodes electrically connected to the ignition induction coil and provided in the plasma chamber.
  • the plasma reactor according to an embodiment of the present invention may further comprise an impedance matching circuit provided between a power source and a primary winding to perform an impedance matching.
  • the power source may be operated without an adjustable matching circuit.
  • the plasma reactor according to an embodiment of the present invention may further comprise a process chamber to receive and accommodate a plasma gas generated in the plasma chamber.
  • the plasma reactor according to an embodiment of the present invention may further comprise a structure to be loaded on the process chamber, wherein the power source is physically separated from the plasma reactor, and is remotely connected to the plasma reactor by a radio frequency cable.
  • the gas introduced into the plasma chamber may be selected from a group of an inert gas, a reaction gas, and a mixture of the inert gas and the reaction gas.
  • the magnetic flux entrances of the magnetic core may comprise surfaces divided into two or more parts, and the magnetic flux induction coil is wound along divisional recesses of the divided magnetic flux entrances.
  • the magnetic flux induction coil may comprise: a first induction coil wound around one of the magnetic flux entrances; a second induction coil wound around the other of the magnetic flux entrances; and a divisional power supply to receive the alternate current power from the power source and to divide the alternate current power by a phase difference to supply the divided alternate current power to the first and second induction coils.
  • a plasma reactor comprising: a magnetic core having magnetic flux entrances to face each other by a distance and to form a magnetic flux channel; a magnetic flux induction coil, wound around the magnetic core and driven to receive the alternate current power from the power source to form a magnetic flux channel between magnetic flux entrances; and a substrate process chamber connected to the magnetic flux channel and having a hollow region where a plasma discharge is generated, the substrate process chamber comprising: a substrate entrance formed at a side of the substrate process chamber; a substrate support to support a target substrate to be processed in the hollow region; a gas inlet; and a gas outlet.
  • the substrate support may support the target substrate in one of a vertically arranged state and a horizontally arranged state.
  • the plasma reactor according to another embodiment of the present invention may further comprise at least one gas distributing plate installed in the hollow region to face the substrate support and to uniformly distribute a process gas introduced through the gas inlet to be injected toward the substrate support.
  • the magnetic core may comprise: a first magnetic core having a first magnetic flux entrance to form a first magnetic flux channel; and a second magnetic core having a second magnetic flux entrance to form a second magnetic flux channel;
  • the substrate process chamber may comprise: a first substrate process chamber coupled with the first magnetic flux channel; and a second substrate process chamber coupled with the second magnetic flux channel.
  • the magnetic flux induction coil may comprise first and second induction coils independently wound around the first and second magnetic cores to form the first and second magnetic flux channels.
  • the magnetic flux induction coil may comprise a common induction coil commonly wound around the first and second magnetic cores to form the first and second magnetic flux channels.
  • the first and second magnetic cores may have one of an integrated structure and an in- dependent structure.
  • the first and second substrate process chambers may have independent substrate entrances or substrate entrances communicated with each other.
  • the first and second substrate process chambers may have substrate entrances communicated with each other, and the target substrate processed in the first substrate process chamber is fed to the second substrate process chamber.
  • the magnetic flux entrances of the magnetic core may comprise surfaces divided into at least two parts, and the magnetic flux induction coil is wound along divisional recesses of the divided magnetic flux entrances.
  • the magnetic flux induction coil may comprises a first induction coil wound around one of the magnetic flux entrances of the magnetic core; a second induction coil wound around the other one of the magnetic flux entrances; and a divisional power supply to receive the alternate current power from the power source and to divide the alternate current power by a phase difference to supply the divided alternate current power to the first and second induction coils.
  • a plasma reactor comprising: a magnetic core having magnetic flux entrances to face each other by a distance and to form a magnetic flux channel; a magnetic flux induction coil, wound around the magnetic core and driven to receive the alternate current power from the power source to form a magnetic flux channel between magnetic flux entrances; and a substrate process chamber connected to the magnetic flux channel and having first and second separated hollow regions where a plasma discharge is generated, the substrate process chamber comprising: a first substrate entrance through which a first target substrate to be processed enters and exits the first hollow region; a second substrate entrance through which a second target substrate to be processed enters and exits the second hollow region; a first substrate support to support the first target substrate in the first hollow region; and a second substrate support to support the second target substrate in the second hollow region.
  • the plasma reactor according to still another embodiment of the present invention may further comprise a common gas supplying unit to supply a process gas to the first and second hollow regions; a gas inlet connected to the common gas supplying unit; first and second gas outlets respectively communicated with the first and second hollow regions; and gas distributing plates respectively installed to face the first and second substrate supports in the first and second hollow regions and to uniformly distribute the process gas introduced through the gas inlet to be injected toward the first and second substrate supports.
  • the plasma reactor according to still another embodiment of the present invention may further comprise first gas inlet and outlet communicated with the first hollow region; second gas inlet and outlet communicated with the second hollow region; and gas distributing plates respectively installed to face the first and second substrate supports in the first and second hollow regions and to uniformly distribute the process gas introduced through the first and second gas inlets to be injected toward the first and second substrate supports.
  • the magnetic flux entrances of the magnetic core may comprise surfaces divided into multiple parts, and the magnetic flux induction coil is wound along divisional recesses of the divided magnetic flux entrances.
  • the magnetic flux induction coil may comprise a first induction coil wound around one of the magnetic flux entrances of the magnetic core; a second induction coil wound around the other one of the magnetic flux entrances; and a divisional power supply to receive the alternate current power from the power source and to divide the alternate current power by a phase difference to supply the divided alternate current power to the first and second induction coils.
  • the surfaces of the magnetic flux entrance of the magnetic core are positioned over the hollow region of the plasma chamber so that the plasma generated in the hollow regions is very uniform and the loss of magnetic flux is small. Therefore, transmission efficiency of inductively coupled energy is high. Con- sequently, uniform and high density plasma is securely obtained. Moreover, in the structure additionally serving as the capacitive coupling method, the ion energy of the plasma can be easily adjusted. Furthermore, the overall structure of the plasma reactor has a structure of generating large-sized plasma and has an excellent expansibility.
  • FIG. 1 is a perspective view of a plasma reactor according to an embodiment of the present invention
  • FIGS. 2 and 3 are a plan sectional view and a side sectional view of the plasma reactor of FIG. 1 ;
  • FIG. 4 illustrates the structure of the ignition circuit of the plasma reactor
  • FIG. 5 illustrates an example in which the plasma reactor is mounted on a process chamber
  • FIG. 6 is a perspective view illustrating an example of modified plasma reactor
  • FIGS. 7 and 8 are a plan sectional view and a side sectional view of the plasma reactor of FIG. 6;
  • FIGS. 9 to 13 illustrate various modifications of a coupling between a magnetic core and a primary winding
  • FIG. 14 is a perspective view illustrating a plasma reactor having a cylindrical generator body;
  • FIGS. 15 and 16 are a plan sectional view and a side sectional view of the plasma reactor of FIG. 14;
  • FIGS. 17 and 18 illustrate modifications of the installation of a ring-shaped core having a spoke;
  • FIG. 19 is a perspective view illustrating a plasma reactor according to another embodiment of the present invention;
  • FIGS. 20 and 21 are a plan sectional view and a side sectional view of the plasma reactor of FIG. 19;
  • FIG. 22 is a perspective view illustrating an example of a modified plasma reactor
  • FIGS. 23 and 24 are an exploded perspective view and a side sectional view of the plasma reactor of FIG. 22;
  • FIG. 25 is a perspective view illustrating a plasma reactor according to still another embodiment of the present invention.
  • FIG. 26 is an exploded perspective view of the plasma reactor of FIG. 25;
  • FIG. 27 is a sectional view of the plasma reactor of FIG. 25;
  • FIG. 28 is a perspective view illustrating a plasma reactor in which the arrangement of a substrate entrance is modified;
  • FIG. 29 is a perspective view of a plasma reactor in which a substrate process chamber is vertically modified
  • FIGS. 30 to 33 illustrate various modifications of a plasma reactor having two substrate process chambers
  • FIGS. 34 and 35 are a perspective view and a sectional view illustrating a plasma reactor according to still another embodiment of the present invention.
  • FIG. 36 is a sectional view of a modified plasma reactor in which substrate supports face each other;
  • FIGS. 37 and 38 are perspective views illustrating a magnetic core having a structure in which the surfaces of a magnetic flux entrance is multiply divided.
  • FIG. 39 is a partial perspective view of the magnetic flux entrances that illustrates an example of a method of winding an induction coil around the magnetic flux entrance. Best Mode for Carrying Out the Invention
  • FIG. 1 is a perspective view of a plasma reactor according to an embodiment of the present invention.
  • FIGS. 2 and 3 are a plan sectional view and a side sectional view of the plasma reactor of FIG. 1.
  • a plasma reactor 10 includes a plasma chamber 20 having a body 21 to form a hollow region 24 in which plasma is generated.
  • a transformer 30 including a magnetic core 31 and a magnetic flux induction coil 32 wound around the magnetic core 31 is mounted in the plasma chamber 20.
  • the magnetic flux induction coil 32 corresponds to the primary winding of the transformer 30.
  • the magnetic core 31 forms a magnetic flux channel between magnetic flux entrances 34 that face each other by a distance.
  • the plasma chamber 20 is coupled with the magnetic channel such that magnetic flux enters and exits from the hollow region 24 where the plasma is generated.
  • the plasma chamber 20 includes a gas inlet 22 through which a gas is injected into the hollow region 24 and a gas outlet 23 through which the plasma gas generated in the hollow region 24 is discharged.
  • the magnetic flux induction coil 32 is electrically connected to a power source 33 to supply an alternate current (AC) power.
  • the power source 33 is implemented by an RF power source capable of controlling an output voltage without an impedance matching unit.
  • the power source 33 is implemented by an RF power source having to induce the impedance matching unit.
  • the gas induced to the plasma chamber 20 is selected from a group of an inert gas, a reaction gas, and a mixture of the inert gas and the reaction gas.
  • gases suitable for a plasma process may be selected.
  • FIG. 4 illustrates the structure of the ignition circuit of the plasma reactor.
  • ignition electrodes 40 are provided in the hollow region 24 of the plasma chamber 20.
  • the ignition electrodes 40 are electrically connected to an ignition induction coil 41 wound around the magnetic core 31.
  • a high voltage pulse is applied from the power source 33 to the primary winding 32 at an initial stage of plasma discharge, a high voltage is induced to the ignition induction coil 41 so that discharge is performed between the ignition electrodes 40 and plasma ignition is performed.
  • the ignition electrodes 40 and the ignition induction coil 41 are electrically intercepted from each other so that the ignition electrodes 40 do not function as electrodes. Otherwise, after the ignition process, the ignition electrodes 40 and the ignition induction coil 41 may not be electrically intercepted from each other so that the ignition electrodes 40 function as the electrodes.
  • the plasma chamber 20 is made of includes metal such as aluminum, stainless steel, copper, and the like, coated metals such as anodized aluminum and nickel plated aluminum, or refractory metal.
  • the plasma chamber 20 includes dielectric window regions (not shown) in which the parts coupled with the magnetic flux channel 34 are made of a dielectric material.
  • the dielectric window regions may be formed in the form of thin slits such that the dielectric window regions and the metal are alternately arranged.
  • the plasma chamber 20 can be entirely made of a dielectric material such as quartz, ceramic, and the like, or can be made of another material suitable for performing a desired plasma process.
  • the plasma chamber 20 includes the metals, in order to minimize eddy current, the plasma chamber 20 includes at least one electrical insulating region (not shown) so that electrical discontinuity is provided in the metals.
  • the plasma chamber 20 includes a cooling water supplying channel in a proper position.
  • the cooling water supplying channel may be installed between the plasma chamber 20 and the magnetic core 31.
  • FIG. 5 illustrates an example in which the plasma reactor is mounted on a process chamber.
  • the plasma reactor 10 is mounted in a process chamber 40 to remotely supply plasma to the process chamber 40.
  • the plasma reactor 10 may be mounted on the external side of the ceiling of the process chamber 40.
  • the plasma reactor 10 receives a radio frequency from a radio frequency generator 42 as a power source and receives a gas by a gas supplying system (not shown) to generate an active gas.
  • the process chamber 40 accommodates the active gas generated by the plasma reactor 10 to perform a predetermined plasma process.
  • the process chamber 40 may be, for example, a deposition chamber for performing a deposition process, an etching chamber for performing an etching process, or an ashing chamber for stripping photoresist.
  • the process chamber 40 may be a plasma process chamber for performing various semiconductor manufacturing processes.
  • the plasma reactor 10 and the radio frequency generator 42 as the power source to supply the radio frequency are separated from each other. That is, the plasma reactor 10 is a fixed type mounted on the process chamber 40 and the radio frequency generator 42 is a separated type separated from the plasma reactor 10. The output end of the radio frequency generator 42 and the radio frequency input end of the plasma reactor 10 are remotely connected to each other by a radio frequency cable 44.
  • the plasma reactor 10 is easily installed in the process chamber 40 and the maintenance and management efficiency of the system can be improved unlike in the conventional structure in which the radio frequency generator and the plasma reactor are integrated with each other into one unit.
  • the body 21 of the plasma chamber is formed of the plasma chamber
  • FIG. 6 is a perspective view illustrating an example of modified plasma reactor
  • FIGS. 7 and 8 are a plan sectional view and a side sectional view of the plasma reactor of FIG. 6.
  • FIGS. 8 and 9 illustrate an example in which the magnetic cores 31 are implemented by E-type cores and an example in which the magnetic flux induction coils 32 are wound around different positions.
  • the magnetic cores 31 are implemented by PM-type cores.
  • FIG. 14 illustrates an example in which the plasma chamber 20 is cylindrical as a special case.
  • FIGS. 15 and 16 are a plan sectional view and a side sectional view of a plasma reactor 1Og having the cylindrical plasma chamber 20.
  • the magnetic cores 31 may be implemented by ring-shaped cores having a plurality of spokes to be suitable for the cylindrical plasma chamber 20. The spokes may be alternately arranged or be aligned with each other as illustrated in FIGS. 17 and 18.
  • FIG. 19 is a perspective view illustrating a plasma reactor according to another embodiment of the present invention.
  • FIGS. 20 and 21 are a plan sectional view and a side sectional view of the plasma reactor of FIG. 19.
  • a plasma reactor 100 has the substantially identical structure to the structure of the plasma reactor 10 according to the above-described embodiment.
  • a plasma chamber 120 includes a ring-shaped body 121.
  • two separated gas flow channels are formed between a gas inlet 122 and a gas outlet 123, and magnetic cores 131 are connected with the ring-shaped body 121 at the respective gas flow channels so that magnetic flux entrances 134 face each other.
  • the magnetic flux induction coils 132 are wound around the magnetic cores 131.
  • FIG. 22 is a perspective view illustrating an example of a modified plasma reactor
  • FIGS. 23 and 24 are an exploded perspective view and a side sectional view of the plasma reactor of FIG. 22.
  • the magnetic cores 131 are implemented by PM cores.
  • the magnetic flux channel can be formed between the magnetic flux entrances of the single magnetic core or between the magnetic flux entrances of different and separated magnetic cores.
  • FIG. 25 is a perspective view illustrating a plasma reactor according to still another embodiment of the present invention
  • FIG. 26 is an exploded perspective view of the plasma reactor of FIG. 25
  • FIG. 27 is a sectional view of the plasma reactor of FIG. 25.
  • a plasma reactor includes a substrate process chamber 210 to process the plasma of a target substrate 220 to be processed.
  • the substrate process chamber 210 includes a hollow region 211 where plasma discharge is generated.
  • a substrate entrance 214 for entrance and exit of the target substrate 220 is provided at a side of the substrate process chamber 210, and a substrate supports 213 to support the target substrate 220 in the hollow region 211 is provided in the lower side of the target substrate 220.
  • the target substrate 220 is, for example, a silicon wafer substrate for manufacturing a semiconductor device or a glass substrate for manufacturing a liquid crystal display (LCD) and a plasma display.
  • LCD liquid crystal display
  • a substrate process chamber 210 is made of metal such as aluminum, stainless steel, copper, and the like, coated metals such as anodized aluminum, nickel plated aluminum, and the like, or refractory metal.
  • the substrate process chamber 210 may be entirely made of a dielectric material such as quartz and ceramic or can be made of another material suitable for performing a desired plasma process.
  • the substrate process chamber 210 includes the metals, in order to minimize eddy current, the substrate process chamber 210 includes at least one electrical insulating region (not shown) so that electrical discontinuity is provided in the metals.
  • the substrate process chamber 210 is installed between two magnetic flux entrances 232 and 234 of a magnetic induction core 240 to be coupled with a magnetic flux channel 236 formed by the magnetic induction core 240.
  • a magnetic core 230 has a C-shaped structure in which the two magnetic flux entrances 232 and 234 are spaced a part from each other to face each other and to form the magnetic flux channel 236.
  • the magnetic induction coil 240 is wound around the magnetic core 230 and is electrically connected to and is driven by a power source 244 to supply an alternate current (AC) power.
  • the magnetic flux entrance surfaces 231 and 233 of the magnetic flux entrances 232 and 234 preferably have an area equal to or larger than the area of the top surface and the bottom surface of the substrate process chamber 210.
  • the target substrate 220 positioned on the substrate support213 is entirely accommodated in the magnetic flux channel 236.
  • the magnetic induction coil 240 is driven so that the time-varying magnetic and electric fields induced in the hollow region 211 are uniformly distributed over the hollow region 211.
  • uniform high density plasma is obtained over the hollow region 211.
  • the power source 244 supplies a radio frequency to the magnetic induction coil 240 through an impedance matching unit 242.
  • the power source 244 may be implemented by a radio frequency power source capable of controlling an output voltage without the impedance matching unit.
  • the substrate support 213 is connected to a power source 246 to supply a bias power through an impedance matching unit 248 to be electrically biased.
  • the power source 246 may be implemented by a radio frequency power source capable of controlling an output voltage without the impedance matching unit.
  • the substrate support 213 has a single bias structure. However, the substrate support 213 may be modified into a structure biased by dual frequencies in which different radio frequencies are received to be biased.
  • the substrate process chamber 210 includes a gas inlet 216 and a gas outlet 218.
  • the gas inlet 216 and the gas outlet 218 are provided, for example, in the upper end and the lower end of the substrate process chamber 210, respectively, such that a gas flows from the upper side to the lower side of the hollow region 211.
  • one or more gas distributing plates 250 may be installed in the upper side of the hollow region 211 to face the substrate support 213.
  • the process gas entering through the gas inlet 216 is uniformly distributed by one or more gas distributing plates 250 to be injected toward the substrate support 213.
  • the gas supply and discharge structure including the gas inlet 216, the gas outlet 218, and the one or more gas distributing plates 250 may be modified to allow the gas to uniformly flow in the hollow region 211 to generate uniform plasma.
  • the process gas supplied to the substrate process chamber 210 is selected from a group of an inert gas, a reaction gas, and a mixture of the inert gas and the reaction gas. Other gases required for plasma processing the target substrate 220 may be selected.
  • the plasma reactor includes a cooling system for preventing the substrate process chamber 210, the magnetic core 230, and the magnetic induction coil 240 from being overheated.
  • the magnetic flux entrance surfaces 231 and 233 of the magnetic flux entrances 232 and 234 have an area equal to or larger than the top surface and the bottom surface of the substrate process chamber 230, the time- varying magnetic field and electric field induced in the hollow region 211 of the substrate process chamber 210 are uniformly generated over the hollow region 211.
  • high density uniform plasma is entirely generated over the hollow region 211 so that the target substrate 220 is uniformly plasma processed.
  • FIG. 28 is a perspective view illustrating a plasma reactor in which the arrangement of a substrate entrance is modified
  • FIG. 29 is a perspective view of a plasma reactor in which a substrate process chamber is vertically modified.
  • the plasma reactor according to the embodiment of the present invention may be configured to have a coupling structure of a direction different from the direction in which the substrate process chamber 210 and the magnetic core 230 are coupled with each other in the above-described example (See FIG. 26) about the substrate entrance 214.
  • the plasma reactor according to the embodiment of the present invention may be configured such that the target substrate 220 is processed in the substrate process chamber 210 in a vertically arranged state and the substrate process chamber 210 and the magnetic core 230 can be vertically arranged so that the target substrate 220 in the vertically arranged state can enter and exit the substrate process chamber 210.
  • FIGS. 30 to 33 illustrate various modifications of a plasma reactor having two substrate process chambers.
  • the plasma reactor according to the embodiment of the present invention may be configured such that two substrate process chambers 210a and 210b, and two magnetic cores 230a and 230b are arranged in parallel or are accumulated to process two target substrates 220a and 220b to be processed in parallel.
  • the plasma reactor may include a magnetic core 230c having two pairs of symmetric magnetic flux entrances 236, 237,238, and 239 and two substrate process chambers 210a and 210b mounted in the magnetic flux entrances 236, 237, 238, and 239 such that the two target substrates 220a and 220b are processed in parallel.
  • various modifications of the plasma reactor of the present invention are enabled by which one or more magnetic cores are used to form two or more magnetic flux channels and the respective substrate process chambers are coupled with the respective magnetic flux channels so that two or more target substrates are processed in parallel.
  • the induction coils wound around one or more magnetic cores are independently provided to the respective magnetic cores to correspond to the respective magnetic flux channels (See FIGS. 23 and 24), or a single induction coil is commonly wound around two or more magnetic cores.
  • a single induction coil may be wound around the magnetic core to be shared by two or more magnetic flux channels.
  • the plasma reactor of the present invention may be configured such that two or more substrate process chambers 210a and 210b are connected to the magnetic cores 230a and 230b in series to perform two processes sequentially.
  • the two substrate process chambers 210a and 210b include substrate entrances 255 communicated with each other.
  • the front substrate process chamber 210a includes a substrate entrance 214a through which the target substrate 220 is loaded from exterior, and the rear substrate process chamber 210b includes a substrate entrance (not shown) through which the processed substrate 220 is unloaded.
  • the first process is carried out in the front substrate process chamber 210a, and a second process is performed in the rear substrate process chamber 210b.
  • the first and second processes are substrate processes different from each other.
  • the two or more substrate process chambers 210a and 210b may be arranged in serial to sequentially process the substrate processes. Needless to say, a substrate feeding device must be provided between the sequential substrate process chambers 210a and 210b to feed the target substrate 220.
  • FIGS. 34 and 35 are a perspective view and a sectional view illustrating a plasma reactor according to a still another embodiment of the present invention
  • FIG. 36 is a sectional view of a modified plasma reactor in which substrate supports face each other.
  • the plasma reactor according to the still another embodiment of the present invention has structure and configuration substantially identical to the structure of the plasma reactor according to the firstly-described embodiment of the present invention. Thus, the description about the identical components will be omitted.
  • the plasma reactor in this embodiment in order to simultaneously process two target substrates 220a and 220b, includes the substrate process chamber 260 having two independent first and second hollow regions 261a and 261b, and first and second substrate entrances 264a and 264b respectively formed in the first and second hollow regions 261a and 261b.
  • the substrate process chamber 260 is divided into the first and second hollow regions 261a and261b by a gas supplying unit 262.
  • the gas supplying unit 262 supplies the process gas injected through a gas entrance 266 to the first and second hollow regions 261a and 261b.
  • the substrate process chamber 260 includes first and second gas outlets 268a and 268b respectively communicated with the first and second hollow regions 261a and 261b.
  • the first and second hollow regions 261a and 261b are respectively provided with substrate supports 263a and 263b.
  • one or more gas distributing plates 250a and 250b are installed to face substrate supports 263a and 263b.
  • the process gas entering through the gas inlet 266 of the gas supplying unit 262 is uniformly distributed by one or more gas distributing plates 250a and 250b to be injected toward the substrate support 263a ad 263b.
  • the first and second substrate supports 263a and 263b are respectively installed on side walls corresponding to the magnetic flux entrances 232 and 234 of the magnetic core 230 in the first and second hollow regions 261a and 261b.
  • a partition 267 is provided at the central region of the substrate process chamber 260 and the first and second substrate supports 263a and263b contact the partition 267.
  • the first and second hollow regions 261a and 261b are formed with respective gas entrances 266a and 266b, and the gas distributing plates 250a and 250b are respectively installed in the first and second hollow regions 261a and 261b to face the first and second substrate supports 263a and 263b.
  • the first and second substrate supports 263a and 263b receive bias power from power sources 244s and 244b through respective impedance matching units 242a and 242b to be electrically biased.
  • FIGS. 37 and 38 are perspective views illustrating the magnetic core having a structure in which magnetic flux entrance surfaces of a magnetic flux entrance is multiply divided.
  • the magnetic core 230 employed in the plasma reactor of the present invention is configured such that magnetic flux entrance surfaces 213 and 233 of the magnetic flux entrances 232 and 234 are divided into two or more parts, and the induction coil 240 is wound along divisional recesses 280 of the divided magnetic flux entrances 232 and 234.
  • the divided structure of the magnetic flux entrances 232 and 234, for example, has four divided parts as illustrated in FIG. 37, or sixteen divided parts as illustrated in FIG. 38.
  • FIG. 39 is a partial perspective view of the magnetic flux entrances that illustrates an example of a method of winding an induction coil around the magnetic flux entrance.
  • the induction coil 240 may be wound along the divided recesses 280 of the magnetic flux entrances 232 and 234 in a crossing shape.
  • a belt-type winding may be used as the induction coil 240.
  • the induction coil 240 may include a first induction coil 240a wound around one magnetic flux entrance 232 and a second induction coil 240b wound around the other magnetic flux entrance 234, and a divisional power supply 247 supplies electric power to the first and second induction coils 240a and 240b separately by a phase difference.
  • the divisional power supply 247 divides electric power by a phase difference of 180 degrees to supply the divided electric powers.
  • the first and second induction coils 240a and 240b serve as capacitive coupling electrodes.
  • the capacitive coupling energy is controlled by controlling the phase difference, ion energy of the plasma generated in the hollow regions of the substrate process chamber can be adjusted. This case can be applied to the still another embodiments and the modifications of the present invention.
  • the surfaces of the magnetic flux entrance of the magnetic core are positioned over the hollow region of the plasma chamber so that the plasma generated in the hollow regions is very uniform and the loss of magnetic flux is small. Therefore, transmission efficiency of inductively coupled energy is high. Consequently, uniform and high density plasma is securely obtained. Moreover, in the structure additionally serving as the capacitive coupling method, the ion energy of the plasma can be easily adjusted. Furthermore, the overall structure of the plasma reactor has a structure of generating large-sized plasma and has an excellent expansibility.

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Plasma Technology (AREA)

Abstract

L'invention concerne un réacteur à plasma comprenant une chambre à plasma couplée à un canal de flux magnétique. Ledit réacteur à plasma comprend un noyau magnétique doté d'entrées de flux magnétique opposées et formant un canal de flux magnétique; une bobine d'induction de flux magnétique enroulée autour du noyau magnétique pour former le canal de flux magnétique entre les entrées de flux magnétique; et une chambre à plasma pourvue d'une région creuse couplée à un canal de flux magnétique dans lequel une décharge de plasma est générée. Les surfaces des entrées de flux magnétique du noyau magnétique sont positionnées sur la région creuse de la chambre à plasma de sorte que le plasma généré dans lesdites régions creuses est uniforme et les pertes de flux magnétique sont faibles. De ce fait, l'efficacité de transmission de l'énergie couplée par induction est élevée. En outre, dans la structure utilisée dans le procédé de couplage capacité, l'énergie ionique du plasma peut être facilement réglée, ledit plasma présentant une excellente capacité d'extension.
PCT/KR2007/002034 2006-04-25 2007-04-25 Réacteur à plasma à chambre à plasma couplée à un canal de flux magnétique WO2007123378A1 (fr)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
KR10-2006-0036969 2006-04-25
KR1020060036969A KR100743842B1 (ko) 2006-04-25 2006-04-25 자속 채널에 결합된 플라즈마 챔버를 구비한 플라즈마반응기
KR10-2006-0098377 2006-10-10
KR1020060098377A KR100883561B1 (ko) 2006-10-10 2006-10-10 자속 채널에 결합된 기판 처리 챔버를 구비한 플라즈마반응기

Publications (1)

Publication Number Publication Date
WO2007123378A1 true WO2007123378A1 (fr) 2007-11-01

Family

ID=38625230

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/KR2007/002034 WO2007123378A1 (fr) 2006-04-25 2007-04-25 Réacteur à plasma à chambre à plasma couplée à un canal de flux magnétique

Country Status (2)

Country Link
TW (1) TWI398926B (fr)
WO (1) WO2007123378A1 (fr)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130062311A1 (en) * 2011-09-13 2013-03-14 Advanced Micro-Fabrication Equipment Inc, Shanghai Inductively coupled plasma processing apparatus and method for processing substrate with the same
US20130171038A1 (en) * 2012-01-04 2013-07-04 Dae-Kyu Choi Magnetic flux channel coupled plasma reactor

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9449793B2 (en) 2010-08-06 2016-09-20 Lam Research Corporation Systems, methods and apparatus for choked flow element extraction
US9155181B2 (en) * 2010-08-06 2015-10-06 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
KR101649947B1 (ko) * 2014-07-08 2016-08-23 피에스케이 주식회사 이중 플라즈마 소스를 이용한 플라즈마 생성 장치 및 그를 포함하는 기판 처리 장치

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10125497A (ja) * 1996-06-10 1998-05-15 Lam Res Corp ほぼ均一なプラズマ束を誘導するための誘導結合源
US20040094270A1 (en) * 2002-11-14 2004-05-20 Dae-Kyu Choi Plasma process chamber and system
KR20050092277A (ko) * 2004-03-15 2005-09-21 주식회사 뉴파워 프라즈마 다중 배열된 진공 챔버를 구비한 플라즈마 반응 챔버

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6054013A (en) * 1996-02-02 2000-04-25 Applied Materials, Inc. Parallel plate electrode plasma reactor having an inductive antenna and adjustable radial distribution of plasma ion density

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10125497A (ja) * 1996-06-10 1998-05-15 Lam Res Corp ほぼ均一なプラズマ束を誘導するための誘導結合源
US20040094270A1 (en) * 2002-11-14 2004-05-20 Dae-Kyu Choi Plasma process chamber and system
KR20050092277A (ko) * 2004-03-15 2005-09-21 주식회사 뉴파워 프라즈마 다중 배열된 진공 챔버를 구비한 플라즈마 반응 챔버

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130062311A1 (en) * 2011-09-13 2013-03-14 Advanced Micro-Fabrication Equipment Inc, Shanghai Inductively coupled plasma processing apparatus and method for processing substrate with the same
CN103002649A (zh) * 2011-09-13 2013-03-27 中微半导体设备(上海)有限公司 一种电感耦合式的等离子体处理装置及其基片处理方法
US20130171038A1 (en) * 2012-01-04 2013-07-04 Dae-Kyu Choi Magnetic flux channel coupled plasma reactor

Also Published As

Publication number Publication date
TW200818317A (en) 2008-04-16
TWI398926B (zh) 2013-06-11

Similar Documents

Publication Publication Date Title
US7952048B2 (en) Plasma source with discharge inducing bridge and plasma processing system using the same
USRE45527E1 (en) Inductively coupled plasma reactor with multiple magnetic cores
US8018163B2 (en) Capacitively coupled plasma reactor
US8169148B2 (en) Plasma generating apparatus
US7994724B2 (en) Inductive plasma applicator
KR101496841B1 (ko) 혼합형 플라즈마 반응기
KR20090125406A (ko) 혼합형 플라즈마 반응기
WO2007123378A1 (fr) Réacteur à plasma à chambre à plasma couplée à un canal de flux magnétique
KR20080066888A (ko) 다중 경로 유도 결합 플라즈마 반응기
KR100743842B1 (ko) 자속 채널에 결합된 플라즈마 챔버를 구비한 플라즈마반응기
KR100772451B1 (ko) 플라즈마 처리 챔버 및 플라즈마 처리 시스템
KR101236206B1 (ko) 균일한 고밀도 플라즈마를 발생하기 위한 유도 결합플라즈마 반응기
KR100845903B1 (ko) 다중 코어 플라즈마 발생기를 갖는 플라즈마 반응기
KR101336796B1 (ko) 다중방전관을 갖는 플라즈마 반응기
KR20100026529A (ko) 용량 결합 플라즈마 반응기 및 이를 이용한 플라즈마 처리 방법 및 이것에 의해 제조된 반도체 장치
KR100883561B1 (ko) 자속 채널에 결합된 기판 처리 챔버를 구비한 플라즈마반응기
KR100798351B1 (ko) 다중 원격 플라즈마 발생기를 구비한 플라즈마 처리 챔버
KR102619010B1 (ko) 페라이트 코어의 설치 위치를 변경한 플라즈마 챔버
KR20040096044A (ko) 다중 방전관 브리지를 구비한 유도 플라즈마 챔버
KR101336798B1 (ko) 다중 가스 공급 구조를 갖는 다중 방전관 플라즈마 반응기
KR101364577B1 (ko) 다중 출력 원격 플라즈마 발생기 및 이를 구비한 플라즈마처리 시스템
KR20070104704A (ko) 내장 마그네틱 코어를 갖는 유도 결합 플라즈마 소스
KR20090069114A (ko) 다중 코어 플라즈마 발생기를 갖는 플라즈마 반응기
KR20090074866A (ko) 고효율 유도 결합 플라즈마 반응기
KR20090069346A (ko) 멀티 레이저 스캐닝 라인을 갖는 다중 루프 코어 플라즈마반응기

Legal Events

Date Code Title Description
DPE2 Request for preliminary examination filed before expiration of 19th month from priority date (pct application filed from 20040101)
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 07746193

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 07746193

Country of ref document: EP

Kind code of ref document: A1