WO2004112115A1 - Remover liquid and removing method for antireflective film and buried material containing silicon - Google Patents

Remover liquid and removing method for antireflective film and buried material containing silicon Download PDF

Info

Publication number
WO2004112115A1
WO2004112115A1 PCT/JP2004/008411 JP2004008411W WO2004112115A1 WO 2004112115 A1 WO2004112115 A1 WO 2004112115A1 JP 2004008411 W JP2004008411 W JP 2004008411W WO 2004112115 A1 WO2004112115 A1 WO 2004112115A1
Authority
WO
WIPO (PCT)
Prior art keywords
mass
film
water
organic
acid
Prior art date
Application number
PCT/JP2004/008411
Other languages
French (fr)
Japanese (ja)
Inventor
Shingo Nakamura
Takehiko Kezuka
Fumihiro Kamiya
Takashi Kanemura
Mitsushi Itano
Original Assignee
Daikin Industries, Ltd.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Daikin Industries, Ltd. filed Critical Daikin Industries, Ltd.
Publication of WO2004112115A1 publication Critical patent/WO2004112115A1/en

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/423Stripping or agents therefor using liquids only containing mineral acids or salts thereof, containing mineral oxidizing substances, e.g. peroxy compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/02Inorganic compounds
    • C11D7/04Water-soluble compounds
    • C11D7/08Acids
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/265Carboxylic acids or salts thereof
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/50Solvents
    • C11D7/5004Organic solvents
    • C11D7/5022Organic solvents containing oxygen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32134Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only

Definitions

  • the present invention relates to a remover for removing an antireflection film and a filling material during rework such as formation of a damascene structure or a dual damascene structure and reworking of lithography.
  • a wiring layer for supplying a current in a horizontal direction to a wafer to be processed and a via layer which is a vertical hole-shaped wiring connecting each wiring layer are separately formed.
  • the wiring layer is formed by squeezing a metal wiring such as a convex A1 by metal dry etching, and filling the periphery of the wiring with an interlayer insulating film such as a Si02 film.
  • the via layer is formed by depositing an interlayer insulating film such as a Si02 film, processing holes (via holes) by dry etching, and burying metals such as A1 and W.
  • dry etching first processes grooves (trench) and holes (via holes) in the low_k film, and forms wiring structure mainly by embedding wiring material such as copper in the processed part Processing is performed by a method referred to as damascene. Furthermore, in the dual damascene method, trenches and via holes for wiring are simultaneously formed in a low-k film, and then wiring materials such as copper are embedded. To form a dual damascene structure, a via-first process in which a trench for wiring is formed after forming a via hole, and a trench in which a via hole is formed after forming a trench for wiring in the reverse order.
  • the embedding material is mainly used for reworking some processes such as dual damascene process and lithography. For example, in a via-first process, after a via hole is formed by dry etching, an embedding material is embedded, Lithography for forming a trench is performed and then etched. After that, the embedding material must be selectively removed.
  • the filling material must be selectively removed from the low-k film. Attempting to remove the filling material with a stripping solution such as the resist currently proposed will etch the low-k film and other films that make up the device, making it impossible to process to the original design dimensions. As described above, there has been no dedicated chemical solution for removing the filling material. In particular, in the conventional post-etching cleaning using a polymer stripper, the silicon-containing buried material was not sufficiently selectively removed from the silicon-containing low-k film. Chemicals that can selectively remove silicon-containing buried materials in the presence of silicon-containing low-k films are still being developed.
  • the present invention relates to a remover for removing an anti-reflection film and a filling material when a process such as formation of a damascene structure or a dual damascene structure or lithography is re-executed (reworked).
  • the present invention provides the following removal solution, removal method, rinsing method, and removal product.
  • a group consisting of an organic acid and an organic solvent A group consisting of an anti-reflection coating containing silicon and containing hydrogen fluoride (HF) and at least one selected from the group consisting of an organic acid and an organic solvent Removal liquid to remove.
  • HF hydrogen fluoride
  • the anti-reflection coating Z or the filling material contains silicon bonded to OH (Si-OH bond) and silicon bonded to Z or H (Si-H bond). Remover as described.
  • At least one selected from the group consisting of organic acids and organic solvents is an organic acid, and the removal liquid further contains water, and the weight ratio of HF: organic acid: water is 0.001 to 5 mass%.
  • Organic acid and organic solvent power At least one selected from group powers is an organic solvent, and the removal liquid further contains water, and the weight ratio of HF: organic solvent: water is 0.01 to 10 mass%: Item 4.
  • At least one selected from HF and organic acids and organic solvents further contains water, and the weight ratio of HF: at least one selected from organic acids and organic solvents: water is 0.001 to 10 mass%: 60
  • Item 1 The removal solution according to Item 1, wherein the removal solution is 0.009 to 30% by mass.
  • HF at least one selected from the group consisting of ammonia and amine; organic acid and at least one selected from the group consisting of organic solvents; and water; HF: from ammonia and amine At least one selected from the group consisting of: an organic acid and an organic solvent; at least one selected from the group consisting of: an organic acid and an organic solvent: a weight ratio of water: 0.001 to 10 mass%: 0.001 to 30 mass%: 10 to 99 998rnass%: The removal solution according to item 4, which is 0 to 50 mass%.
  • HF hydrogen fluoride
  • organic acids and organic solvents having 25 or more acids and donors
  • a fluorine-containing organic solvent HF at least one selected from the group consisting of
  • HF at least one kind of organic acid and organic solvent, and at least one kind of ammonia and amine, water, acid, polar prophilic solvent having 25 or more donors
  • fluorine-containing organic compound HF At least one kind of organic acid and organic solvent: At least one kind of ammonia and diamine: Water: Acid: Polar protic solvent having 25 or more donors: Fluorine-containing organic
  • the weight ratio of the compound is 0.05 to 10 mass%: 30 to 99.78 mass%: 0.05 to 20 mass%: 0.02 to 30 mass%: 0 to 10 mass%: 0 to 50 mass%: 0 to 70 mass% (however, one acid or Item 4.
  • the removal solution according to Item 4 wherein the total amount of at least one selected from the group consisting of a polar protic solvent and a fluorine-containing organic compound is 0.1 to 69.88 mass%.
  • HF (poly) alkylene glycol monoalkyl ethers (at least one selected from the group consisting of (poly) alkylene glycol dialkyl ethers: weight of water); drier power 0.50 to 5 mass%: 85 00 ⁇ 99. 30% by mass: 0.20 ⁇ : 10% by mass.
  • An article to be processed which has a low-k film on the surface of a semiconductor substrate, and has a resist and an antireflection film on the surface of the low-k film and / or a filling material in a groove or hole is described in item 1.
  • the anti-reflection coating and / or the burying material are removed simultaneously or separately with the resist without substantially damaging the low-k film, characterized in that the treatment is performed using the anti-reflection coating and the burying material removing liquid. To remove the antireflection film and / or the filling material.
  • Item 15 The method according to Item 14, wherein the associating process is performed.
  • Substantially no damage to the low-k film means that the low-k film is not substantially etched, and the relative permittivity of the low-k film before and after Z or treatment is substantially changed Item 14.
  • the present invention relates to an antireflection film and a liquid for removing an embedding material.
  • the remover for the reflective P-blocking film and the filling material of the present invention is a composition containing at least one selected from the group consisting of organic acids and organic solvents, and hydrogen fluoride (HF) as essential components. .
  • the present invention adjusts the dissociation of hydrogen fluoride (HF) to reduce HF 2 —, which is an etching species for low-k films and silicon oxide films, and mainly reduces etching species HF and (HF) n.
  • HF 2 — which is an etching species for low-k films and silicon oxide films
  • HF and (HF) n By producing H +, the anti-reflection film containing silicon and the filling material are selectively removed from the low-k film and the silicon oxide film.
  • the dissociation of hydrogen fluoride (HF) can be adjusted by changing the properties of the organic acid and the organic solvent.
  • the physical properties of the main organic acids and organic solvents involved in the dissociation of hydrogen fluoride (HF) are relative permittivity ( ⁇ r) and acidity and basicity.
  • Indicators of acidity and basicity include the number of acceptors (AN), the number of donors (DN), and the self-protolysis constant.
  • Self-protolysis refers to the transfer of protons between solvents in a neutral solvent such as water or an alcohol, a proton-donating solvent such as an acid, or an amphoteric solvent such as a pro-philic solvent such as formamide. U. That is, these solvents have low self-protolysis constant pK SH .
  • the acceptor number A N is a measure of the acceptor property proposed by Mayer-Gutmann, that is, a measure of the solvent as a Lewis acid. It was ⁇ in hexane n- and (C 2 F 5) 31 P -NMR I spoon Science shift value of 3 PO is 0, 1, 2 - in Jikuroroetan of (C 2 F 5) 3 PO 'SbCl 5 complex when the 31 P- NMR chemical shift values 100, 31 P certain was ⁇ pure solvent (C 2 F 5) 3 PO - the NMR chemical shift values to a N.
  • ⁇ ⁇ 100 ⁇ (solvent) / [ ⁇ ((C 2 F 5 ) 3 in 1,2-dichloroethane 3 P ⁇ 'SbCI 5 )- ⁇ ( ⁇ -dissolved in hexane (C 2 F 5 ) 3 PO)].
  • the number of donors, DN is a measure of donorness proposed by Gutmann, that is, a measure as a Lewis base of a solvent.
  • organic solvents that have the same number of acceptors and donors even if they are not reported as measured values.
  • the acceptor property and the donor property of the organic solvent can be estimated. For example, as the number of alkyl groups increases, the number of acceptors tends to decrease.
  • C 4 H 9 no reports of A N of ⁇ _H but can be assumed to be a value close to 33.5 following 33.5.
  • the donor and acceptor properties of the substance are determined.
  • the degree can be known, and measurement values such as literature values are not necessarily required.
  • the fact that the organic solvent has a strong acceptor property means that the Lewis acidity of the solvent is strong, and that the donor property is strong, and that the solvent has a strong Lewis basicity. Re, and re, that is.
  • solvents having an acceptor number of 20 or more are amphoteric solvents, and the amphoteric solvents are classified as neutral, proton donating, and pro-philic solvents.
  • Solvents with less than 20 sceptors are aprotic solvents, and solvents with relatively high dipole moment and relative permittivity are polar, non-polar solvents, and dipole moments with low dielectric constant.
  • a weaker solvent is called an inert solvent.
  • Polar aprotic solvents are classified as polar aprotic aprotic solvents, which are more basic than water, and polar aprotic aprotic solvents, which are less basic than water. Solvents with very low dipole moment and relative dielectric constant and very weak acidity and basicity are called inert solvents.
  • Hydrogen fluoride is known to polymerize even in water ( ⁇ r: 78), which has a high relative dielectric constant due to its strong hydrogen bonding property, and a hydrogen bonding polymer such as (HF) n exists. Let's do it.
  • HF 2 — is formed as soon as the concentration of hydrogen fluoride is high to some extent, and this is the main etching species.
  • the antireflection film containing silicon and the filling material are selectively removed by H + supplied from SH 2 + generated by self-protolysis, H + generated from hydrogen fluoride, and (HF) n , HF.
  • organic acids and organic solvents include alcohols such as methanol, ethanol, and propanol.
  • A is the strongest acid that can be present in a solvent SH is SH 2 +. Any acids stronger than SH 2 + are completely dissociated and leveled to the strength of SH 2 + .
  • the ease with which H 2 + is given to the SH 2 + object to be processed is determined by the basicity of the solvent SH.
  • the smaller the number of donors the smaller the ability of H + to be retained in the solvent, so that the solvent SH easily gives H + to the surface of the object to be treated, because of the shape of SH 2 + . Therefore, among the amphoteric solvents, the smaller the donor power S, the greater the effect of selectively removing the antireflection film and the filling material.
  • the removal of organic substances such as a resist is insufficient due to ashing or the like, a large amount of residues containing organic substances generated by etching are present around the antireflection film and the filling material.
  • Isopropyl alcohol IPA
  • Equilibrium (1) shifts to the right, HF increases, and the increased HF and (HF) n amplify the effect of selectively removing the silicon-containing antireflection film and the buried material.
  • the equilibrium (2) is more likely to occur with an amphoteric solvent having a relatively low relative dielectric constant ( ⁇ r ⁇ 20) than a relatively high dielectric constant ( ⁇ r ⁇ 20).
  • the amount of HF increases.
  • these solvents in the case of a solvent that generates H + such as acetic acid, the effect of selectively removing the silicon-containing antireflection film and the filling material is increased by the increased HF, H + and (HF) n . Many of these solvents are strongly acidic and have a large number of acceptors.
  • the equilibrium (1) shifts to the right, HF increases, and H + is also generated by generating H + from the solvent itself, such as acetic acid.
  • the increased H + and HF and (HF) n amplify the effect of selectively removing the silicon-containing anti-reflective coating and buried material.
  • an aprotic solvent having a relatively high relative dielectric constant ( ⁇ 20) is called a polar aprotic solvent.
  • a polar aprotic solvent Those which are more basic than water, those which are polar aprotic aprotic solvents, those which are less basic than water, and those which are polar aprotic aprotic solvents are classified.
  • a polar aprotic aprotic solvent has a strong basicity and a strong donor property, and has a large amount of F. Although F-- is highly reactive, HF is strongly solvated, so the equilibrium (3) is either left or right. Is also less biased. Since the H + generated by slight dissociation is also strongly solvated, the reactivity between the etching species HF and (HF) n and H + is so large that the silicon-containing anti-reflective coating and the filling can be selectively used. The effect of removing the filler is smaller than other solvents. As described above, when the polar protic aprotic solvent is added to another solvent, the ability to remove the antireflection film and the filling material decreases. However, an effect of increasing the speed of removing an oxide film formed on copper as a wiring material can be provided. Leaving the copper oxide film may cause insulation failure. Therefore, it is possible to effectively remove the antireflection film and the filling material and remove the copper oxide film.
  • Examples of such a solvent include some esters such as ethyl acetate and methyl acetate, and some ethers such as tetrahydrofuran, dioxane, dimethoxyethane, and triethylene glycol dimethyl ether.
  • the dissociation of hydrogen fluoride is adjusted by the physical properties of the organic acid and organic solvent to reduce HF 2- as an etching species, and to generate (HF) n , HF and H +, which are abundant, -Selectively remove silicon-containing anti-reflective coating and filling material from k-film and silicon oxide film.
  • the effect of selectively removing the silicon-containing antireflection film and the burying material is amplified, as in the case where the dielectric constant is relatively high ( ⁇ r ⁇ 20).
  • Low dielectric constant ( ⁇ r ⁇ 20) such as amphoteric solvent, acetic acid, etc .
  • Low dielectric constant ( ⁇ r ⁇ 20) such as dimethoxyethane
  • aprotic solvent such as aprotic solvent
  • high dielectric constant ( ⁇ r ⁇ 20) such as propylene carbonate ⁇ r ⁇ 20) Protophophobic aprotic solvents.
  • the organic acid or organic solvent to be added to the removing solution of the present invention desirably has the following self-protolysis constant, one-hundred-and-one and an acceptor number.
  • the preferred range of the self-protolysis constant is 2 to 30, more preferably 2 to 23, and particularly preferably 14 to 23. This range contains a large amount of the amphoteric solvent.
  • the preferred range of the number of donors is usually 2 to 50, preferably 18 to 50, more preferably 20 to 37 for amphoteric solvents, and usually 2 to 25, preferably 10 to 50 for polar aprotic aprotic solvents. 25, more preferably 14-18.
  • the preferred range of the number of acceptors is usually 32-130, preferably 33-106, more preferably 33-55 for amphoteric solvents, and usually 2-20, preferably 2-13, for polar aprotic aprotic solvents. Preferably it is 8-11.
  • Organic acids include formic acid, acetic acid, propionic acid, butyric acid, isobutyric acid, valeric acid, isovaleric acid, caproic acid, caprylic acid, monochloroacetic acid, dichloroacetic acid, and trichloroacetic acid, which are proton-donating amphoteric solvents.
  • Monocarboxylic acids such as acetic acid, monofluoroacetic acid, difluoroacetic acid, trifluoroacetic acid, a-chlorobutyric acid, ⁇ -chlorobutyric acid, ⁇ -chlorobutyric acid, lactic acid, glycolic acid, pyruvic acid, dalioxalic acid, methacrylic acid and acrylic acid; Sulfonic acids such as methanesulfonic acid, benzenesulfonic acid, and toluenesulfonic acid; polycarboxylic acids such as malonic acid, glutanoleic acid, maleic acid, fumaric acid, oxalic acid, succinic acid, didipic acid, malic acid, tartaric acid, and citric acid Is mentioned.
  • an organic acid as an amphoteric solvent which is a water-soluble proton donating solvent is preferable. If it is water-soluble, it can be easily removed by treating with the removing solution of the present invention and then rinsing with pure water to remove the removing solution of the present invention remaining on the object to be treated, such as a wafer. .
  • the water-soluble organic acid formic acid, acetic acid, trifluoroacetic acid and methanesulfonic acid are particularly preferred.
  • Organic solvents include neutral amphoteric solvents such as methanol, ethanol and isopropanol (IP).
  • neutral amphoteric solvents such as methanol, ethanol and isopropanol (IP
  • Neutral amphoteric solvents ethylene glycol monomethyl ether, ethylene glycol monoethyl ether, ethylene glycol monopropynoleate, ethylene glycol monoethyl propyl ether, ethylene glycol monobutyl ether, ethylene glycol monoethyl ether Petinole ethere, diethylene glycolone monomethinoleate, diethylene glycolone monoethylenate, diethylene glycolone monopropizoleate, diethylene glycolone isopropinoleate, diethylene glycol monobutynoate ether, diethylene glycol monobutynoate ether, Diethylene glycol monoisobutyl ether, triethylene glycol monomethyl ether, triethylene glycol monomethyl ether, triethylene glycol Monopropyl ether, triethylene glycol monoisopropyl ether, triethylene glycol monobutyl ether, triethylene glycol monoisobutyl isobutyl ether, polyethylene glycol monomethyl ether,
  • Polyols such as ethylene glycol, diethylene glycol, 1,2-propanediol, propylene glycol, 2,3-butanediol and glycerin, which are neutral amphoteric solvents; Formamide, N-methylformamide, N-methylacetoamide, N-methylpropionamide,
  • N, N-dimethylformamide with relative permittivity of 78 or less N, N-dimethylacetamide, N, N-getylacetamide, hexamethylphosphoric triamide, 1,1,3 , 3-tetramethylurea, N-methyl-2-pyrrolidone, N-ethyl-2-pyrrolidone, N-propynole-1-pyrrolidone, N-hydroxymethyl-12-pyrrolidone, N-hydroxyethyl-2-pyrrolidone 1 Amides such as 1,3-dimethyl-12-imidazolidinone, 1,3-getyl-2-imidazolidinone, 1,3-diisopropyl-pyr-2-imidazolidinone;
  • Ketones such as acetone, acetylacetone, methylethylketone, methylisobutylketone, cyclohexanone, getylketone, and diisobutylketone, which are protophobic aprotic solvents;
  • Nitriles such as acetonitrile, propio-tolyl, butyronitrile, isopyronitrile, and benzonitrile, which are protophobic aprotic solvents;
  • Aldehydes such as formaldehyde, acetoaldehyde and propionaldehyde, which are protophobic aprotic solvents;
  • Protophobic aprotic solvents such as getyl ether, diisopropyl ether, dibutyl ether, tetrahydropyran, anisol, tetrahydrofuran, dioxane, trioxane, Ethers such as dimethoxymethane, diethoxymethane, 1,1-dimethoxyethane, 1,2-dimethoxyethane, dimethoxypropane and diglyme;
  • Proton-phobic aprotic solvents such as ethylene glycol methyl ethyl ether, ethylene glycolone methyl ethynoleate, diethylene glycolone methinoleetinoethylatene, diethylene glycol getyl ether, triethylene glycol dimethyl ether, and triethylene glycol cornole
  • Echinolemethineoleatene triethylene glycolone resin, tetraethylene glycol dimethyl ether, tetraethylene dalicol getyl ether, polyethylene glycolone resinemethineate, diethylene glycolone monoethyleneateneoleate, dipropylene glycol dimethyl ether , Ethylene glycol dibutyl ether, diethylene glycol dibutyl ether and tripropylene glycol (Poly) alkylene glycol dialkyl ethers such as toluene dimethyl ether;
  • (Poly) alkylene glycol dialkyl acetates such as diethylene glycol monomethyl ether acetate, which is a protophobic aprotic solvent, ethylene glycol monomethyl ether acetate, ethylene glycol monoethyl ether acetate, and diethylene glycol monoethyl ether acetate ;
  • Protophophobic aprotic solvents methyl acetate, ethyl acetate, propyl acetate, isopropyl acetate, butyl acetate, isoptyl acetate, pentyl acetate, hexyl acetate, methyl propionate, ethyl propionate, propyl propionate, propionic acid Isopropyl, butyl propionate, isobutyl propionate, pentyl propionate, hexyl propionate, methyl butyrate, ethyl butyrate, propyl butyrate, isopropyl butyrate, butyl butyrate, isobutyl butyrate, pentyl butyrate, hexyl butyrate, methyl isobutyrate, methyl isobutyrate Ethyl butyrate, propyl isobutyrate, isopropyl isobutyrate, butyl isobuty
  • Halogen compounds such as black-mouthed form, 0-dichlorobenzene, perfluorohexane, and perfluoromethylcyclohexane which are inert solvents;
  • Fluoroalcohols such as trifluoroethanol, pentafluoropropanol and 2,2,3,3-tetrafluoropropanol which are neutral amphoteric solvents;
  • Phosphoesters such as dimethyl phosphate, dibutyl phosphate, diphenyl phosphate, dibenzyl phosphate, trimethyl phosphate, triethyl phosphate, tripropyl phosphate, tributyl phosphate, and triphenyl phosphate, which are aprotic aprotic solvents System solvent;
  • Sulfur-containing compounds such as dimethyl sulfoxide, snoreholane, dimethylthioformamide, N-methylthiopyrrolidone, dimethyl sulfone, getyl sulfone, bis (2-hydroxyethyl) sulfone and tetramethylene sulfone which are protic aprotic solvents;
  • Protic phophophobic aprotic solvents such as acetic anhydride, propionic anhydride, butyric anhydride, hexane anhydride, benzoic anhydride, maleic anhydride, succinic anhydride, phthalic anhydride, 1,2-cyclohexane Acid anhydrides such as dicarboxylic anhydrides are exemplified.
  • the following water-soluble organic solvents are preferable. If it is water-soluble, it is possible to easily remove the removal liquid of the present invention remaining on the processing object such as a wafer by rinsing with pure water after treating with the removal liquid of the present invention.
  • a water-soluble organic solvent As a water-soluble organic solvent,
  • Dioxane trioxane, 1,1-dimethoxyethane, 1,2-dimethoxyethane, tetrahydrofuran, dimethoxymethane, dimethoxypropane, ethoxymethane, diglyme, formanolaldehyde, acetaldehyde, acetone, acetic anhydride;
  • Ethylene glycolone monoethylenoate, diethyleneglyconolemonomethinolate Triethylene glycol monomethyl ether, polyethylene glycol monomethyl ether, ethylene glycol monoisopropyl ether, ethylene glycol butyl ether, diethylene glycol monobutyl ether, triethylene glycol monobutyl ether monoethylene ether, ethylene glycol monomethyl monoisobutyl ether ether , Diethylene glycolone monoisobutylinoleate / propylene glycol glycol monomethyl ether / triene glycol, propylene glycol monomethyl ether, tripropylene glycol monomethyl ether, propylene glycol monopropynoleate polyethylene glycol, ethylene glycol monoarynooleate Tenoré, diethylene glycolone monobenzinoleateno, diethylene glycolone monohexinoleateno Ethylene glycol dimethinole ether, diethylene glycol dimethyl ether,
  • polyethylene glycol monomethinole ether diethylene glycol monobenzyl ether, triethylene glycolone monobutynoate ether, and diethylene glycol monomethyl ether can be used.
  • the water-soluble organic acids and organic solvents are treated with the removal solution of the present invention, and then rinsed with a water-soluble organic solvent such as isopropyl alcohol (IPA), so that the residues remaining on the object to be treated such as wafers are removed.
  • IPA isopropyl alcohol
  • the removal solution of the invention can be removed.
  • organic acids and organic solvents contain at least one kind, a polar protic aprotic solvent having a donor number of 25 or more, an acid and a fluorine-containing organic compound may be added thereto. .
  • a polar protic aprotic solvent with 25 or more donors reduces the ability to remove the antireflective coating and the implant.
  • the effect of increasing the speed of removing the oxide film formed on the copper, which is the wiring material, can be imparted while maintaining the force. Leaving the copper oxide film may cause insulation failure. Therefore, it is possible to effectively remove the antireflection film and the filling material and the copper oxide film.
  • Examples of polar aprotic aprotic solvents having 25 or more donors include dimethylformamide, dimethinoleacetamide, hexamethylphosphoric acid triamide, N-methyl-2-pyrrolidone, 1,1,3,3-tetramethylurea, N- Amides such as methylpropionamide and dimethylimidazolidinone ⁇ Sulfur compounds such as dimethylsulfoxide, sulfolane, dimethylthioonoleamide, N-methylthiopyrrolidone, dimethylsulfone, getylsulfone, bis (2-hydroxyxetinole) sulfone and tetramethylenesulfone Include
  • the anti-reflection film and the filling material can be more selectively removed from the low-k film and the insulating film barrier by the effect of hydrogen ions.
  • examples of such acids include hydrogen chloride, hydrogen bromide, hydrogen iodide and their aqueous solutions, sulfuric acid, nitric acid, phosphoric acid, carboxylic acid and the like.
  • Examples of the carboxylic acid include the above-mentioned monocarboxylic acids and polycarboxylic acids.
  • fluorine-containing organic compounds include fluorinated ethers (HFE) such as CHF2CF20CH2CF3 and CHF2CF20CH3, and fluorinated carbons (HCFC) such as CH3CC12F.
  • HFE fluorinated ethers
  • HCFC fluorinated carbons
  • the content of HF can be appropriately set according to the type of other components, and is not particularly limited. Reflection Based on the total amount of the P-blocking film and the removing material for the embedding material (hereinafter, the content of each component is the same)
  • an organic acid when containing an organic acid (when the mixture contains an organic acid and does not contain an organic solvent, or when it contains an organic acid and an organic solvent), it is about 0.001 to 5 mass %, preferably about 0.05 to 3 mass %. More preferably, it is about 0 :! to lm aSS %, and when no organic acid is contained (when an organic solvent is contained and no organic acid is contained), about 0.001 to: about 10 mass%, preferably 0 to 10 mass%. It is about 0.05 to 5 mass%, more preferably about 0.1 to 3 mass%.
  • Dilute hydrofluoric acid (50% by weight aqueous solution) is usually used as hydrogen fluoride, but if water is not contained in the removing solution, 100% hydrogen fluoride can also be used.
  • the water content is about 98 mass% or less, preferably about 50 mass% or less, more preferably about 5 mass% or less.
  • the organic acid and the organic solvent power are at least one kind selected from the group strengths of about 2 to 99.999 mass%, preferably about 50 to 99.999 mass%, more preferably about 90 to 99.999 mass%, and more. It is preferably about 95 to 99.999 mass%.
  • the organic acid is a carboxylic acid, it is about 2 to 99.999 mass%, preferably about 50 to 99.999 thigh ss%, more preferably about 90 to 99.999 mass%, and still more preferably about 95 to 99. It is about 999 mass%.
  • the content of the polar protic aprotic solvent having a donor number of 25 or more is about 0.1 to 50 mass%, preferably about 0.1 to 30 mass%, more preferably 0.1 to about L0 mass%, and still more preferably about 0 mass%. It is about l ⁇ 5mass%.
  • the content of the acid is 0.1 to: about L0 mass%, preferably about 0.1 to 7 mass%, more preferably about 0.1 to 5 mass%.
  • the content of the fluorine-containing organic compound is: about! 5 to about 5% by mass, preferably about 1 to 30 % by mass. '
  • the antireflection film and the embedding material-removing solution of the present invention are shown below.
  • the carboxylic acid means at least one selected from the group consisting of the above monocarboxylic acids and polycarboxylic acids.
  • the removal solution of the present invention further comprises ammonia and amine.
  • the composition is preferably a composition containing a composition containing at least one member selected from the group.
  • amines examples include hydroxylamines, alkanolamines, primary, secondary, and tertiary amines represented by NR3, alicyclic amines, and heterocyclic amines.
  • hydroxylamines include hydroxynoreamine and N, N-getylhydroxylamine.
  • alkanolamine examples include monoethanolamine, diethanolamine, and triethanolamine.
  • the three R are the same or different, are substituted with fluorine atom, it may also be, charcoal hydrocarbon group, or a hydrogen atom. Except when all three R are hydrogen atoms.
  • the hydrocarbon group which may be substituted with a fluorine atom may be a straight-chain or branched carbon number:! To 18, preferably 1 to 12 alkyl groups, and may be substituted with a fluorine atom. Hueni And the like. Among these, an unsubstituted alkyl group having 1 to 18 carbon atoms is preferable.
  • Aromatic amines include aniline, methyla-line and the like.
  • Alicyclic amides such as cyclohexylamine and dicyclohexylamine; heterocyclic compounds such as pyrrole, pyrrolidine, pyrrolidone, pyridine, monoreforin, pyrazine, piperidine, N-hydroxyethylpiperidine, oxazole and thiazole. Amin.
  • a 1: 1 or 1: 2 salt of hydrofluoric acid and ammonium and / or amine is formed by mixing the liquids.
  • hydrofluoric acid, ammonia and ⁇ ⁇ or amine may be present as salts.
  • the mixing amount is preferably smaller than the molar ratio of hydrofluoric acid.
  • hydrofluoric acid and ammonia are preferably used in the removal solution containing the above two components.
  • the removing solution of the present invention may contain at least one surfactant selected from the group consisting of anionic, cationic and nonionic surfactants.
  • the content of the surfactant is not particularly limited as long as the intended effect of the present invention is exhibited, but is usually about 0.0001 to 10 mass%, and preferably about 0.001 to 5 mass%. 0.01 ⁇ lmass% preferred
  • a polar protic aprotic solvent having a donor number of 25 or more, an acid, a fluorine-containing organic compound, or the like may be added to these.
  • HF hydrogen fluoride
  • ammonia and / or amine at least one kind of organic acid and organic solvent: water: acid: polar prophilic solvent having 25 or more donors: weight ratio of fluorine-containing organic compound: 0.05-: 10mass%: 0.05-05-20 mass%: 30 ⁇ 99. 88mass%: 0.02 ⁇ 30mass%: 0.05 ⁇ 10mass%: 0. l ⁇ 50mass%: preferably l ⁇ 70mass%.
  • the removing solution of the present invention can selectively remove an antireflection film containing silicon and / or a filling material.
  • the antireflection film and the burying material to be removed by the method of the present invention include an antireflection film and a burying material containing silicon, for example, an antireflection film and a burying material containing a Si-OH bond, and a Si-H bond.
  • Anti-reflection film and burying material, etc. also include anti-reflection film and burying material after being etched with an etching gas such as C4F8.
  • antireflection film and burying material includes those in which part or all of the surface of the antireflection film and the burying material have been altered by the etching process.
  • the remover for the filling material can also remove such an antireflection film and the degenerated substance of the filling material.
  • the etching gas is present in the polymer produced by polymerization of the etching gas, in the etching residue gas, or in the grooves or holes formed by the etching, the antireflection film and the embedding material are removed at the same time.
  • This polymer and residue may be removed.
  • Etching residues generated by plasma etching (removal of resist and polymer by plasma process) using oxygen, hydrogen, nitrogen, rare gas, etc. can be removed at the same time by removing the antireflection film and the filling material. it can.
  • the etching residue is a reaction product generated in a dry etching process or a post-dry etching process, a deposit such as a sputtered product, a deposit, and a residue that needs to be removed. Also includes polymers formed by polymerization.
  • deposits and deposits refer to substances such as fluorocarbon-containing polymers generated from the etching gas plasma itself, resists, antireflection films, insulating film barriers, low-k films, and devices such as metals that are wiring materials.
  • a substance produced by the reaction of the constituent material of the above by exposure to plasma during etching and a substance generated when sputtered by ions in the plasma adhere and deposit.
  • Residues that need to be removed are those that need to be removed, including resist and anti-reflective coatings that have been altered by etching and ashing, and that are not required for the next step after etching. Is shown.
  • the antireflection film and the burying material are used during rework of some processes such as lithography, it is possible to remove these simultaneously or separately with the resist.
  • low-k film and anti-reflective film and filling material damaged by dry etching and subsequent asshing by plasma using oxygen, hydrogen, nitrogen, rare gas, etc. It is also possible to remove them simultaneously or separately. Depending on the chemical composition, it is also possible to remove the anti-reflection film and the filling material while leaving the damaged low-k film without removing it.
  • Damaged low-k films are those with increased relative dielectric constant.
  • the relative dielectric constant of a porous low-k film is 2.4 or less. If this porous low-k film is damaged by associating with oxygen plasma, the outermost surface will be a film like Si02, and the relative dielectric constant may be locally close to 4.0 . This may increase the inter-wiring capacitance of the Cu / low-k multilayer wiring structure of the device. In such cases, if possible, it is better to remove the damaged low-k film. However, if the processing dimensions change by removing the damaged low-k film, wiring embedding failure may occur, or the original characteristics of the device may not be realized. In such a case, the damaged low-k film is not removed.
  • the HF concentration is very high
  • the anti-reflection film and the filling material include those containing silicon, Si—OH bonds and / or SH bonds, and the like, and include materials damaged by plasma assing.
  • An anti-reflection film and a burying material containing Si-H bonds are films having no or few Si-CH3 bonds and many Si-H bonds, and have significant Si-H absorption vectors (FT-IR measurement data). 2200-2300 cm-1) is a film represented by SiOxCyHz, generally
  • the low-k film refers to a film that is damaged by 02 plasma assing.
  • the relative dielectric constant is larger than 1 and is about 4 or less, preferably about 3 or less, more preferably 2. It means an insulating film of about 8 or less, more preferably about 2.6 or less.
  • low-k films examples include Black Diamond (trade name, manufactured by Applied Materials), Coral (trade name, manufactured by Novellus), 1KD series (trade name, manufactured by JSR), Aurora (trade name, ASM) ), HSG series (trade name, manufactured by Hitachi Chemical Co., Ltd.), Nanoglass (trade name, manufactured by Honeywell), IPS (trade name, manufactured by Shiroi-Daisei Co., Ltd.), Z3M (trade name, manufactured by Dow Corning), Newly formed films such as X1K (trade name, manufactured by Dow Corning), FOx (trade name, manufactured by Dow Corning), Orion (trade name, manufactured by Tricon) with a relative dielectric constant of 2.4 or less are also available. can give.
  • Low-k films are mainly produced by coating and organic plasma CVD.
  • coating a film-specific film name is given, and in the case of organic plasma CVD, a film-specific film name is given depending on the material and equipment used for film formation.
  • Orion is one of the organic plasma CVD films.
  • the insulating film barrier is used to (1) use the low-k film as a hard mask for patterning, and (2) prevent copper diffusion. (3) Etch stopper (stopper film) to prevent low-k film etching, (4) Protection of low-k film and improvement of adhesion to base, (5) Copper CMP This is an insulating film used to protect the low-k film (cap film) in the process. It is desired that the dielectric constant of the insulating film is small so that it has these functions and does not impair the relative dielectric constant of the low-k film. Insulating film burr Examples of the alloy include compounds containing silicon (Si) such as silicon nitride (SiN), silicon carbide (SiC), and silicon carbonitride (SiCN).
  • the resist examples include a resist for drawing by using a laser light source such as KrF (Krypton F), ArF, and F2.
  • KrF Kerpton F
  • ArF ArF
  • F2 Fluorescence F
  • the resist is not limited to this.
  • a low-k film is formed on a semiconductor substrate (eg, SiN, copper, TaN, SiC, etc.), then an antireflection film and a resist are formed, and then a pattern is formed by photolithography. After the low-k film is etched according to the pattern, the filling material is filled, and when a dual damascene structure or a damascene structure is again formed by photolithography and etching, the remaining filling material or lithography Removal of the buried material and antireflection film filled in the pattern of grooves and holes that have already been etched during reworking of some processes (rework) etc. be able to.
  • a semiconductor substrate eg, SiN, copper, TaN, SiC, etc.
  • the present invention relates to a case where a sacrificial film is formed for an ion implantation cap, a complicated three-dimensional structure capacitor, or the like using a material or a raw material used for an antireflection film and a filling material containing silicon. Also, the sacrificial film can be selectively removed.
  • the removal solution of the present invention is a low-k film and a resist in which a hole or a groove is opened and an antireflection film and / or a filling material is adhered, and the antireflection film and / or Alternatively, it is a liquid for removing the filling material.
  • a polymer polymer of an etching gas
  • an etching residue may be attached to the wall surface and / or Z of the hole of the hole of the low-k film obtained by etching.
  • a SiN, SiC, TaN film or the like is formed on the low-k film, and the SiN, SiC, Ta film, etc. are formed as an anti-reflection film and / or Etching with embedding materials is recommended.
  • An anti-reflection film can be formed on the surface of the resist or under the resist.
  • the anti-reflection film contains silicon, it is peeled off together with the resist, the etching residue, and the embedding material. be able to.
  • the low-k film has a thickness of about 0.01 to 2 ⁇ , a thickness of about 0.001 to 0.2 ⁇ m, and a thickness of about 0.01 to 10 ⁇ , respectively.
  • the SiN film, SiC film, TaN film, anti-reflection film, etc., which are formed as needed, are usually about 0.01 to 2 ⁇ m and about 0.001 to 0.2 m, respectively.
  • the thickness is about 0.01 to 10 / im and about 0.01 to 0.1 ⁇ m. Since the embedding material is embedded in the etched shape, it requires approximately the same amount as the volume of the shape.
  • a plasma assing containing light oxygen e.g., light
  • the changing power of the relative dielectric constant before and after plasma containing oxygen is preferably about 20% or less, more preferably about 10% or less, and still more preferably about 5% or less. You can even sing.
  • plasma etching containing light oxygen and oxygen as a pretreatment, remove the antireflection film and / or filling material directly after etching, even when using the same remover when performing plasma etching containing light hydrogen.
  • Optimal conditions such as temperature and time may be different from the case where
  • the method for removing the antireflection film and / or the filling material using the removing liquid of the present invention can remove the antireflection film and / or the filling material and does not substantially damage the low-k film.
  • the temperature and the time are as follows.
  • the phrase "does not substantially damage the low-k film” means that the physical properties of the low-k film before and after the treatment using the removing solution are changed only to such an extent that the performance is not affected when used for a semiconductor substrate. For example, at the interface between the resist and the low-k film, the cross-sectional shape of the film to be processed in the stacking direction is not substantially changed without substantially invading (etching) the low-k film.
  • the relative dielectric constant of the low-k film does not substantially change before and after the treatment with the removing solution.
  • Substantially no etching of the low-k film means that the etching amount of the low-k film is preferably about 200 nm or less, more preferably about 100 nm or less, and still more preferably about 50 nm or less.
  • the fact that the relative dielectric constant of the low-k film does not substantially change before and after the treatment with the removing liquid means that the change in the relative dielectric constant is preferably about 20% or less, more preferably about 10% or less. It is more preferably about 5% or less.
  • the treatment with the removing liquid can be performed, for example, by immersing the substrate after etching as an object to be treated in the removing liquid of the present invention.
  • the conditions for immersion in the removing solution are not particularly limited as long as the anti-reflection film and / or the filling material can be removed and the low-k film is not substantially damaged. Can be set appropriately. For example, if the temperature of the removing solution is about 15 to 60 ° C., the immersion may be performed for about 0.1 to 30 minutes, preferably for about 0.5 to 20 minutes.
  • the anti-reflection film and / or the filling material can be removed by bringing the removal liquid into contact with the object to be processed. After that, the composition may be washed by continuously spraying the composition on the object to be treated.
  • the treatment with the removing liquid of the present invention when it is difficult to remove the anti-reflective coating and / or the filling material due to the type of the anti-reflective coating and / or the filling material and etching conditions, for example, immersing the object to be treated in the removing liquid. And then perform ultrasonic cleaning.
  • the corrosion proceeds when the amount of dissolved oxygen and the amount of hydrogen ions in the removing solution are large.
  • the amount of dissolved oxygen is important in controlling the corrosion of copper. If the amount of dissolved oxygen in the removal solution can be reduced, copper corrosion can be greatly reduced.
  • an inert gas is mixed in an atmosphere (substantially in an inert gas) in which the oxygen partial pressure is equal to or lower than the oxygen partial pressure of air.
  • An inert gas is dissolved in the anti-reflection coating and the embedding material removal liquid, and the anti-reflection coating and / or the removal liquid whose oxygen partial pressure in the removal liquid is reduced to the oxygen partial pressure of the saturated dissolved air or less.
  • corrosion can be suppressed.
  • further rinsing to remove the removing liquid using water in which the inert gas is dissolved and the oxygen partial pressure in the water is equal to or lower than the oxygen partial pressure of the air in which the dissolved water is saturated can suppress corrosion in the rinsing stage. Can be more effective.
  • the inert gas examples include rare gases such as nitrogen (N2), helium, neon, and argon.
  • the inert gas is not necessarily limited to these, but may be substantially inert to the removing solution.
  • the same effect of removing dissolved oxygen can be obtained with a gas that does not react with the removing liquid, such as fluorocarbon gas, hydrocarbon, and carbon monoxide.
  • the semiconductor substrate from which the antireflection film and / or the filling material has been removed by using the removing liquid of the present invention can be used in a commonly used method such as, for example, copper or aluminum wiring (for example, semiconductor CMP technology, It can be processed into various types of semiconductor devices according to the method described in Toshiro edited by 2001).
  • the removal liquid of an antireflection film and an embedding material which does not substantially impair a low-k film can be provided.
  • the present invention can provide a removal liquid for selectively removing an antireflection film and / or a buried material when a process such as formation of a damascene structure or a dual damascene structure, or lithography is partially performed again (rework).
  • the etching amount of the following composition was obtained by etching each film at 23 ° C. using each composition and calculating the difference between the film thickness before the etching treatment and the film thickness after the etching treatment.
  • the etching amount of the embedding material and the low-k film was measured by measuring the film thickness before and after the etching using Nanospec 3000AF-T manufactured by NanoMetricus Japan KK.
  • the removal properties and cross-sectional shape of the antireflection film and the embedding material were observed with a scanning electron microscope (S-5000) by Hitachi, Ltd.
  • Test example 1 Removability of anti-reflective coating and filling material
  • Filling material A Material containing Si, C, 0, H, and having Si—OH, Si—CH3, and Si—O bonds;
  • Porous low-k film B Coating film containing Si, C, 0, H and having Si-CH3, Si-0 bond
  • Porous low-k film C Containing Si, C, 0, H, Si—CH3 CVD film with Si-0 bond.
  • Examples 1 to 41 after the object to be processed having the above-described dual damascene structure was prepared, a process such as oxygen plasma asshing was not performed.
  • the anti-reflection coating and the filling material A etching rate ratio (A / B, A / C) for low-k film B and low-k film C the values are shown in Tables:
  • the anti-reflection film and the burying material A were selectively removed from the substrate treated with the removing solution of Examples 1-41 selectively with respect to the low-k film B and the low-k film C.
  • the etching rate of the antireflection coating and the burying material A is 6 to 800 A / min.
  • the substrates treated with the liquids of Comparative Examples 1 to 5 shown in Table 4 could not remove the antireflection film and the filling material A.
  • the etching rate of the antireflection film and the filling material A of this comparative example is 6 A / min or less.
  • Comparative Examples 1 to 5 when the HF concentration was increased, the removability was slightly improved, but the effect was small. Further, in a portion where the copper wiring is exposed, it is difficult to use the portion in order to promote corrosion of copper.
  • the organic solvents shown in Comparative Examples:! To 5 are effective in removing the native oxide film of copper. It is desirable to remove the copper native oxide film because it may increase the resistance of the wiring and cause poor contact.
  • Comparative Examples 1 to 5 were mixed with Examples 1 to 41 to remove the antireflection film and the buried film and at the same time to remove only the copper native oxide film in a processing time in which copper corrosion was small.
  • Can be used for Comparative Examples 5 and 6 are examples of treatment with a chemical solution having a typical composition used for a polymer stripping solution. Also in this case, the antireflection film and the filling material have not been removed. It is difficult to remove them selectively.
  • the results obtained by performing the asshing treatment with hydrogen plasma were almost the same in both the examples and the comparative examples.
  • Etch rate ratio of anti-reflective coating and filling material A and porous low-k film C in HF / organic acid 'organic solvent / H20 removal liquid, removal of anti-reflective film and filling material A, and low-k film C Changes in the cross-sectional shape of the formed pattern
  • Etch rate ratio of antireflection film A and buried material A to porous low-k film C, removability of antireflection film and buried material A, and change in cross-sectional shape of pattern formed on low-k film C Comparative example of
  • DMSO dimethyl sulfoxide
  • DMF ⁇ , ⁇ -dimethylformamide
  • DMA N, N-dimethylacetamide
  • NMP N-methyl-2-pyrrolidone
  • An anti-reflection film and an embedding material A were formed on a wafer, and were subjected to etching and / or asking by oxygen plasma. The same plasma treatment was performed on the dual damascene structure to be processed earlier. As shown in the anti-reflection film and the buried material A etching rate ratio (A / B, A / C) for low-k film B and low-k film C, Example 23 shown in Tables 5 and 6 was used. Substrates treated with the isopropyl alcohol (IPA) -removing solution shown in Fig. 34 to 34 show that the low-k film B and low-k film C are selectively plasma-damaged to the anti-reflection film and the filling material. A had been completely removed.
  • IPA isopropyl alcohol
  • the etching rate of the antireflection film and the embedding material A is 20 to 280 A / min.
  • the resist and etching residue were also removed.
  • As an organic solvent acetic acid, a mixed solution of isopropyl alcohol and methanol, 1,2-dimethoxyethane, ethyl acetate, 1,4-dioxane, propylene carbonate, methanesulfonic acid, Similarly, a mixed solution of 1,4-dioxane and acetic acid and / or acetic anhydride completely removes the antireflection film and the filling material A that have been selectively damaged by plasma for the low-k film B and low-k film C. could be removed. Table 5
  • a low-k film B was formed, and the asking by oxygen plasma was processed. A damage layer was formed on the low-k film B. The same plasma treatment was performed on the workpiece having the dual damascene structure manufactured earlier.
  • the removal solution of Examples 36 and 38 shown in Table 7 was used. From the substrate treated for 5 minutes, the low-k film B ′ in which the damage layer was selectively formed with respect to the low-k film B was completely removed together with the antireflection film and the filling material. On the other hand, from the substrate treated with the removing solution of Examples 35, 37, 39, and 40 for 1 to 2 minutes, only the antireflection film and the burying material A were removed, and the low-k film on which the damaged layer was formed was formed. B 'remained. In this case, the resist and etching residue were also removed.
  • the etching rate ratio of B '(B' / B) is large or the difference between them is small, the processing takes some time to complete the low-k film B 'with the damaged layer. Can be removed. In this case, attention must be paid to the amount of etching of the low-k film B by the removing solution.
  • the etching rate ratio (A / B) of the antireflection film and the filling material A to the low-k film B is lower than that of the low-k film B.
  • the etching rate ratio (B '/ B) of the low-k film B' on which the damage layer is formed is very small.By processing in a short time, the antireflection film and the burying material A are completely removed, and the damage layer is removed. The low-k film B ′ on which is formed can be left. At this time, the etching rate of the antireflection film and the filling material A is 7 to 680 A / min. Table 7
  • Test example 2 Cross section

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Wood Science & Technology (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Organic Chemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Inorganic Chemistry (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Chemical & Material Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Emergency Medicine (AREA)
  • Power Engineering (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Paints Or Removers (AREA)
  • Materials For Photolithography (AREA)
  • Weting (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

A remover liquid for removing an antireflective film and a buried material containing silicon is disclosed which contains at least one material selected from the group consisting of organic acids and organic solvents, and a hydrogen fluoride (HF). Also disclosed is a method for removing an antireflective film and/or a buried material containing silicon using such a remover liquid.

Description

明細書  Specification
シリコンを含有する反射防止膜および埋め込み材の除去液と除去方法  Silicon-containing antireflection coating and removal material and removal method for filling material
技術分野  Technical field
本発明は、ダマシン構造おょぴデュアルダマシン構造の形成、リソグラフィ一のやり直し などリワークの際において、反射防止膜および埋め込み材を除去するための除去液に関 するものである。  The present invention relates to a remover for removing an antireflection film and a filling material during rework such as formation of a damascene structure or a dual damascene structure and reworking of lithography.
背景技術  Background art
近年まで、配線材料として A1あるいは A1合金などを用レヽ、層間絶縁膜として Si02膜 を使用する Al/Si02 多層配線構造の半導体デバイスが中心に製作されてきた。現在は、 デバイスの微細化に伴う配線遅延を低減するため、配線材料として抵抗値の低い Cuを 用レ、、 Si02膜の代わりに層間絶縁膜として配線間容量の小さい low-k膜 (低誘電率膜) を用いる Cu/low- k多層配線構造が開発されている。  Until recently, semiconductor devices with an Al / Si02 multilayer wiring structure using A1 or A1 alloy as a wiring material and a Si02 film as an interlayer insulating film have been mainly manufactured. Currently, in order to reduce wiring delays due to device miniaturization, Cu with low resistance is used as wiring material, and low-k film (low dielectric constant) with low inter-wiring capacitance as interlayer insulating film instead of Si02 film. Cu / low-k multilayer wiring structures using a rate film) have been developed.
Al/Si02多層配線構造では、加工するウェハーに対して水平方向に電流を供給する配 線層と各配線層間を接続する垂直方向の穴型の配線であるビア層を別々に形成する。 配線層はメタルドライエッチングにより凸型の A1などの金属配線を力卩ェし、その配線の周 囲を Si02膜などの層間絶縁膜で埋め込むことにより形成される。ビア層は Si02膜など の層間絶縁膜を堆積した後に、ドライエッチングにより穴 (ビアホール)を加工し、 A1や W などの金属を埋め込み形成する。 Cu/low- k多層配線構造では、ドライエッチングにより、 まず low_k膜に溝 (トレンチ)や穴 (ビアホール)を加工し、その加工部分に主に銅などの配 線材料を埋め込み配線構造を形成するダマシンとレヽわれる方法により加工が行われる。 さらに、デュアルダマシンという方法においては、配線のためのトレンチとビアホールを同 時に low- k膜に形成し、その後、銅などの配線材料を埋め込む。デュアルダマシン構造 の形成には、ビアホール先に形成した後、配線のための溝を形成するビアファーストプロ セス、この逆の順序で配線のためのトレンチを先に形成した後、ビアホールを形成するト レンチファーストプロセス、その他にミドノレファーストプロセス、デュアノレハードマスクプロセ スなどがある。埋め込み材は、主にデュアルダマシンのプロセスゃリソグラフィーなど一部 のプロセスのやり直し (リワーク)の際に使用することが多い。たとえば、ビアファーストのプ ロセスにおいて、ビアホールをドライエッチングにより形成した後、埋め込み材を埋め込み、 トレンチを形成するためのリソグラフィーを行レ、、エッチングする。その後、埋め込み材は 選択的に除去する必要がある。 In the Al / Si02 multilayer wiring structure, a wiring layer for supplying a current in a horizontal direction to a wafer to be processed and a via layer which is a vertical hole-shaped wiring connecting each wiring layer are separately formed. The wiring layer is formed by squeezing a metal wiring such as a convex A1 by metal dry etching, and filling the periphery of the wiring with an interlayer insulating film such as a Si02 film. The via layer is formed by depositing an interlayer insulating film such as a Si02 film, processing holes (via holes) by dry etching, and burying metals such as A1 and W. In a Cu / low-k multilayer wiring structure, dry etching first processes grooves (trench) and holes (via holes) in the low_k film, and forms wiring structure mainly by embedding wiring material such as copper in the processed part Processing is performed by a method referred to as damascene. Furthermore, in the dual damascene method, trenches and via holes for wiring are simultaneously formed in a low-k film, and then wiring materials such as copper are embedded. To form a dual damascene structure, a via-first process in which a trench for wiring is formed after forming a via hole, and a trench in which a via hole is formed after forming a trench for wiring in the reverse order. There are a wrench first process, a midoren first process, and a duanore hard mask process. The embedding material is mainly used for reworking some processes such as dual damascene process and lithography. For example, in a via-first process, after a via hole is formed by dry etching, an embedding material is embedded, Lithography for forming a trench is performed and then etched. After that, the embedding material must be selectively removed.
Al/Si02多層配線構造では、配線のためのメタルエッチングやビアホール加工のための ビアエッチング後には、レジストやエッチング残渣などの不要物を除去するために酸素を 含んだプラズマにより灰化 (アツシング)を行っていた。 Cu/low- k多層配線構造では、多 量の酸素ラジカルを含んだプラズマによりアツシングを行うと、 low- k膜にダメージを与え てしまう。このため、多量の酸素ラジカルを含んだプラズマアツシングを行わずに、水素プ ラズマアツシング、 Heなどの不活性ガスを用いたアツシングおよび He/水素などの混合 ガスプラズマ、もしくは酸素を含んで low- k膜にダメージを与えないように酸素ラジカルを 減らしたプラズマアツシングをした後に、レジストやエッチング残渣などの不要物を除去す ることが望ましレ、。このような場合、アツシング後であっても、比較的多くのレジスト、エッチ ング残渣および埋め込み材が残る。あるいは、アツシングしてレジストなどを除去できたと しても、埋め込み材をすべて除去することは難しい。 In al / Si0 2 multilayer interconnection structure, after the via etching for metal etching or the via hole for wiring, ashing by the plasma containing oxygen in order to remove unwanted substances such as resist and etching residues (Atsushingu) Had gone. In Cu / low-k multilayer interconnects, low-k films can be damaged by asshing with plasma containing a large amount of oxygen radicals. Therefore, instead of performing plasma ashing containing a large amount of oxygen radicals, hydrogen plasma ashing, ashing using an inert gas such as He, and a mixed gas plasma such as He / hydrogen, or a low -It is desirable to remove unnecessary substances such as resist and etching residue after performing plasma ashes with reduced oxygen radicals so as not to damage the k film. In such a case, a relatively large amount of resist, etching residue, and filling material remain even after asshing. Alternatively, even if the resist can be removed by asking, it is difficult to remove all the filling material.
さらに、リワークの場合も low- k膜に対して埋め込み材を選択的に取り除かなければな らなレ、。現在提案されているレジストなどの剥離液で埋め込み材を除去しょうとすると、 low - k膜などのデバイスを構成する膜がエッチングされてしまい、本来の設計寸法どおり の加工ができなレ、。このように、埋め込み材の除去はこれまで専用の薬液が存在してい なかった。特に従来のポリマー剥離液によるエッチング後の洗浄では、シリコンを含む low - k膜に対してシリコンを含む埋め込み材を十分選択的に除去できな力 た。シリコン を含む low-k膜と共存する状況において、シリコンを含む埋め込み材を効果的に選択除 去する薬液は未だ開発されてレ、なレ、。  Furthermore, in the case of rework, the filling material must be selectively removed from the low-k film. Attempting to remove the filling material with a stripping solution such as the resist currently proposed will etch the low-k film and other films that make up the device, making it impossible to process to the original design dimensions. As described above, there has been no dedicated chemical solution for removing the filling material. In particular, in the conventional post-etching cleaning using a polymer stripper, the silicon-containing buried material was not sufficiently selectively removed from the silicon-containing low-k film. Chemicals that can selectively remove silicon-containing buried materials in the presence of silicon-containing low-k films are still being developed.
本発明は、ダマシン構造おょぴデュアルダマシン構造の形成、リソグラフィーなど一部 のプロセスのやり直し (リワーク)などの際において、反射防止膜および埋め込み材を取り 除く除去液に関するものである。  The present invention relates to a remover for removing an anti-reflection film and a filling material when a process such as formation of a damascene structure or a dual damascene structure or lithography is re-executed (reworked).
発明の開示  Disclosure of the invention
本発明は、以下の除去液、除去方法、リンス方法及び除去処理物を提供するものであ る。 1. 有機酸及ぴ有機溶媒からなる群力 選ばれる少なくとも 1種およびフッ化水素 (HF) を含む、シリコンを含有する反射防止膜おょぴ埋め込み材からなる群力 選ばれる少なく とも 1種を取り除くための除去液。 The present invention provides the following removal solution, removal method, rinsing method, and removal product. 1. A group consisting of an organic acid and an organic solvent A group consisting of an anti-reflection coating containing silicon and containing hydrogen fluoride (HF) and at least one selected from the group consisting of an organic acid and an organic solvent Removal liquid to remove.
2. 不活性ガスを溶解させ、除去液中の酸素分圧を飽和溶解した空気の酸素分圧以下 にした項 1に記載の除去液。  2. The removal solution according to item 1, wherein the inert gas is dissolved, and the oxygen partial pressure in the removal solution is set to be equal to or less than the oxygen partial pressure of the saturated dissolved air.
3. 反射防止膜おょぴ Zまたは埋め込み材が OHと結合したシリコン (Si— OH結合)お ょぴ Zまたは Hと結合したシリコン (Si— H結合)を含有するものである、項 1に記載の除 去液。  3. The anti-reflection coating Z or the filling material contains silicon bonded to OH (Si-OH bond) and silicon bonded to Z or H (Si-H bond). Remover as described.
4. さらに、アンモニア及ぴァミンからなる群より選ばれる少なくとも 1種を含む項 1に記載 の除去液。  4. The removal solution according to item 1, further comprising at least one selected from the group consisting of ammonia and amine.
5. 有機酸及び有機溶媒からなる群カゝら選ばれる少なくとも 1種およびフッ化水素 (HF) 力 なり HFの濃度が 0. 001〜10mass%である項 1に記載の除去液。  5. The removal solution according to item 1, wherein the concentration of at least one selected from the group consisting of organic acids and organic solvents and hydrogen fluoride (HF) is 0.001 to 10 mass%.
6. 有機酸及び有機溶媒からなる群カゝら選ばれる少なくとも 1種が有機酸であり、かつ、 除去液がさらに水を含み、 HF :有機酸:水の重量比が 0. 001〜5mass% : 2〜99. 998 mass% : 0. 001〜97. 999mass%である項 1に記載の除去液。  6. At least one selected from the group consisting of organic acids and organic solvents is an organic acid, and the removal liquid further contains water, and the weight ratio of HF: organic acid: water is 0.001 to 5 mass%. The removal solution according to item 1, wherein the removal solution is 2 to 99.998 mass%: 0.001 to 99.99 mass%.
7. 有機酸及ぴ有機溶媒力 なる群力も選ばれる少なくとも 1種が有機溶媒であり、かつ、 除去液がさらに水を含み、 HF :有機溶媒:水の重量比が 0. 01〜10mass% :40〜99. 9 8mass% : 0. 01〜50mass%である項 1に記載の除去液。  7. Organic acid and organic solvent power At least one selected from group powers is an organic solvent, and the removal liquid further contains water, and the weight ratio of HF: organic solvent: water is 0.01 to 10 mass%: Item 4. The removal liquid according to Item 1, wherein the removal liquid is 40 to 99.98 mass%: 0.01 to 50 mass%.
8. HFと有機酸おょぴ有機溶媒より選ばれる少なくとも 1種にさらに水を含み、 HF:有 機酸および有機溶媒より選ばれる少なくとも 1種:水の重量比が 0. 001〜10mass% : 60 8. At least one selected from HF and organic acids and organic solvents further contains water, and the weight ratio of HF: at least one selected from organic acids and organic solvents: water is 0.001 to 10 mass%: 60
〜99· 998mass% : 0. 00ト 30mass%である項 1に記載の除去液。 Item 1. The removal solution according to Item 1, wherein the removal solution is 0.009 to 30% by mass.
9. HF ;アンモニア及ぴァミンからなる群より選ばれる少なくとも 1種;有機酸及ぴ有機溶 媒カゝらなる群カゝら選ばれる少なくとも 1種;及び水を含み、 HF:アンモニア及びァミンから なる群より選ばれる少なくとも 1種:有機酸及び有機溶媒からなる群カゝら選ばれる少なくと も 1種:水の重量比が 0. 001〜10mass% : 0. 001〜30mass%: 10〜99. 998rnass% : 0〜50mass%である項 4に記載の除去液。  9. HF: at least one selected from the group consisting of ammonia and amine; organic acid and at least one selected from the group consisting of organic solvents; and water; HF: from ammonia and amine At least one selected from the group consisting of: an organic acid and an organic solvent; at least one selected from the group consisting of: an organic acid and an organic solvent: a weight ratio of water: 0.001 to 10 mass%: 0.001 to 30 mass%: 10 to 99 998rnass%: The removal solution according to item 4, which is 0 to 50 mass%.
10. HF (フッ化水素)、有機酸および有機溶媒より選ばれる少なくとも 1種および水を含 む除去液にさらに、酸、ドナー数が 25以上の極性親プロトン性溶媒おょぴフッ素含有有 機ィ匕合物からなる群カゝら選ばれる少なくとも 1種を含み、 HF :有機酸および有機溶媒より 選ばれる少なくとも 1種:水:酸:ドナー数が 25以上の極性親プロトン性溶媒:フッ素含 有有機化合物の重量比が 0.001〜10mass%:25〜99.898mass%:0.001—30 mass%: 0〜: 10mass%: 0〜50mass%: 0〜70mass% (但し、酸、ドナー数が 25以上の 極性親プロトン性溶媒およびフッ素含有有機化合物からなる群カゝら選ばれる少なくとも 1 種の合計量は 0.1-74.998mass。/。である)である項 1に記載の除去液。 10. The removal solution containing water and at least one selected from HF (hydrogen fluoride), organic acids and organic solvents, and a polar protic solvent having 25 or more acids and donors, and a fluorine-containing organic solvent HF: at least one selected from the group consisting of: At least one selected: water: acid: polar protic solvent having a number of donors of 25 or more: weight ratio of fluorine-containing organic compound: 0.001 to 10 mass%: 25 to 99.898 mass%: 0.001 to 30 mass%: 0 to : 10 mass%: 0 to 50 mass%: 0 to 70 mass% (provided that the total amount of at least one selected from the group consisting of an acid, a polar protic solvent having 25 or more donors, and a fluorine-containing organic compound is 0.1%). -74.998 mass./.).
11. HFと、有機酸および有機溶媒の少なくとも 1種とに、さらにアンモニアおよびアミ ンの少なくとも 1種、水、酸、ドナー数が 25以上の極性親プロトン性溶媒、フッ素含有有 機ィ匕合物の少なくとも 1つを含み、 HF:有機酸および有機溶媒の少なくとも 1種:アンモ ニァおよぴァミンの少なくとも 1種:水:酸:ドナー数が 25以上の極性親プロトン性溶媒: フッ素含有有機化合物の重量比が 0.05〜10mass%:30〜99.78mass%:0.05—20 mass%: 0.02〜30mass%: 0〜10mass%: 0〜50mass%: 0〜70mass% (但し、酸、ドナ 一数が 25以上の極性親プロトン性溶媒およびフッ素含有有機化合物からなる群力 選 ばれる少なくとも 1種の合計量は 0.1-69.88mass%である)である項 4に記載の除去液。 11. HF, at least one kind of organic acid and organic solvent, and at least one kind of ammonia and amine, water, acid, polar prophilic solvent having 25 or more donors, and fluorine-containing organic compound HF: At least one kind of organic acid and organic solvent: At least one kind of ammonia and diamine: Water: Acid: Polar protic solvent having 25 or more donors: Fluorine-containing organic When the weight ratio of the compound is 0.05 to 10 mass%: 30 to 99.78 mass%: 0.05 to 20 mass%: 0.02 to 30 mass%: 0 to 10 mass%: 0 to 50 mass%: 0 to 70 mass% (however, one acid or Item 4. The removal solution according to Item 4, wherein the total amount of at least one selected from the group consisting of a polar protic solvent and a fluorine-containing organic compound is 0.1 to 69.88 mass%.
12. 以下のひ)〜 (10)のいずれかの組成を有する項 1に記載の除去液: 12. The removal solution according to item 1 having any one of the following compositions (a) to (10):
(1)HF:酢酸:水 =0.01〜5mass%:65〜99.98mass%:0.01~30mass%; (1) HF: acetic acid: water = 0.01 to 5 mass%: 65 to 99.98 mass%: 0.01 to 30 mass%;
(2) HF:イソプロピルアルコール:水 =0. l~10mass%:60~99.85mass%:0.05〜3 Omass%;  (2) HF: isopropyl alcohol: water = 0.1 to 10 mass%: 60 to 99.85 mass%: 0.05 to 3 Omass%;
(3) HF:酢酸:イソプロピルアルコール:水 =0.05〜: 10mass%:l〜98.93mass%:l〜 98.93mass%:0.02~30mass%;  (3) HF: acetic acid: isopropyl alcohol: water = 0.05 ~: 10mass%: l ~ 98.93mass%: l ~ 98.93mass%: 0.02 ~ 30mass%;
(4)HF:メタノール:イソプロピルアルコール:水 =0.1〜: 10raass%:l〜98. (4) HF: methanol: isopropyl alcohol: water = 0.1 ~: 10raass%: l ~ 98.
85mass%:l~98.85mass%:0.05~30mass%; 85mass%: l ~ 98.85mass%: 0.05 ~ 30mass%;
(5) HF:1,2—ジメトキシェタン:水 =0. l~10mass%:60~99.85mass%:0.05〜30 mass%;  (5) HF: 1,2-dimethoxyethane: water = 0.1 to 10 mass%: 60 to 99.85 mass%: 0.05 to 30 mass%;
(6) HF:酢酸ェチル:水 = 0· l~10mass%:60~99.85mass%:0.05〜30mass%; (7)HF:1,4-ジォキサン:水 =0. l〜10mass%: 60〜99.85mass%:0.05〜30 mass%;  (6) HF: ethyl acetate: water = 0.l ~ 10mass%: 60 ~ 99.85mass%: 0.05-30mass%; (7) HF: 1,4-dioxane: water = 0.l ~ 10mass%: 60 ~ 99.85 mass%: 0.05-30 mass%;
(8)HF:炭酸プロピレン:水 =0.1〜: I0mass%:60〜99.85mass%:0.05〜30 mass%; (9) HF :メタンスルホン酸:水 =0· 001〜5mass% : 65〜99. 998mass% : 0. 001〜30 mass% ;及ひ (8) HF: Propylene carbonate: water = 0.1 to: I0 mass%: 60 to 99.85 mass%: 0.05 to 30 mass%; (9) HF: methanesulfonic acid: water = 0.001 to 5 mass%: 65 to 99.998 mass%: 0.001 to 30 mass%;
(10) HF : 1,4 -ジォキサンと無水酢酸および酢酸からなる群力 選ばれる少なくとも 1種: 7_K = l〜10mass% : 60〜99. 85mass% : 0. 05〜30mass%。  (10) Group strength consisting of HF: 1,4-dioxane, acetic anhydride and acetic acid At least one selected from: 7_K = l to 10 mass%: 60 to 99. 85 mass%: 0.05 to 30 mass%.
13. HF : (ポリ)アルキレングリコールモノアルキルエーテル類おょぴ(ポリ)アル キレングリコールジアルキルエーテル類からなる群から選ばれる少なくとも 1種:水の 重量];匕力 0. 50〜5mass % : 85. 00~ 99. 30mass% : 0. 20〜: 10mass %である 項 1に記載の剥離液。 13. HF: (poly) alkylene glycol monoalkyl ethers (at least one selected from the group consisting of (poly) alkylene glycol dialkyl ethers: weight of water); drier power 0.50 to 5 mass%: 85 00 ~ 99. 30% by mass: 0.20 ~: 10% by mass.
14. 半導体基板表面上に low— k膜を有し、該 low— k膜の表面上にレジストと反射 防止膜および/または溝または穴の中に埋め込み材を有する被処理物を項 1に記載の 反射防止膜および埋め込み材の除去液を用いて処理することを特徴とする、 low-k膜に 実質的にダメージを与えることなく反射防止膜および/又は埋め込み材をレジストと同時 あるいは別々に除去する、反射防止膜および/又は埋め込み材の除去方法。  14. An article to be processed which has a low-k film on the surface of a semiconductor substrate, and has a resist and an antireflection film on the surface of the low-k film and / or a filling material in a groove or hole is described in item 1. The anti-reflection coating and / or the burying material are removed simultaneously or separately with the resist without substantially damaging the low-k film, characterized in that the treatment is performed using the anti-reflection coating and the burying material removing liquid. To remove the antireflection film and / or the filling material.
15. 反射防止膜および埋め込み材の除去液で処理する前に、レジスト、反射防止膜 及ひブ又は溝または穴の中の埋め込み材を、 low-k膜に実質的にダメージを与えなレヽ 程度にアツシング処理することを特徴とする項 14記載の方法。  15. Before treating with the anti-reflective coating and the filling material removing solution, remove the resist, the anti-reflective coating and the filling material in the grooves or grooves or holes to such an extent that the low-k film is not substantially damaged. Item 15. The method according to Item 14, wherein the associating process is performed.
16. low-k膜に実質的にダメージを与えなレ、ことが、実質的に low- k膜をエッチングし ないこと及び Z又は処理前後の low - k膜の比誘電率が実質的に変化しなレ、ことである 項 14に記載の方法。  16. Substantially no damage to the low-k film means that the low-k film is not substantially etched, and the relative permittivity of the low-k film before and after Z or treatment is substantially changed Item 14. The method according to Item 14.
17. エッチング残渣および/またはプラズマプロセスによるダメージを受けた low- k膜と 反射防止膜および/又は埋め込み材とを同時に除去する項 14記載の方法。 17. The method according to item 14, wherein the etching residue and / or the low-k film damaged by the plasma process and the antireflection film and / or the burying material are simultaneously removed.
18. プラズマプロセスによるダメージを受けた low - k膜を残して反射防止膜および Z又 は埋め込み材を除去する項 14に記載の方法。  18. The method according to item 14, wherein the anti-reflection film and the Z or the filling material are removed while leaving the low-k film damaged by the plasma process.
19. リソグラフィ一の一部プロセスのやり直し (リワーク)の際において反射防止膜及び/ 又は埋め込み材を使用した場合に、レジストと同時あるいは別々にこれらを除去する項 1 19. When using anti-reflective coating and / or filling material during rework of one part of lithography process (rework), remove these simultaneously or separately with resist.
4に記載の方法。 The method described in 4.
20. 不活性ガスを混合し、酸素分圧が空気の酸素分圧以下である雰囲気 (実質的に不 活性ガス中)で除去処理をする項 14に記載の方法。 21. 項 20に記載の除去処理をする方法を施した除去処理物に対し、不活性ガスを混 合し酸素分圧が空気の酸素分圧以下である雰囲気 (実質的に不活性ガス中)で、不活性 ガスを溶解させ、水中の酸素分圧を飽和溶解した空気の酸素分圧以下にした水を用い て除去液を取り除くリンス処理をする方法。 20. The method according to item 14, wherein an inert gas is mixed and the removal treatment is performed in an atmosphere (substantially in the inert gas) in which the oxygen partial pressure is equal to or lower than the oxygen partial pressure of air. 21. An atmosphere in which an inert gas is mixed and the oxygen partial pressure is less than or equal to the oxygen partial pressure of air with respect to the removal treated material that has been subjected to the removal treatment described in Item 20 (substantially in inert gas) In this method, the inert gas is dissolved, and a rinsing process is performed to remove the removal liquid using water in which the oxygen partial pressure in the water is reduced to or below the oxygen partial pressure of the saturated dissolved air.
22. 項 14および項 17に記載の除去方法および項 21に記載のリンス処理方法によって 除去処理をすることにより得ることができる除去処理物。 本発明は反射防止膜および埋め込み材の除去液に関するものである。本発明の反射 P方止膜および埋め込み材の除去液は、有機酸及び有機溶媒からなる群より選ばれる少 なくとも 1種、並びにフッ化水素 (HF)を必須成分として含有する組成物である。 22. A removed product obtained by performing the removal treatment according to the removal method described in paragraphs 14 and 17 and the rinsing treatment method described in paragraph 21. The present invention relates to an antireflection film and a liquid for removing an embedding material. The remover for the reflective P-blocking film and the filling material of the present invention is a composition containing at least one selected from the group consisting of organic acids and organic solvents, and hydrogen fluoride (HF) as essential components. .
本発明は、フッ化水素 (HF)の解離を調整して、 low- k膜やシリコン酸ィ匕膜のエッチング 種である HF2—を減らし、主にはエッチング種 HF、 (HF)nと H+とを作り出すことにより、 low- k膜やシリコン酸ィ匕膜に対して、シリコンを含有する反射防止膜および埋め込み材を選 択的に除去するものである。フッ化水素 (HF)の解離は、有機酸及ぴ有機溶媒の物性を 変化させることにより調整することができる。フッ化水素 (HF)の解離に関与する主な有機 酸及び有機溶媒の物性は、比誘電率( ε r)と酸性'塩基性である。酸性'塩基性の指標と して、ァクセプター数 (AN)、ドナー数 (DN)、自己プロトリシス定数などがある。自己プロトリ シスとは、水、アルコールなどの中性溶媒、酸などのプロトン供与性溶媒、ホルムアミドな どの親プロトン性溶媒などの両性溶媒にぉレ、て溶媒間でプロトンの授受が起こることをレヽ う。すなわち、これらの溶媒は自己プロトリシス定数 pKSHが小さレ、。 The present invention adjusts the dissociation of hydrogen fluoride (HF) to reduce HF 2 —, which is an etching species for low-k films and silicon oxide films, and mainly reduces etching species HF and (HF) n. By producing H +, the anti-reflection film containing silicon and the filling material are selectively removed from the low-k film and the silicon oxide film. The dissociation of hydrogen fluoride (HF) can be adjusted by changing the properties of the organic acid and the organic solvent. The physical properties of the main organic acids and organic solvents involved in the dissociation of hydrogen fluoride (HF) are relative permittivity (εr) and acidity and basicity. Indicators of acidity and basicity include the number of acceptors (AN), the number of donors (DN), and the self-protolysis constant. Self-protolysis refers to the transfer of protons between solvents in a neutral solvent such as water or an alcohol, a proton-donating solvent such as an acid, or an amphoteric solvent such as a pro-philic solvent such as formamide. U. That is, these solvents have low self-protolysis constant pK SH .
自己プロトリシス; SH + SH SH2 + + S2- (SH;両性溶媒) Self-protolysis; SH + SH SH 2 + + S 2- (SH; amphoteric solvent)
自己プロトリシス定数; pKSH= [SH2 +MS2_]/[SH]2 Self protolysis constant; pK SH = [SH 2 + MS 2 _] / [SH] 2
ァクセプター数 ANとは、 Mayer-Gutmannが提案したァクセプター性の尺度、すなわち 溶媒のルイス酸としての尺度である。 n-へキサン中に溶力 た (C2F5)3POの 31P-NMRィ匕 学シフト値を 0とし、 1,2 -ジクロロェタン中の (C2F5)3PO 'SbCl5錯体の 31P- NMR化学シフト 値を 100としたとき、ある純溶媒中に溶力 た (C2F5)3POの 31P - NMR化学シフト値を ANと する。 ΑΝ = 100 δ (溶媒)/ [ δ (1,2 -ジクロロェタン中の (C2F5)3P〇'SbCI5) - δ (η -へキサン中 に溶かした (C2F5)3PO)]である。 ドナー数 DNとは Gutmannが提案したドナー性の尺度、すなわち溶媒のルイス塩基と しての尺度である。 1,2-ジクロロェタン中の SbCls(l(r3moldm-3)と溶媒 (10— 3moldnT3)とが反 応する際のェンタルピーを kcal mor1の単位で表した数値の絶対値を DNとする。 DN= - Δ H(SbCl5)/kcal πιοΓ1である。 The acceptor number A N is a measure of the acceptor property proposed by Mayer-Gutmann, that is, a measure of the solvent as a Lewis acid. It was溶力in hexane n- and (C 2 F 5) 31 P -NMR I spoon Science shift value of 3 PO is 0, 1, 2 - in Jikuroroetan of (C 2 F 5) 3 PO 'SbCl 5 complex when the 31 P- NMR chemical shift values 100, 31 P certain was溶力pure solvent (C 2 F 5) 3 PO - the NMR chemical shift values to a N. Α Ν = 100 δ (solvent) / [δ ((C 2 F 5 ) 3 in 1,2-dichloroethane 3 P〇'SbCI 5 )-δ (η -dissolved in hexane (C 2 F 5 ) 3 PO)]. The number of donors, DN , is a measure of donorness proposed by Gutmann, that is, a measure as a Lewis base of a solvent. SbCl s (l (r 3 moldm- 3) and a solvent (10- 3 moldnT 3) Togahan the absolute value of a number representing the Entarupi when response in units of kcal mor 1 D in 1,2 Jikuroroetan Let N. D N =-ΔH (SbCl 5 ) / kcal πιοΓ 1
測定値として報告されていなくてもこれに準ずるァクセプター数、ドナー数をもつ有機 溶媒は多数存在する。有機溶媒のァクセプター性、ドナー性は推測することができる。例 えばアルキル基が大きくなるにつれてァクセプター数は小さくなる傾向を持つ。  There are many organic solvents that have the same number of acceptors and donors even if they are not reported as measured values. The acceptor property and the donor property of the organic solvent can be estimated. For example, as the number of alkyl groups increases, the number of acceptors tends to decrease.
AN(HOH)=54.8、 AN(CH3OH)=41.3、 AN(C2H5OH)=37.1、 AN(C3H7OH)=33.5となり、アルキ ル基の増加とともにァクセプター数は順に小さくなる。アルキル基が大きいほうが電子供 与性 I効果 (Inductive Effect)は大きぐ水酸基— OHの Hの電子密度が高くなり電子受 容性が弱くなつてレ、るためであると考えることができる。 C4H9〇Hの ANの報告はないが、 33.5以下の 33.5に近い値であることが推測できる。このように、ドナー数、ァクセプター 数が未知な物質を既知の物質と比較することと、ドナー性、ァクセプター性を示す原子の 電子密度の増減を考えることにより、その物質のドナー性、ァクセプター性の度合いを知 ることができ、必ずしも文献値などの測定値は必要ない。有機溶媒のァクセプター性が強 レ、とレ、うことは、溶媒のルイス酸性が強レヽとレ、うことであり、ドナー性が強レヽとレ、うことは溶 媒のルイス塩基性が強レ、とレ、うことである。 A N (HOH) = 54.8, A N (CH 3 OH) = 41.3, A N (C 2 H 5 OH) = 37.1, A N (C 3 H 7 OH) = 33.5, and as the alkyl group increases, the The numbers decrease in order. It can be considered that the larger the alkyl group, the more the electron-inducing effect (Inductive Effect) is because the electron density of H of the larger hydroxyl group —OH becomes higher and the electron acceptability becomes weaker. C 4 H 9 no reports of A N of 〇_H but can be assumed to be a value close to 33.5 following 33.5. In this way, by comparing a substance with an unknown number of donors and acceptors with a known substance, and by considering the increase and decrease in the electron density of atoms that exhibit donor and acceptor properties, the donor and acceptor properties of the substance are determined. The degree can be known, and measurement values such as literature values are not necessarily required. The fact that the organic solvent has a strong acceptor property means that the Lewis acidity of the solvent is strong, and that the donor property is strong, and that the solvent has a strong Lewis basicity. Re, and re, that is.
一般にァクセプター数 20以上の溶媒は両性溶媒であり、両性溶媒は中性、プロトン供 与性および親プロトン性溶媒として分類されている。ァクセプター数 20未満の溶媒は非 プロトン性溶媒であり、比較的、双極子モーメント、比誘電率が大きい溶媒は、極性非プ 口トン性溶媒、双極子モーメント比誘電率が小さぐ酸性、塩基性も弱い溶媒は、不活性 溶媒と呼ばれる。極性非プロトン性溶媒は、水よりも塩基性が強レ、ものを極性親プロトン 性非プロトン溶媒、水よりも塩基性が弱いものを極性疎プロトン性非プロトン溶媒に分類さ れる。双極子モーメント、比誘電率が共に非常に小さぐ酸性,塩基性も非常に弱い溶媒 は不活性溶媒といわれる。  In general, solvents having an acceptor number of 20 or more are amphoteric solvents, and the amphoteric solvents are classified as neutral, proton donating, and pro-philic solvents. Solvents with less than 20 sceptors are aprotic solvents, and solvents with relatively high dipole moment and relative permittivity are polar, non-polar solvents, and dipole moments with low dielectric constant. A weaker solvent is called an inert solvent. Polar aprotic solvents are classified as polar aprotic aprotic solvents, which are more basic than water, and polar aprotic aprotic solvents, which are less basic than water. Solvents with very low dipole moment and relative dielectric constant and very weak acidity and basicity are called inert solvents.
フッ化水素 (HF)は、水素結合性が強いため比誘電率が高い水( ε r: 78)の中でも重合 して (HF)nのような水素結合性ポリマーが存在することが知られてレ、る。 Hydrogen fluoride (HF) is known to polymerize even in water (εr: 78), which has a high relative dielectric constant due to its strong hydrogen bonding property, and a hydrogen bonding polymer such as (HF) n exists. Let's do it.
(HF)n ^ nHF (1) (HF) n ^ nHF (1)
しかし、多くは解離して以下のような平衡が成り立っている。 HF <^ H+ + F- (2) However, many dissociate and the following equilibrium holds. HF <^ H + + F- (2)
HF + F- HF2" (3) HF + F- HF 2 "(3)
水中では平衡 (1)と平衡 (3)は右に偏り、平衡 (2)は左に偏っている。  In water, equilibrium (1) and equilibrium (3) are skewed to the right, and equilibrium (2) is skewed to the left.
したがって、水中では、フッ化水素濃度がある程度高いと、 HF2—ができやすぐこれが 主なエッチング種となる。 Therefore, in water, HF 2 — is formed as soon as the concentration of hydrogen fluoride is high to some extent, and this is the main etching species.
有機酸及び有機溶媒中では、水よりも比誘電率が小さいため、平衡 (1)は水中よりも右 への偏りは小さレ、。その上、(HF)n、 HF共に電荷をもっていないので、(HF)n、 HFの増減 に対する比誘電率の影響はそれほど大きくない。平衡 (2)は水中よりもさらに左方向に偏 り、エッチング種 HFが多く発生する。これと同時に F-が減少し、平衡 (3)から HF2-の発 生する量が少なくなる。 In organic acids and organic solvents, the relative permittivity is smaller than that of water, so equilibrium (1) is less biased to the right than in water. In addition, since both (HF) n and HF have no charge, the effect of the relative permittivity on the increase and decrease of (HF) n and HF is not so large. Equilibrium (2) is further deflected to the left than in water, and more etching species HF are generated. At the same time, F- decreases and the amount of HF2- generated from equilibrium (3) decreases.
さらに、有機酸および有機溶媒の中でも、比較的、比誘電率が高い( ε r^20)両性溶 媒では、自己プロトリシスが起こり易い。すなわち、以下の平衡 (Α)は右に偏り、自己プロト リシス定数が小さい。  Further, among organic acids and organic solvents, self-protolysis is likely to occur in an amphoteric solvent having a relatively high relative dielectric constant (ε r ^ 20). That is, the following equilibrium (Α) shifts to the right, and the self-protolysis constant is small.
自己プロトリシス; SH + SH SH2+ + S2" (SH;両性溶媒) (A) Self-protolysis; SH + SH SH 2 + + S 2 "(SH; amphoteric solvent) (A)
自己プロトリシスで生じた SH2+から供給される H+とフッ化水素から生じる H+と、(HF)n、 HFとによってシリコンを含有する反射防止膜および埋め込み材を選択的に除去する。こ のような有機酸および有機溶媒として、メタノール、エタノール、プロパノールなどのアル コール類ゃギ酸などが挙げられる。平衡 (A)の中では、溶媒 SH中で存在できる最も強い 酸は SH2 +である。 SH2+よりも強い酸はいずれも完全解離して SH2 +の強さに水平化され る。 SH2 +の被処理物 (この場合、反射防止膜おょぴ埋め込み材)に対しての H+を与え易 さは、溶媒 SHの塩基性の強さにより決まる。すなわちドナー数が小さいほど、 H+が溶媒 に保持される能力が小さいため、溶媒 SHは SH2 +の形力 被処理物表面に対して H+を 与えやすい。したがって、両性溶媒の中でも、ドナー数力 S小さい方が反射防止膜おょぴ 埋め込み材を選択的に除去する効果は大きい。しかし、実際の半導体プロセスでは、ァ ッシングなどによりレジストなどの有機物の除去が不十分である場合、エッチングにより生 じた有機物を含有する残渣が反射防止膜および埋め込み材の周辺に多く存在する。こ のような残渣も含めて反射防止膜および埋め込み材を除去する場合は、有機物を含有 する残渣中の有機物も溶解させることが必要である。イソプロピルアルコール (IPA)は、こ のような効果も含んだ効果的な溶媒のひとつである。さらに、若干の水分を含む場合は、 平衡 (1)は右に寄り、 HFが増加し、増加した HFおよび (HF)nとによって選択的にシリコン を含有する反射防止膜および埋め込み材を除去する効果が増幅される。 The antireflection film containing silicon and the filling material are selectively removed by H + supplied from SH 2 + generated by self-protolysis, H + generated from hydrogen fluoride, and (HF) n , HF. Examples of such organic acids and organic solvents include alcohols such as methanol, ethanol, and propanol. In equilibrium (A) is the strongest acid that can be present in a solvent SH is SH 2 +. Any acids stronger than SH 2 + are completely dissociated and leveled to the strength of SH 2 + . The ease with which H 2 + is given to the SH 2 + object to be processed (in this case, the antireflection film and the filling material) is determined by the basicity of the solvent SH. In other words, the smaller the number of donors, the smaller the ability of H + to be retained in the solvent, so that the solvent SH easily gives H + to the surface of the object to be treated, because of the shape of SH 2 + . Therefore, among the amphoteric solvents, the smaller the donor power S, the greater the effect of selectively removing the antireflection film and the filling material. However, in an actual semiconductor process, when the removal of organic substances such as a resist is insufficient due to ashing or the like, a large amount of residues containing organic substances generated by etching are present around the antireflection film and the filling material. When removing the anti-reflection film and the filling material including such a residue, it is necessary to dissolve the organic substance in the residue containing the organic substance. Isopropyl alcohol (IPA) is one of the effective solvents that has such an effect. In addition, if it contains some water, Equilibrium (1) shifts to the right, HF increases, and the increased HF and (HF) n amplify the effect of selectively removing the silicon-containing antireflection film and the buried material.
有機酸および有機溶媒の中でも、比較的、比誘電率が低い( ε r< 20)両性溶媒では、 比較的誘電率が高い場合( ε r≥ 20)に比べて、平衡 (2)はいつそう右に偏り、 HFの量は多 くなる。これらの溶媒中でも、酢酸などのように H+を発生する溶媒では、増加した HFと H+および (HF)nとによって選択的にシリコンを含有する反射防止膜および埋め込み材を 除去する効果が大きくなる。このような溶媒は酸性が強ぐァクセプター数も大きいものが 多い。若干の水分を含む場合は、平衡 (1)は右に寄り、 HFが増加し、酢酸などの溶媒自 体からも H+を発生することにより、 H+も増加する。増加した H+と HFおよび (HF)nとによつ て選択的にシリコンを含有する反射防止膜および埋め込み材を除去する効果が増幅さ れる。 Among organic acids and organic solvents, the equilibrium (2) is more likely to occur with an amphoteric solvent having a relatively low relative dielectric constant (ε r <20) than a relatively high dielectric constant (ε r ≥ 20). Rightward, the amount of HF increases. Among these solvents, in the case of a solvent that generates H + such as acetic acid, the effect of selectively removing the silicon-containing antireflection film and the filling material is increased by the increased HF, H + and (HF) n . Many of these solvents are strongly acidic and have a large number of acceptors. When a small amount of water is contained, the equilibrium (1) shifts to the right, HF increases, and H + is also generated by generating H + from the solvent itself, such as acetic acid. The increased H + and HF and (HF) n amplify the effect of selectively removing the silicon-containing anti-reflective coating and buried material.
有機酸および有機溶媒の中でも、比較的、比誘電率が高い( ε Γ≥20)非プロトン性溶 媒は、極性非プロトン性溶媒と呼ばれる。水よりも塩基性が強レ、ものは極性親プロトン性 非プロトン溶媒、水よりも塩基性が弱レ、ものは極性疎プロトン性非プロトン溶媒に分類さ れる。  Among organic acids and organic solvents, an aprotic solvent having a relatively high relative dielectric constant (εΓ≥20) is called a polar aprotic solvent. Those which are more basic than water, those which are polar aprotic aprotic solvents, those which are less basic than water, and those which are polar aprotic aprotic solvents are classified.
極性疎プロトン性非プロトン溶媒では、溶媒和しに《平衡 (2)は左に偏っている。 HFと わずかに発生した F—の両方は溶媒和しにくぐこれらは反応性に富む状態である。このた め、平衡 (3)は若干右に偏り、多少 HF2—が発生する。しかし、エッチング種 HF、(HF)nと H+は多く存在し、これらで選択的にシリコンを含有する反射防止膜および埋め込み材を 除去する。このような溶媒として、炭酸プロピレン、ァセトにトリル、ニトロメタン、スルホラン などが挙げられる。 In polar aprotic aprotic solvents, the equilibrium (2) is biased to the left upon solvation. Both HF and slightly generated F—are solvated. These are reactive states. As a result, the equilibrium (3) is slightly shifted to the right, and some HF 2 — is generated. However, there are many etching species HF, (HF) n and H + , which selectively remove the silicon-containing anti-reflective coating and buried material. Such solvents include propylene carbonate, acetate, tolyl, nitromethane, sulfolane and the like.
極性親プロトン性非プロトン溶媒では、塩基性、ドナー性が強レ、ものが多ぐ F—は反応 性に富んでいるが、 HFが強く溶媒和されるため、平衡 (3)は左右どちらにも偏りにくい。わ ずかに解離して生じた H+も強く溶媒和されるため、エッチング種 HF、(HF)nと H+の反応 性はそれほど大きくなぐこれらで選択的にシリコンを含有する反射防止膜および埋め込 み材を除去する効果は他の溶媒に比べて小さい。このように、極性親プロトン非プロトン 溶媒を他の溶媒に添加すると、反射防止膜おょぴ埋め込み材の除去能力は低下する。 しかしながら、配線材料である銅に形成された酸化膜を除去する速度を大きくする効果を 付与することができる。銅の酸ィ匕膜を残すと絶縁不良を起こす原因となる可能性がある。 したがって反射防止膜および埋め込み材の除去と銅の酸ィヒ膜の除去を効果的に行うこと が可能になる。 A polar aprotic aprotic solvent has a strong basicity and a strong donor property, and has a large amount of F. Although F-- is highly reactive, HF is strongly solvated, so the equilibrium (3) is either left or right. Is also less biased. Since the H + generated by slight dissociation is also strongly solvated, the reactivity between the etching species HF and (HF) n and H + is so large that the silicon-containing anti-reflective coating and the filling can be selectively used. The effect of removing the filler is smaller than other solvents. As described above, when the polar protic aprotic solvent is added to another solvent, the ability to remove the antireflection film and the filling material decreases. However, an effect of increasing the speed of removing an oxide film formed on copper as a wiring material can be provided. Leaving the copper oxide film may cause insulation failure. Therefore, it is possible to effectively remove the antireflection film and the filling material and remove the copper oxide film.
有機酸および有機溶媒の中でも、比較的、比誘電率が低い( ε r<20)非プロトン性溶 媒では、平衡 (2)、平衡 (3)共に左に偏り、 HFは多く存在する。若干の水分を含む場合は、 5 平衡 (1)は右に寄り、 HFが増加し、平衡 (2)も若干右に寄ることにより、 H+も増加する。増 力!]した H+と HFおよび (HF)nとによって選択的にシリコンを含有する反射防止膜および 埋め込み材を除去する効果が増幅される。このような溶媒として、酢酸ェチル、酢酸メチ ルなどの一部のエステル類、テトラヒドロフラン、ジォキサン、ジメトキシェタン、トリエチレ ングリコールジメチルエーテルなどの一部のエーテル類が挙げられる。 Among organic acids and organic solvents, in the aprotic solvent having a relatively low relative dielectric constant (ε r <20), both equilibrium (2) and equilibrium (3) are biased to the left, and there are many HFs. With some water, 5 equilibrium (1) shifts to the right and HF increases, and equilibrium (2) also shifts slightly to the right, increasing H +. Boost! ], The effect of selectively removing the silicon-containing antireflection film and the burying material is amplified by H +, HF and (HF) n . Examples of such a solvent include some esters such as ethyl acetate and methyl acetate, and some ethers such as tetrahydrofuran, dioxane, dimethoxyethane, and triethylene glycol dimethyl ether.
10 このように有機酸及び有機溶媒の物性により、フッ化水素の解離を調整して、エツチン グ種として HF2-を減らし、多く存在する (HF)nと HFおよび H+を発生させて、 low - k膜や シリコン酸ィ匕膜に対してシリコンを含有する反射防止膜おょぴ埋め込み材を選択的に除 去する。さらに、若干の水分を含む場合は、比較的誘電率が高い場合( ε r^20)と同様に、 選択的にシリコンを含有する反射防止膜および埋め込み材を除去する効果が増幅され 15 る。このような効果が大きい有機酸及び有機溶媒として、アルコールなどの高誘電率( ε V 10 In this way, the dissociation of hydrogen fluoride is adjusted by the physical properties of the organic acid and organic solvent to reduce HF 2- as an etching species, and to generate (HF) n , HF and H +, which are abundant, -Selectively remove silicon-containing anti-reflective coating and filling material from k-film and silicon oxide film. Further, when a small amount of water is contained, the effect of selectively removing the silicon-containing antireflection film and the burying material is amplified, as in the case where the dielectric constant is relatively high (ε r ^ 20). As an organic acid and an organic solvent having such a large effect, a high dielectric constant (ε V
20)両性溶媒、酢酸などの低誘電率( ε r≤20)両性溶媒などの両性溶媒、ジメトキシェ タンなどの低誘電率( ε r≤20)非プロトン性溶媒、炭酸プロピレンなどの高誘電率( ε r≥ 20)疎プロトン性非プロトン溶媒があげられる。  20) Low dielectric constant (εr≤20) such as amphoteric solvent, acetic acid, etc .; Low dielectric constant (εr≤20) such as dimethoxyethane; aprotic solvent; high dielectric constant (εr≤20) such as propylene carbonate ε r ≥ 20) Protophophobic aprotic solvents.
本発明の除去液に配合される有機酸ないし有機溶媒は、以下の自己プロトリシス定数、 20 ド^ "一数及びァクセプター数を有するものが望ましい。  The organic acid or organic solvent to be added to the removing solution of the present invention desirably has the following self-protolysis constant, one-hundred-and-one and an acceptor number.
自己プロトリシス定数の好ましい範囲は 2〜30、より好ましくは 2〜23、特に好ましくは 14〜23であり、この範囲には、両性溶媒が多く含まれる。  The preferred range of the self-protolysis constant is 2 to 30, more preferably 2 to 23, and particularly preferably 14 to 23. This range contains a large amount of the amphoteric solvent.
ドナー数の好ましい範囲は、両性溶媒では通常 2〜50、好ましくは 18〜50、より好まし くは 20〜37であり、極性疎プロトン性非プロトン溶媒では、通常 2〜25、好ましくは 10〜 25 20、より好ましくは 14〜18である。  The preferred range of the number of donors is usually 2 to 50, preferably 18 to 50, more preferably 20 to 37 for amphoteric solvents, and usually 2 to 25, preferably 10 to 50 for polar aprotic aprotic solvents. 25, more preferably 14-18.
ァクセプター数の好ましい範囲は、両性溶媒では通常 32〜130、好ましくは 33〜106、 より好ましくは 33〜55であり、極性疎プロトン性非プロトン溶媒では通常 2〜20、好ましく は 2〜13、より好ましくは 8〜11である。 有機酸としては、プロトン供与性の両性溶媒であるギ酸、酢酸、プロピオン酸、酪酸、ィ ソ酪酸、吉草酸、イソ吉草酸、カブロン酸、力プリル酸、モノクロ口酢酸、ジクロロ酢酸、トリ クロ口酢酸、モノフルォロ酢酸、ジフルォロ酢酸、トリフルォロ酢酸、 a—クロ口酪酸、 β—ク ロロ酪酸、 γ—クロ口酪酸、乳酸、グリコール酸、ピルビン酸、ダリオキサル酸、メタクリル酸 アクリル酸等のモノカルボン酸;メタンスルホン酸、ベンゼンスルホン酸、トルエンスルホン 酸等のスルホン酸;マロン酸、グルタノレ酸、マレイン酸、フマル酸、シユウ酸、コハク酸、了 ジピン酸、リンゴ酸、酒石酸、クェン酸等のポリカルボン酸が挙げられる。これら中でも水 溶性のプロトン供与性溶媒である両性溶媒の有機酸が好ましい。水溶性であると、本発 明の除去液で処理したあと、純水でリンスすることにより、ゥヱハーなどの被処理物に残つ た本発明の除去液を容易に取り除くことができるためである。水溶性の有機酸として、ギ 酸、酢酸、トリフルォロ酢酸、メタンスルホン酸が特に好ましい。 The preferred range of the number of acceptors is usually 32-130, preferably 33-106, more preferably 33-55 for amphoteric solvents, and usually 2-20, preferably 2-13, for polar aprotic aprotic solvents. Preferably it is 8-11. Organic acids include formic acid, acetic acid, propionic acid, butyric acid, isobutyric acid, valeric acid, isovaleric acid, caproic acid, caprylic acid, monochloroacetic acid, dichloroacetic acid, and trichloroacetic acid, which are proton-donating amphoteric solvents. Monocarboxylic acids such as acetic acid, monofluoroacetic acid, difluoroacetic acid, trifluoroacetic acid, a-chlorobutyric acid, β-chlorobutyric acid, γ-chlorobutyric acid, lactic acid, glycolic acid, pyruvic acid, dalioxalic acid, methacrylic acid and acrylic acid; Sulfonic acids such as methanesulfonic acid, benzenesulfonic acid, and toluenesulfonic acid; polycarboxylic acids such as malonic acid, glutanoleic acid, maleic acid, fumaric acid, oxalic acid, succinic acid, didipic acid, malic acid, tartaric acid, and citric acid Is mentioned. Among these, an organic acid as an amphoteric solvent which is a water-soluble proton donating solvent is preferable. If it is water-soluble, it can be easily removed by treating with the removing solution of the present invention and then rinsing with pure water to remove the removing solution of the present invention remaining on the object to be treated, such as a wafer. . As the water-soluble organic acid, formic acid, acetic acid, trifluoroacetic acid and methanesulfonic acid are particularly preferred.
有機溶媒としては、中性の両性溶媒であるメタノール、エタノール、イソプロパノール (IP Organic solvents include neutral amphoteric solvents such as methanol, ethanol and isopropanol (IP
A)、 1—プロパノール、 1—ブタノール、 2—ブタノール、 tーブタノール、 2—メチルー 1一プロ ノ ノ一ノレ、 1一ペンタノール、 1_へキサノーノレ、 1一ヘプタノ一ノレ、 4—ヘプタノール、 1—ォ クタノール、 1一ノエルアルコール、 1ーデカノール、 1一ドデカノール、ラウリノレアルコール、 シクロへキサノーノレ、 tert—ペンタノ一ノレ、クロチノレアノレコーノレ、ァリノレアノレコーノレ、ペンタ エリスリトールなどの一価アルコール類; A), 1-propanol, 1-butanol, 2-butanol, t-butanol, 2-methyl-1-propanol, 1-pentanol, 1-hexanol, 1-heptanol, 4-heptanol, 1 — Monovalent such as o-ctanol, 1-noel alcohol, 1-decanol, 1-dodecanol, laurinole alcohol, cyclohexanol, tert-pentano-mono, crotinoleano-reconole, arino-leano-reconore, penta-erythritol Alcohols;
中性の両性溶媒であるエチレングリコールモノメチルエーテル、エチレングリコールモ ノエチノレエーテノレ、エチレングリコーノレモノプロピノレエーテノレ、エチレングリコーノレモノィ ソプロピルエーテル、エチレングリコーノレモノブチルエーテル、エチレングリコールモノィ ソプチノレエーテノレ、ジエチレングリコーノレモノメチノレエーテノレ、ジエチレングリコーノレモノ ェチノレエーテノレ、ジエチレングリコーノレモノプロピゾレエーテノレ、ジエチレングリコーノレモノ イソプロピノレエ一テノレ、ジエチレングリコールモノブチノレエーテル、ジエチレングリコーノレ モノイソブチルエーテル、トリエチレングリコールモノメチルエーテル、トリエチレングリコー ノレモノェチルエーテル、トリエチレングリコールモノプロピルエーテル、トリエチレングリコ ールモノイソプロピルエーテル、トリエチレングリコールモノブチルエーテル、トリエチレン グリコーノレモノイソプチノレエーテル、ポリエチレングリコールモノメチノレエーテノレ、プロピレ ングリコールモノメチノレエーテル、プロピレングリコールモノェチルエーテノレ、プロピレング リコールモノプロピルエーテル、プロピレングリコールモノイソプロピルエーテル、プロピレ ングリコールモノイソプチルエーテル、プロピレングリコールモノプチノレエーテル、ジプロ ピレンダリコールモノメチルエーテル、ジプロピレングリコールモノェチルエーテル、ジプ ロピレングリコールモノプロピルエーテル、ジプロピレングリコールモノイソプロピルエーテ ノレ、トリプロピレングリコールモノメチルエーテル、エチレングリコールモノアリルエーテル、 ジプロピレングリコールモノブチルエーテル、プロピレングリコールモノフエニルエーテル エチレンクリコ一ノレモノへキシノレエーテノレ、ジエチレンクリコ一ノレモノへキシノレエーテノレ、 エチレングリコーノレモノ 2 -ェチノレへキシノレエーテノレ、ジエチレングリコーノレモノ 3-ェチノレ へキシノレエーテノレ、エチレングリコールモノフエニノレエーテノレ、エチレングリコーノレモノべ ンジルエーテル及ぴジエチレングリコールモノべンジルエーテルなどの(ポリ)アルキレン グリコールモノアルキルエーテル類; Neutral amphoteric solvents, ethylene glycol monomethyl ether, ethylene glycol monoethyl ether, ethylene glycol monopropynoleate, ethylene glycol monoethyl propyl ether, ethylene glycol monobutyl ether, ethylene glycol monoethyl ether Petinole ethere, diethylene glycolone monomethinoleate, diethylene glycolone monoethylenate, diethylene glycolone monopropizoleate, diethylene glycolone isopropinoleate, diethylene glycol monobutynoate ether, diethylene glycol monobutynoate ether, Diethylene glycol monoisobutyl ether, triethylene glycol monomethyl ether, triethylene glycol monomethyl ether, triethylene glycol Monopropyl ether, triethylene glycol monoisopropyl ether, triethylene glycol monobutyl ether, triethylene glycol monoisobutyl isobutyl ether, polyethylene glycol monomethyl ether ether, propylene glycol monomethyl ether ether, propylene glycol monoethyl ether Athenole, propylene glycol monopropyl ether, propylene glycol monoisopropyl ether, propylene Glycol monoisobutyl ether, propylene glycol monobutyl ether, dipropylene glycol monomethyl ether, dipropylene glycol monoethyl ether, dipropylene glycol monopropyl ether, dipropylene glycol monoisopropyl ether, tripropylene glycol monomethyl ether, Ethylene glycol monoallyl ether, dipropylene glycol monobutyl ether, propylene glycol monophenyl ether, ethylene glycol monohexyl enolate, ethylene diglycol monohexyl hexenoate, ethylene glycol monoethyl ethynoleate Diethylene glycol mono 3-hexinole hexinoleatenole, ethylene glycol monopheninole Tenore, (poly) alkylene glycol monoalkyl ethers such as Echirengurikonoremonobe Nji ether 及 Pi diethylene glycol base Nji ether;
中性の両性溶媒であるエチレングリコール、ジエチレングリコール、 1, 2—プロパンジォ —ル、プロピレングリコール、 2, 3—ブタンジォ一ル及びグリセリンなどのポリオール類; 親プロトン性の両性溶媒である比誘電率 78以上のホルムアミド、 N-メチルホルムアミド、 N -メチルァセトアミド、 N-メチルプロピオンアミド、  Polyols such as ethylene glycol, diethylene glycol, 1,2-propanediol, propylene glycol, 2,3-butanediol and glycerin, which are neutral amphoteric solvents; Formamide, N-methylformamide, N-methylacetoamide, N-methylpropionamide,
親プロトン性非プロトン溶媒である比誘電率 78以下の N,N-ジメチルホルムアミド、 N,N-ジメチルァセトアミド、 N,N-ジェチルァセトアミド、へキサメチルリン酸トリアミド、 1,1,3,3-テトラメチル尿素、 N—メチル—2—ピロリドン、 N—ェチル—2—ピロリドン、 N—プロピ ノレ一 2—ピロリドン、 N—ヒドロキシメチル一 2—ピロリドン、 N—ヒドロキシェチルー 2—ピロリドン 1, 3—ジメチル一 2—イミダゾリジノン、 1, 3—ジェチルー 2—イミダゾリジノン、 1, 3—ジイソプ 口ピル一 2—イミダゾリジノン等のアミド類;  N, N-dimethylformamide with relative permittivity of 78 or less, N, N-dimethylacetamide, N, N-getylacetamide, hexamethylphosphoric triamide, 1,1,3 , 3-tetramethylurea, N-methyl-2-pyrrolidone, N-ethyl-2-pyrrolidone, N-propynole-1-pyrrolidone, N-hydroxymethyl-12-pyrrolidone, N-hydroxyethyl-2-pyrrolidone 1 Amides such as 1,3-dimethyl-12-imidazolidinone, 1,3-getyl-2-imidazolidinone, 1,3-diisopropyl-pyr-2-imidazolidinone;
疎プロトン性非プロトン溶媒であるアセトン、ァセチルアセトン、メチルェチルケトン、メ チルイソブチルケトン、シクロへキサノン、ジェチルケトン、ジイソプチルケトン等のケトン 類;  Ketones such as acetone, acetylacetone, methylethylketone, methylisobutylketone, cyclohexanone, getylketone, and diisobutylketone, which are protophobic aprotic solvents;
疎プロトン性非プロトン溶媒であるァセトニトリル、プロピオ-トリル、ブチロニトリル、イソ プチロニトリル、ベンゾニトリル等の二トリル類;  Nitriles such as acetonitrile, propio-tolyl, butyronitrile, isopyronitrile, and benzonitrile, which are protophobic aprotic solvents;
疎プロトン性非プロトン溶媒であるホルムアルデヒド、ァセトアルデヒド、プロピオンァル デヒドなどのアルデヒド類;  Aldehydes such as formaldehyde, acetoaldehyde and propionaldehyde, which are protophobic aprotic solvents;
疎プロトン性非プロトン溶媒であるジェチルエーテル、ジイソプロピルエーテル、ジプチ ルエーテル、テトラヒドロピラン、ァニソール、テトラヒドロフラン、ジォキサン、トリオキサン、 ジメトキシメタン、ジエトキシメタン、 1,1 -ジメトキシェタン、 1, 2—ジメトキシェタン、ジメトキ シプロパン、ジグライム等のエーテル類; Protophobic aprotic solvents such as getyl ether, diisopropyl ether, dibutyl ether, tetrahydropyran, anisol, tetrahydrofuran, dioxane, trioxane, Ethers such as dimethoxymethane, diethoxymethane, 1,1-dimethoxyethane, 1,2-dimethoxyethane, dimethoxypropane and diglyme;
疎プロトン性非プロトン溶媒であるエチレングリコールメチルェチルエーテル、エチレン グリコーノレジェチノレエーテノレ、ジエチレングリコーノレメチノレエチノレエーテノレ、ジエチレン グリコールジェチルエーテル、トリエチレングリコールジメチルエーテル、トリエチレングリ コーノレエチノレメチノレエーテノレ、トリエチレングリコーノレジ工チノレエーテノレ、テトラエチレン グリコールジメチルエーテル、テトラエチレンダリコールジェチルエーテル、ポリエチレン グリコーノレジメチノレエーテノレ、ジエチレングリコーノレモノエチノレエーテノレアセテート、ジプ ロピレングリコールジメチルエーテル、エチレングリコールジブチルエーテル、ジエチレン グリコールジプチルエーテル及びトリプロピレングリコールジメチルエーテル等の (ポリ)ァ ルキレングリコールジアルキルエーテル類;  Proton-phobic aprotic solvents such as ethylene glycol methyl ethyl ether, ethylene glycolone methyl ethynoleate, diethylene glycolone methinoleetinoethylatene, diethylene glycol getyl ether, triethylene glycol dimethyl ether, and triethylene glycol cornole Echinolemethineoleatene, triethylene glycolone resin, tetraethylene glycol dimethyl ether, tetraethylene dalicol getyl ether, polyethylene glycolone resinemethineate, diethylene glycolone monoethyleneateneoleate, dipropylene glycol dimethyl ether , Ethylene glycol dibutyl ether, diethylene glycol dibutyl ether and tripropylene glycol (Poly) alkylene glycol dialkyl ethers such as toluene dimethyl ether;
疎プロトン性非プロトン溶媒であるジエチレングリコールモノメチルエーテルアセテート エチレングリコーノレモノメチノレエーテノレアセテート、エチレングリコーノレモノェチノレエーテ ルアセテート及びジエチレングリコールモノェチルエーテルアセテート等の (ポリ)アルキ レングリコールジアルキルアセテート類;  (Poly) alkylene glycol dialkyl acetates such as diethylene glycol monomethyl ether acetate, which is a protophobic aprotic solvent, ethylene glycol monomethyl ether acetate, ethylene glycol monoethyl ether acetate, and diethylene glycol monoethyl ether acetate ;
疎プロトン性非プロトン溶媒である酢酸メチル、酢酸ェチル、酢酸プロピル、酢酸イソプ 口ピル、酢酸ブチル、酢酸イソプチル、酢酸ペンチル、酢酸へキシル、プロピオン酸メチ ノレ、プロピオン酸ェチル、プロピオン酸プロピル、プロピオン酸イソプロピル、プロピオン 酸ブチル、プロピオン酸イソブチル、プロピオン酸ペンチル、プロピオン酸へキシル、酪 酸メチル、酪酸ェチル、酪酸プロピル、酪酸イソプロピル、酪酸ブチル、酪酸イソプチル、 酪酸ペンチル、酪酸へキシル、イソ酪酸メチル、イソ酪酸ェチル、イソ酪酸プロピル、イソ 酪酸イソプロピル、イソ酪酸プチル、イソ酪酸イソプチル、イソ酪酸ペンチル、イソ酪酸へ キシル、吉草酸メチル、吉草酸ェチル、吉草酸プロピル、吉草酸イソプロピル、吉草酸ブ チル、吉草酸イソプチル、吉草酸ペンチル、吉草酸へキシル、イソ吉草酸メチル、イソ吉 草酸ェチル、イソ吉草酸プロピル、イソ吉草酸イソプロピル、イソ吉草酸プチル、イソ吉草 酸イソブチル、イソ吉草酸ペンチル、イソ吉草酸へキシル、力プロン酸メチル、カプロン酸 ェチル、カプロン酸プロピル、カプロン酸イソプロピル、力プロン酸ブチル、カプロン酸イソ プチル、カプロン酸ペンチル、カプロン酸へキシル、カプリル酸メチル、力プリル酸ェチル 力プリル酸プロピル、力プリル酸イソプロピル、力プリル酸プチル、力プリル酸イソプチル、 力プリル酸ペンチル、力プリル酸へキシル、オクタン酸メチル、オクタン酸ェチル、オクタン 酸プロピル、オクタン酸イソプロピル、オクタン酸プチル、オクタン酸イソプチル、オクタン 酸ペンチル、オクタン酸へキシル、ノナン酸メチル、ノナン酸ェチル、ノナン酸プロピル、ノ ナン酸イソプロピル、ノナン酸プチル、ノナン酸イソブチル、ノナン酸ペンチル、ノナン酸 へキシル、デカン酸メチル、デカン酸ェチル、デカン酸プロピル、デカン酸イソプロピル、 デカン酸プチル、デカン酸イソブチル、デカン酸ペンチル、デカン酸へキシル、ドデカン 酸メチル、ドデカン酸ェチル、ドデカン酸プロピル、ドデカン酸イソプロピル、ドデカン酸ブ チル、ドデカン酸イソプチル、ドデカン酸ペンチル、ドデカン酸へキシル、ラウリル酸メチ ル、ラウリル酸ェチル、ラウリル酸プロピル、ラウリル酸イソプロピル、ラウリル酸ブチル、ラ ゥリル酸イソプチル、ラウリル酸ペンチル、ラウリル酸へキシル、アクリル酸メチル、アタリノレ 酸工チル、アクリル酸プロピル、アクリル酸イソプロピル、アクリル酸ブチル、アクリル酸イソ プチル、アクリル酸ペンチル、アクリル酸へキシル、シユウ酸モノメチル、シユウ酸ジメチル. シユウ酸モノエチル、シユウ酸ジェチル、シユウ酸モノプロピル、シユウ酸ジプロピル、シュ ゥ酸モノブチル、シユウ酸ジブチル、コハク酸モノメチル、コハク酸ジメチル、コハク酸モノ ェチル、コハク酸ジェチル、コハク酸モノプロピル、コハク酸ジプロピル、コハク酸モノブチ ル、コハク酸ジブチル、アジピン酸モノメチル、アジピン酸ジメチル、アジピン酸モノェチ ル、アジピン酸ジェチル、アジピン酸モノプロピル、アジピン酸ジプロピル、アジピン酸モ ノブチル、アジピン酸ジブチル、酒石酸モノメチル、酒石酸ジメチル、酒石酸モノェチル、 酒石酸ジェチル、酒石酸モノプロピル、酒石酸ジプロピル、酒石酸モノブチル、酒 石酸ジブチル、クェン酸モノメチル、クェン酸ジメチル、クェン酸モノエチル、タエン酸ジ ェチル、クェン酸モノプロピル、タエン酸ジプロピル、クェン酸モノプチル、クェン酸ジブ チル、フタル酸ジメチル、フタル酸ジェチル、フタル酸ジプロピル、フタル酸ジブチル、フ タル酸ジペンチル、フタル酸ジへキシル、フタル酸ジヘプチル、フタル酸ジォクチル、フタ ル酸ジノエル、フタル酸ジデシル、フタル酸ジドデシル、テレフタル酸ジメチル、テレフタ ル酸ジェチル、テレフタル酸ジプロピル、テレフタル酸ジプチル、テレフタル酸ジペンチ ル、テレフタル酸ジへキシル、テレフタル酸ジヘプチル、テレフタル酸ジォクチル、テレフ タル酸ジノニル、テレフタル酸ジデシル、テレフタル酸ジドデシル、炭酸プロピレン、 δ一 バレロラタトン、 プチ口ラタトン、炭酸- 1、 2-プロパンジオール等のエステル類; 不活性溶媒であるへキサン、シクロへキサン、オクタン、イソオクタン、ベンゼン、トルェ ンなどの炭化水素類; Protophophobic aprotic solvents methyl acetate, ethyl acetate, propyl acetate, isopropyl acetate, butyl acetate, isoptyl acetate, pentyl acetate, hexyl acetate, methyl propionate, ethyl propionate, propyl propionate, propionic acid Isopropyl, butyl propionate, isobutyl propionate, pentyl propionate, hexyl propionate, methyl butyrate, ethyl butyrate, propyl butyrate, isopropyl butyrate, butyl butyrate, isobutyl butyrate, pentyl butyrate, hexyl butyrate, methyl isobutyrate, methyl isobutyrate Ethyl butyrate, propyl isobutyrate, isopropyl isobutyrate, butyl isobutyrate, isobutyl isobutyrate, pentyl isobutyrate, hexyl, methyl valerate, ethyl valerate, propyl valerate, isopropyl valerate, butyl valerate, virgin grass Isobutyl, pentyl valerate, hexyl valerate, methyl isovalerate, ethyl isovalerate, propyl isovalerate, isopropyl isovalerate, butyl isovalerate, isobutyl isovalerate, pentyl isovalerate, isovalerate Hexyl, methyl caproate, ethyl caproate, propyl caproate, isopropyl caproate, butyl caproate, isoptyl caproate, pentyl caproate, hexyl caproate, methyl caprylate, ethyl caprylate capric prillate Propyl, Isopropyl prillate, Butyl prillate, Isobutyl prillate, Pentyl caprylate, hexyl caprylate, methyl octanoate, ethyl octanoate, propyl octanoate, isopropyl octanoate, butyl octanoate, isoptyl octanoate, pentyl octanoate, hexyl octanoate, methyl nonanoate, nonanoic acid Ethyl, propyl nonanoate, isopropyl nonanoate, butyl nonanoate, isobutyl nonanoate, pentyl nonanoate, hexyl nonanoate, methyl decanoate, ethyl decanoate, propyl decanoate, isopropyl decanoate, butyl decanoate, decanoate Isobutyl, pentyl decanoate, hexyl decanoate, methyl dodecanoate, ethyl dodecanoate, propyl dodecanoate, isopropyl dodecanoate, butyl dodecanoate, isobutyl dodecanoate, pentyl dodecanoate, hexyl dodecanoate, methyl laurate , Ethyl laurate, propyl laurate, isopropyl laurate, butyl laurate, isoptyl laurate, pentyl laurate, hexyl laurate, methyl acrylate, propyl acrylate, propyl acrylate, isopropyl acrylate, acrylic Butyl acrylate, isobutyl acrylate, pentyl acrylate, hexyl acrylate, monomethyl oxalate, dimethyl oxalate. Monoethyl oxalate, getyl oxalate, monopropyl oxalate, dipropyl oxalate, monobutyl oxalate, dibutyl oxalate Monomethyl succinate, dimethyl succinate, monoethyl succinate, getyl succinate, monopropyl succinate, dipropyl succinate, monobutyl succinate, dibutyl succinate, monomethyl adipate, dimethyl adipate, Monoethyl dipinate, Getyl adipate, Monopropyl adipate, Dipropyl adipate, Monobutyl adipate, Dibutyl adipate, Monomethyl tartrate, Dimethyl tartrate, Monoethyl tartrate, Getyl tartrate, Monopropyl tartrate, Dipropyl tartrate, Monopropyl tartrate, Sake Dibutyl citrate, monomethyl citrate, dimethyl citrate, monoethyl citrate, diethyl citrate, monopropyl citrate, dipropyl taenate, monobutyl citrate, dibutyl citrate, dimethyl phthalate, getyl phthalate, dipropyl phthalate , Dibutyl phthalate, dipentyl phthalate, dihexyl phthalate, diheptyl phthalate, dioctyl phthalate, dinoel phthalate, didecyl phthalate, didodecyl phthalate, dimethyl terephthalate, terephthalate Getyl phthalate, dipropyl terephthalate, dibutyl terephthalate, dipentyl terephthalate, dihexyl terephthalate, diheptyl terephthalate, dioctyl terephthalate, dinonyl terephthalate, didecyl terephthalate, didodecyl terephthalate, propylene carbonate, δ-1 Esters such as valerolatatone, petit mouth ratatone, carbonic acid-1, 2-propanediol; Hydrocarbons such as hexane, cyclohexane, octane, isooctane, benzene and toluene which are inert solvents;
不活性溶媒であるクロ口ホルム、 0-ジクロロベンゼン、パーフロロへキサン、パーフロロ メチルシクロへキサンなどのハロゲン化合物類;  Halogen compounds such as black-mouthed form, 0-dichlorobenzene, perfluorohexane, and perfluoromethylcyclohexane which are inert solvents;
中性の両性溶媒であるトリフルォロエタノール、ペンタフルォロプロパノール、 2,2,3,3 - テトラフルォロプロパノール等のフッ素アルコール;  Fluoroalcohols such as trifluoroethanol, pentafluoropropanol and 2,2,3,3-tetrafluoropropanol which are neutral amphoteric solvents;
疎プロトン性非プロトン溶媒であるリン酸ジメチル、リン酸ジプチル、リン酸ジフエニル、リ ン酸ジベンジル、リン酸トリメチル、リン酸トリェチル、リン酸トリプロピル、リン酸トリブチル、 リン酸トリフエニルなどのリン酸エステル系溶媒;  Phosphoesters such as dimethyl phosphate, dibutyl phosphate, diphenyl phosphate, dibenzyl phosphate, trimethyl phosphate, triethyl phosphate, tripropyl phosphate, tributyl phosphate, and triphenyl phosphate, which are aprotic aprotic solvents System solvent;
親プロトン性非プロトン溶媒であるジメチルスルホキシド、スノレホラン、ジメチルチオオル ムアミド、 N -メチルチオピロリドン、ジメチルスルホン、ジェチルスルホン、ビス(2—ヒドロキ シェチル)スルホン、テトラメチレンスルホンなどの硫黄含有化合物;  Sulfur-containing compounds such as dimethyl sulfoxide, snoreholane, dimethylthioformamide, N-methylthiopyrrolidone, dimethyl sulfone, getyl sulfone, bis (2-hydroxyethyl) sulfone and tetramethylene sulfone which are protic aprotic solvents;
疎プロトン性非プロトン溶媒である無水酢酸、無水プロピオン酸、無水酪酸、へキサン 酸無水物、安息香酸無水物、無水マレイン酸、無水コハク酸、フタル酸無水物、 1,2-シク 口へキサンジカルボン酸無水物などの酸無水物等が挙げられる。  Protic phophophobic aprotic solvents such as acetic anhydride, propionic anhydride, butyric anhydride, hexane anhydride, benzoic anhydride, maleic anhydride, succinic anhydride, phthalic anhydride, 1,2-cyclohexane Acid anhydrides such as dicarboxylic anhydrides are exemplified.
これらの有機溶媒の中でも、以下に示すような水溶性の有機溶媒が好ましい。水溶性 であると、本発明の除去液で処理したあと、純水でリンスすることにより、ウェハーなどの被 処理物に残った本発明の除去液を容易に取り除くことができるためである。水溶性の有 機溶媒としては、  Among these organic solvents, the following water-soluble organic solvents are preferable. If it is water-soluble, it is possible to easily remove the removal liquid of the present invention remaining on the processing object such as a wafer by rinsing with pure water after treating with the removal liquid of the present invention. As a water-soluble organic solvent,
メタノール、エタノール、イソプロパノール、 1-プロパノーノレ、 t-プタノール、ァリルアルコー ノレ、エチレングリコーノレ、エチレングリコーノレモノメチノレエーテノレ、エチレングリコーノレモノ ェチルエーテル、ホルムアミド、 N-メチルホルムアミド、 N-メチルァセトアミド、 N-メチルプ 口ピオンァミド';  Methanol, ethanol, isopropanol, 1-propanol, t-butanol, aryl alcohol, ethylene glycol, ethylene glycol monomethynooleate, ethylene glycol monoethyl ether, formamide, N-methylformamide, N-methylacetamide, N-methyl-pionamide ';
酢酸メチル、酢酸ェチル、酢酸プチル、炭酸プロピレン、炭酸エチレン、リン酸トリメチル、 δ—バレロラタトン、 y -ブチロラクトン;  Methyl acetate, ethyl acetate, butyl acetate, propylene carbonate, ethylene carbonate, trimethyl phosphate, δ-valerolatatatone, y-butyrolactone;
ジォキサン、トリオキサン、 1, 1-ジメトキシェタン、 1,2-ジメトキシェタン、テトラヒドロ フラン、ジメトキシメタン、ジメトキシプロパン、ジェトキシメタン、ジグライム、ホルムァ ノレデヒド、ァセトアルデヒド、アセトン、無水酢酸;  Dioxane, trioxane, 1,1-dimethoxyethane, 1,2-dimethoxyethane, tetrahydrofuran, dimethoxymethane, dimethoxypropane, ethoxymethane, diglyme, formanolaldehyde, acetaldehyde, acetone, acetic anhydride;
エチレングリコーノレモノェチノレエーテノレ、ジエチレングリコーノレモノメチノレエーテ ル、トリエチレングリコールモノメチルエーテル、ポリエチレングリコールモノメチルェ 一テル、エチレングリコールモノイソプロピルエーテル、エチレングリコールプチルェ 一テル、ジエチレングリコーノレモノブチルエーテル、トリエチレングリコールモノブチ ノレエーテノレ、エチレングリコーノレモノイソブチノレエーテノレ、ジエチレングリコーノレモノ イソブチノレエーテ/レ、プロピレングリコーノレモノメチ/レエーテノレ、ジプロピレングリコ ールモノメチルエーテル、トリプロピレングリコールモノメチルエーテル、プロピレング リコールモノプロピノレエーテノレ、エチレングリコールモノァリノレエーテノレ、ジエチレン グリコーノレモノべンジノレエーテノレ、ジエチレングリコーノレモノへキシノレエーテノレ; エチレングリコールジメチノレエーテル、ジエチレングリコールジメチルエーテル、ト リエチレングリコーノレジメチノレエーテノレ、ジエチレングリコーノレジェチノレエーテノレ、ジ エチレングリコー/レエチノレメチ /レエーテ /レ、テトラエチレングリコー/レジメチ/レエーテ ノレ及びポリエチレングリコ一ルジメチルエーテル; Ethylene glycolone monoethylenoate, diethyleneglyconolemonomethinolate Triethylene glycol monomethyl ether, polyethylene glycol monomethyl ether, ethylene glycol monoisopropyl ether, ethylene glycol butyl ether, diethylene glycol monobutyl ether, triethylene glycol monobutyl ether monoethylene ether, ethylene glycol monomethyl monoisobutyl ether ether , Diethylene glycolone monoisobutylinoleate / propylene glycol glycol monomethyl ether / triene glycol, propylene glycol monomethyl ether, tripropylene glycol monomethyl ether, propylene glycol monopropynoleate polyethylene glycol, ethylene glycol monoarynooleate Tenoré, diethylene glycolone monobenzinoleateno, diethylene glycolone monohexinoleateno Ethylene glycol dimethinole ether, diethylene glycol dimethyl ether, triethylene glycol resimethinolate, diethylene glycol regetinoleate, diethylene glycol / leetinoremet / leate / tetraethyleneglycol / resimeti / leetene, and polyethylene glycol Dimethyl ether;
などが好ましい。 Are preferred.
さらに、本発明の除去液を使用する環境において引火する恐れのある場合は、ポ リエチレングリコールモノメチノレエーテル、ジエチレングリコールモノべンジルエーテ ノレ、トリエチレングリコーノレモノブチノレエーテノレ、ジエチレングリコーノレモノ 3-ェチノレ へキシルエーテル、ジエチレンクリコ一/レモノへキシノレエーテノレ、 トリエチレングリコ 一ノレモノメチルエーテノレ、エチレングリコーノレモノフエ二ノレエーテル、エチレングリコ 一ノレモノべンジノレエーテノレ、プロピレングリコーノレモノフエ-ノレエーテノレ、ジエチレン グリコー/レモノブチノレエーテノレ、ジプロピレングリコーノレモノブチノレエーテル、ェチレ ングリコールモノ 2-ェチノレへキシルエーテノレ、トリプロピレングリコ一ノレモノメチノレエ ーテノレ、ジエチレングリコーノレモノイソブチノレエーテノレ、ジプロピレングリコーノレモノ プロピノレエ一テ^ ^、ジエチレングリコーノレモノメチグレエーテ/レ、エチレンクリコ一/レモ ノへキシルエーテノレ、ジプロピレングリコールモノメチノレエーテル、ポリエチレングリコ ールジメチルエーテル、テトラエチレングリコールジメチルエーテル、ジエチレングリ コールジブチルエーテル、ジエチレングリコールジブチルエーテノレ、トリエチレングリ コーノレジメチノレエーテノレ、トリプロピレングリコールジメチルエーテル、エチレングリコ ールジブチルエーテル及ぴジエチレングリコールジェチルエーテルなどの高引火点 の有機溶媒を使用することが望ましい。 水溶性の小さい有機酸及ぴ有機溶媒は、本発明の除去液で処理したあと、イソプロピ ルアルコール (IPA)などの水溶性有機溶媒でリンスすることにより、ウェハーなどの被処理 物に残った本発明の除去液を取り除くことができる。 Further, when there is a risk of ignition in an environment where the removing solution of the present invention is used, polyethylene glycol monomethinole ether, diethylene glycol monobenzyl ether, triethylene glycolone monobutynoate ether, and diethylene glycol monomethyl ether can be used. Etinole hexyl ether, diethylene clicone / monohexylhexenoate, triethyleneglycol monomethylatenoate, ethylene glycolone monophenate, ethyleneglycol monobenzoatenoate, propylene glycolone monofenoate , Diethylene glycol / lemonobutynoleatenole, dipropylene glycolonelebutinoleatenoether, ethylene glycol mono 2-ethynolehexylatenole, tripropylene glycol monoremo Methinoleate, diethyleneglyconeleisobutynoleatene, dipropyleneglyconelepropinelete ^^, diethyleneglyconelemonomethyleate / le, ethyleneclicone / lemonohexylateneolete, dipropyleneglycol monomethynoleate , Polyethylene glycol dimethyl ether, tetraethylene glycol dimethyl ether, diethylene glycol dibutyl ether, diethylene glycol dibutyl ether, triethylene glycol dimethyl ether ether, tripropylene glycol dimethyl ether, ethylene glycol dibutyl ether, diethylene glycol dimethyl ether, etc. It is desirable to use an organic solvent having a high flash point. The water-soluble organic acids and organic solvents are treated with the removal solution of the present invention, and then rinsed with a water-soluble organic solvent such as isopropyl alcohol (IPA), so that the residues remaining on the object to be treated such as wafers are removed. The removal solution of the invention can be removed.
水溶性の小さレヽ有機酸及び有機溶媒は、水溶性の有機酸及び有機溶媒に添加するこ とにより、より効果を発生させることができる。水溶性の小さい有機酸及び有機溶媒は、比 誘電率が小さレ、場合が多く、比誘電率の比較的高レ、水溶性の有機酸及び有機溶媒に 添加することにより、混合溶液の比誘電率を低下させ、 (HF)n、 HFおよび H+を発生さ せて、 low- k膜やシリコン酸ィ匕膜に対して選択的にシリコンを含有する反射防止膜および 埋め込み材を除去する。また、ドナー数なども低下させることも可能である。このように、水 溶性の小さレ、有機酸及び有機溶媒と水溶性の有機酸及び有機溶媒との混合によるエツ チング種の制御の効果を得ることができる。  By adding the water-soluble small organic acid and the organic solvent to the water-soluble organic acid and the organic solvent, the effect can be more enhanced. Organic acids and organic solvents with low water-solubility often have low dielectric constants, and have relatively high relative dielectric constants. When added to water-soluble organic acids and organic solvents, the dielectric constant of the mixed solution can be reduced. By lowering the rate, (HF) n, HF and H + are generated, and the antireflection film containing silicon and the filling material are selectively removed with respect to the low-k film and the silicon oxide film. It is also possible to reduce the number of donors and the like. As described above, it is possible to obtain the effect of controlling the etching species by mixing the water-soluble organic acid and the organic solvent with the water-soluble organic acid and the organic solvent.
さらに、以上の有機酸および有機溶媒が少なくとも 1種類以上を含む場合、これらにド ナー数が 25以上の極性親プロトン非プロトン溶媒、酸およびフッ素含有有機ィ匕合物など を添加する場合もある。  Further, when the above organic acids and organic solvents contain at least one kind, a polar protic aprotic solvent having a donor number of 25 or more, an acid and a fluorine-containing organic compound may be added thereto. .
ドナー数が 25以上の極性親プロトン非プロトン溶媒を添加すると、反射防止膜および 埋め込み材の除去能力は低下する。し力もながら、配線材料である銅に形成された酸ィ匕 膜を除去する速度を大きくする効果を付与することができる。銅の酸ィヒ膜を残すと絶縁不 良を起こす原因となる可能性がある。したがって反射防止膜および埋め込み材の除去と 銅の酸ィ匕膜の除去を効果的に行うことが可能になる。ドナー数が 25以上の極性親プロト ン非プロトン溶媒としては、ジメチルホルムアミド,ジメチノレアセトアミド、 へキサメチルリン 酸トリアミド、 N-メチル -2-ピロリドン、 1,1,3,3-テトラメチル尿素、 N-メチルプロピオンアミド、 ジメチルイミダゾリジノンなどのアミド類ゃジメチルスルホキシド、スルホラン、ジメチルチオ オノレムアミド、 N-メチルチオピロリドン、ジメチルスルホン、ジェチルスルホン、ビス(2—ヒド ロキシェチノレ)スルホン、テトラメチレンスルホンなどの硫黄化合物類が挙げられる  The addition of a polar protic aprotic solvent with 25 or more donors reduces the ability to remove the antireflective coating and the implant. The effect of increasing the speed of removing the oxide film formed on the copper, which is the wiring material, can be imparted while maintaining the force. Leaving the copper oxide film may cause insulation failure. Therefore, it is possible to effectively remove the antireflection film and the filling material and the copper oxide film. Examples of polar aprotic aprotic solvents having 25 or more donors include dimethylformamide, dimethinoleacetamide, hexamethylphosphoric acid triamide, N-methyl-2-pyrrolidone, 1,1,3,3-tetramethylurea, N- Amides such as methylpropionamide and dimethylimidazolidinone ゃ Sulfur compounds such as dimethylsulfoxide, sulfolane, dimethylthioonoleamide, N-methylthiopyrrolidone, dimethylsulfone, getylsulfone, bis (2-hydroxyxetinole) sulfone and tetramethylenesulfone Include
酸を加えた場合には、水素イオンの効果により、 low- k膜や絶縁膜バリアに対して、反 射防止膜および埋め込み材をより選択的に除去することが可能になる。このような酸とし て塩ィヒ水素、臭化水素、ヨウ化水素およびこれらの水溶液、硫酸、硝酸、リン酸、カルボ ン酸などが挙げられる。カルボン酸としては、上記のモノカルボン酸及ぴポリカルボン酸 が挙げられる。 フッ素含有有機化合物を混合した場合は、反射防止膜および埋め込み材の除去液の 浸透性を高める効果がある。反射防止膜や埋め込み材と low- k膜や絶縁膜バリアなどの その他の材料との界面に浸透しやすくなり除去の効果が向上する。フッ素含有有機化合 物として CHF2CF20CH2CF3、 CHF2CF20CH3などのハイド口フロロエーテル (HFE)類、 CH3CC12Fなどのハイド口クロ口フルォロカーボン (HCFC)類などがある。 When an acid is added, the anti-reflection film and the filling material can be more selectively removed from the low-k film and the insulating film barrier by the effect of hydrogen ions. Examples of such acids include hydrogen chloride, hydrogen bromide, hydrogen iodide and their aqueous solutions, sulfuric acid, nitric acid, phosphoric acid, carboxylic acid and the like. Examples of the carboxylic acid include the above-mentioned monocarboxylic acids and polycarboxylic acids. When a fluorine-containing organic compound is mixed, there is an effect of increasing the permeability of the removing solution for the antireflection film and the filling material. It easily penetrates the interface between the anti-reflection film and the filling material and other materials such as the low-k film and the insulating film barrier, and the removal effect is improved. Examples of fluorine-containing organic compounds include fluorinated ethers (HFE) such as CHF2CF20CH2CF3 and CHF2CF20CH3, and fluorinated carbons (HCFC) such as CH3CC12F.
本発明の反射防止膜おょぴ埋め込み材の除去液において、 HFの含有量は、他の成 分の種類に応じて適宜設定することができ特に限定されるものではなレ、が、通常、反射 P方止膜および埋め込み材の除去液全量に基づレ、て (以下、各成分の含有量にっレ、ては 同様とする)、  In the removal liquid for the antireflection film and the embedding material of the present invention, the content of HF can be appropriately set according to the type of other components, and is not particularly limited. Reflection Based on the total amount of the P-blocking film and the removing material for the embedding material (hereinafter, the content of each component is the same)
有機酸を含む場合 (有機酸を含み有機溶媒を含まなレヽ場合、並びに、有機酸及び有 機溶媒を含む場合)には 0. 001〜5mass%程度、好ましくは 0. 05〜3mass%程度、さら に好ましくは 0.:!〜 lmaSS%程度であり、有機酸を含まない場合 (有機溶媒を含み、有機 酸を含まない場合)には 0. 001〜: 10mass%程度、好ましくは 0. 05〜5mass%程度、さら に好ましくは 0. l〜3mass%程度である。 When containing an organic acid (when the mixture contains an organic acid and does not contain an organic solvent, or when it contains an organic acid and an organic solvent), it is about 0.001 to 5 mass %, preferably about 0.05 to 3 mass %. More preferably, it is about 0 :! to lm aSS %, and when no organic acid is contained (when an organic solvent is contained and no organic acid is contained), about 0.001 to: about 10 mass%, preferably 0 to 10 mass%. It is about 0.05 to 5 mass%, more preferably about 0.1 to 3 mass%.
フッ化水素としては、希フッ酸(50重量%水溶液)を通常用いるが、除去液に水を含ま ない場合には、 100%フッ化水素を用いることもできる。  Dilute hydrofluoric acid (50% by weight aqueous solution) is usually used as hydrogen fluoride, but if water is not contained in the removing solution, 100% hydrogen fluoride can also be used.
反射防止膜および埋め込み材の除去液が水を含有する場合の水の含有量は、 98 mass%以下程度、好ましくは 50mass%以下程度、より好ましくは 5mass%以下程度であ る。  When the removal liquid for the antireflection film and the filling material contains water, the water content is about 98 mass% or less, preferably about 50 mass% or less, more preferably about 5 mass% or less.
有機酸及び有機溶媒力 なる群力 選ばれる少なくとも 1種の含有量は、 2〜99. 999 mass%程度、好ましくは 50〜99. 999mass%程度、より好ましくは 90〜99. 999mass% 程度、さらに好ましくは 95〜99. 999mass%程度である。有機酸がカルボン酸である場 合には、 2〜99. 999mass%程度、好ましくは 50〜99. 999腿 ss%程度、より好ましくは 90〜99. 999mass%程度、さらに好ましくは 95〜99. 999mass%程度である。  The organic acid and the organic solvent power are at least one kind selected from the group strengths of about 2 to 99.999 mass%, preferably about 50 to 99.999 mass%, more preferably about 90 to 99.999 mass%, and more. It is preferably about 95 to 99.999 mass%. When the organic acid is a carboxylic acid, it is about 2 to 99.999 mass%, preferably about 50 to 99.999 thigh ss%, more preferably about 90 to 99.999 mass%, and still more preferably about 95 to 99. It is about 999 mass%.
ドナー数が 25以上の極性親プロトン非プロトン溶媒の含有量は 0. l〜50mass%程度、 好ましくは 0. l〜30mass%程度、より好ましくは 0. 1〜: L0mass%程度、さらに好ましくは 0. l〜5mass%程度である。  The content of the polar protic aprotic solvent having a donor number of 25 or more is about 0.1 to 50 mass%, preferably about 0.1 to 30 mass%, more preferably 0.1 to about L0 mass%, and still more preferably about 0 mass%. It is about l ~ 5mass%.
酸の含有量は 0. 1〜: L0mass%程度、好ましくは 0. l〜7mass%程度、より好ましくは 0. l〜5mass%程度である。 フッ素含有有機化合物の含有量は:!〜 5 Omass %程度、好ましくは 1〜 30mass %程度 である。 ' The content of the acid is 0.1 to: about L0 mass%, preferably about 0.1 to 7 mass%, more preferably about 0.1 to 5 mass%. The content of the fluorine-containing organic compound is: about! 5 to about 5% by mass, preferably about 1 to 30 % by mass. '
本発明の好ましい反射防止膜および埋め込み材の除去液並びにその配合比の一例を 以下に示す。伹し、カルボン酸は、上記のモノカルボン酸及ぴポリカルボン酸から なる群力 選ばれる少なくとも 1種を意味する。  Preferred examples of the antireflection film and the embedding material-removing solution of the present invention and their mixing ratios are shown below. Meanwhile, the carboxylic acid means at least one selected from the group consisting of the above monocarboxylic acids and polycarboxylic acids.
•HF:有機酸:水 =0.001〜5mass%:49.99〜99.999mass%:0〜50mass%  HF: organic acid: water = 0.001 to 5 mass%: 49.99 to 99.999 mass%: 0 to 50 mass%
•HF:カルボン酸:水 =0.01〜5mass%:2〜99.99mass%:0〜97.99mass% . HF: carboxylic acid: water = 0.01 to 5 mass%: 2 to 99.99 mass%: 0 to 97.99 mass%.
•HF:有機溶媒:水 =0.01〜10mass%:49.99〜99.99mass%:0〜50mass% HF: Organic solvent: water = 0.01 to 10 mass%: 49.99 to 99.99 mass%: 0 to 50 mass%
本発明のより好ましい反射防止膜および埋め込み材の除去液並びにその配合比を以 下に示す。  More preferred anti-reflective coating and embedding material removing liquids of the present invention and their mixing ratios are shown below.
•HF:酢酸:水 =0.01〜5mass%:2〜99.99mass%:0〜97.99mass%  HF: acetic acid: water = 0.01 ~ 5mass%: 2 ~ 99.99mass%: 0 ~ 97.99mass%
•HF:IPA:水 =0.1〜10mass%:60〜99.9mass0/。:0〜30mass% • HF: IPA: water = 0.1 ~ 10mass%: 60 ~ 99.9mass 0 /. : 0 ~ 30mass%
•HF:酢酸: IPA:水 =0.05〜10mass0/0:l〜99.9mass%:l〜99.9mass%:0〜30mass%• HF: acetic acid: IPA: water = 0.05~10mass 0/0: l~99.9mass% : l~99.9mass%: 0~30mass%
•HF:メタノール:イソプロピルアルコール:水 • HF: methanol: isopropyl alcohol: water
=0.1〜: I0mass%:l〜99.9mass%:.:!〜 99.9mass%:0〜30mass% = 0.1 ~: I0mass%: l ~ 99.9mass%:.:! ~ 99.9mass%: 0 ~ 30mass%
•HF:1,2 -ジメトキシェタン:水 =0.:!〜 10mass%:60〜99.9mass%:0〜30mass%  • HF: 1,2-dimethoxyethane: water = 0.:! ~ 10mass%: 60 ~ 99.9mass%: 0 ~ 30mass%
•HF:酢酸ェチノレ:水 =0.1〜10mass%:60〜99.9mass%:0〜30mass%  HF: Ethinole acetate: water = 0.1 to 10 mass%: 60 to 99.9 mass%: 0 to 30 mass%
?:1,4-ジォキサン:水=0.1〜10111&55%:60〜99.9111&33%:0〜301^33%  ? : 1,4-dioxane: water = 0.1〜10111 & 55%: 60〜99.9111 & 33%: 0〜301 ^ 33%
•HF:炭酸プロピレン:水 =0.1〜10mass%:60〜99.9mass%:0〜30mass%  HF: Propylene carbonate: water = 0.1 to 10 mass%: 60 to 99.9 mass%: 0 to 30 mass%
'HF:メタンスノレホン酸:水 =0.001〜10mass%:60〜99.999mass%:0〜30mass% 'HF: methanesnolefonic acid: water = 0.001 ~ 10mass%: 60 ~ 99.999mass%: 0 ~ 30mass%
•HF:1,4-ジォキサンと酢酸おょぴ無水酢酸の少なくとも 1種:水 =0.1〜; I0mass%:60〜 • HF: at least one of 1,4-dioxane and acetic anhydride: water = 0.1 ~; I0mass%: 60 ~
99.9mass%:0〜30mass% 99.9mass%: 0 ~ 30mass%
'HF:エチレングリコールモノメチノレエーテノレ:水 =0.:!〜 10mass%:60〜99.9mass°/。:0〜 30mass%  'HF: Ethylene glycol monomethinooleate: water = 0.:! ~ 10mass%: 60 ~ 99.9mass ° /. : 0 ~ 30mass%
-HF:トリエチレングリコールジメチルエーテノレ:水 =0.1〜: 10mass%:60〜99.9mass%:0〜 30mass% -HF: Triethylene glycol dimethyl ether: water = 0.1 to: 10 mass%: 60 to 99.9 mass%: 0 to 30 mass%
本発明のさらに好ましい反射防止膜おょぴ埋め込み材の除去液並びにその配合比を 以下に示す。  The more preferred anti-reflective coating and embedding material removing liquids of the present invention and their mixing ratios are shown below.
•HF:酢酸:水 =0,05〜3mass%:91〜99.95mass%:0〜6mass% •HF:IPA:水 =0.1〜5mass%:85〜99.9mass%:0〜: L0mass% HF: acetic acid: water = 0,05-3mass%: 91-99.95mass%: 0-6mass% • HF: IPA: Water = 0.1 ~ 5mass%: 85 ~ 99.9mass%: 0 ~: L0mass%
•HF:酢酸: IPA:水 =0.1〜5mass%::!〜 99.9mass%:l〜99.9mass%:0〜10mass%  • HF: acetic acid: IPA: water = 0.1 to 5 mass% ::! ~ 99.9mass%: l ~ 99.9mass%: 0 ~ 10mass%
•HF:メタノール:イソプロピルアルコール:水  • HF: methanol: isopropyl alcohol: water
=0.1〜 5mass%: 1〜99.9mass%: 1〜99.9mass%: 0〜; 10mass%  = 0.1 ~ 5mass%: 1 ~ 99.9mass%: 1 ~ 99.9mass%: 0 ~; 10mass%
5 'HF:1,2 -ジメトキシェタン:水 =0.1〜5mass%:85〜99.9mass%:0〜10mass%  5'HF: 1,2-dimethoxyethane: water = 0.1 to 5% by mass: 85 to 99.9% by mass: 0 to 10% by mass
•HF:酢酸ェチル:水 =0.:!〜 5mass%:85〜99.9mass%:0〜10mass%  • HF: Ethyl acetate: Water = 0.:! ~ 5mass%: 85 ~ 99.9mass%: 0 ~ 10mass%
•HF:1,4 -ジォキサン:水 =0.;!〜 5mass%:85〜99.9mass%:0〜10mass%  • HF: 1,4-dioxane: water = 0; ~ 5mass%: 85 ~ 99.9mass%: 0 ~ 10mass%
.HF:炭酸プロピレン:水 =0.1〜5mass%:85〜99.9mass%:0〜: I0mass%  .HF: Propylene carbonate: water = 0.1 ~ 5mass%: 85 ~ 99.9mass%: 0 ~: I0mass%
•HF:メタンスルホン酸:水 =0,001〜5mass%:85〜99.999mass%:0〜10mass%  HF: methanesulfonic acid: water = 0,001 to 5 mass%: 85 to 99.999 mass%: 0 to 10 mass%
10 ·ΗΡ:1,4 -ジォキサンと酢酸おょぴ無水酢酸の少なくとも 1種:水 =0.1〜: L0mass%:70〜 99.9mass%:0〜20niass% 10 · ΗΡ: At least one of 1,4-dioxane and acetic anhydride: water = 0.1 ~: L0mass%: 70 ~ 99.9mass%: 0 ~ 20niass%
•HF:エチレングリコーノレモノメチルエーテノレ:水 =0.1〜5mass%:85〜99.9mass%:0〜 10mass%  HF: Ethylene glycolone monomethyl ether: water = 0.1 to 5 mass%: 85 to 99.9 mass%: 0 to 10 mass%
' -HF:トリエチレングリコールジメチルエーテル:水 =0.:!〜 5mass%:85〜99.9mass%:0〜 15 10mass%  '-HF: triethylene glycol dimethyl ether: water = 0.:! ~ 5mass%: 85 ~ 99.9mass%: 0 ~ 15 10mass%
本発明の除去液は、 low- k膜をわずかにエッチングして、 low- k膜と反射防止膜および 埋め込み膜との界面での除去効果を高くする場合、さらに、アンモニア及ぴァミンからな る群より選ばれる少なくとも 1種を含む組成物を含む組成物であることが好ましい。  When the low-k film is slightly etched to enhance the removal effect at the interface between the low-k film and the antireflection film and the buried film, the removal solution of the present invention further comprises ammonia and amine. The composition is preferably a composition containing a composition containing at least one member selected from the group.
ァミンとしては、ヒドロキシルァミン類、アルカノールァミン、 NR3で表される第一級,第二 20 級、第三級ァミン、脂環式ァミン、複素環式ァミンなどが挙げられる。  Examples of the amine include hydroxylamines, alkanolamines, primary, secondary, and tertiary amines represented by NR3, alicyclic amines, and heterocyclic amines.
具体的には、ヒドロキシルァミン類としては、ヒドロキシノレアミン、 N, N—ジェチルヒドロキ シルァミンが挙げられる。  Specifically, examples of hydroxylamines include hydroxynoreamine and N, N-getylhydroxylamine.
アルカノールァミンとしては、モノエタノールァミン、ジエタノールァミン、トリエタノールァ ミンが挙げられる。  Examples of the alkanolamine include monoethanolamine, diethanolamine, and triethanolamine.
25 NR3におレ、て、 3つの Rは、同一又は異なって、フッ素原子で置換されてレ、てもよレ、炭 化水素基、又は水素原子である。伹し、 3つの Rがいずれも水素原子である場合は除く。 フッ素原子で置換されてレ、てもよレ、炭化水素基としては、直鎖若しくは分岐を有する炭 素数:!〜 18、好ましくは 1〜12のアルキル基、フッ素原子で置換されていてもよいフエ二 ル基などが挙げられる。これらの中でも、置換されていない炭素数 1〜: 18のアルキル基 が好ましい。 Slight 25 NR 3 Te, the three R are the same or different, are substituted with fluorine atom, it may also be, charcoal hydrocarbon group, or a hydrogen atom. Except when all three R are hydrogen atoms. The hydrocarbon group which may be substituted with a fluorine atom, may be a straight-chain or branched carbon number:! To 18, preferably 1 to 12 alkyl groups, and may be substituted with a fluorine atom. Hueni And the like. Among these, an unsubstituted alkyl group having 1 to 18 carbon atoms is preferable.
NR3で表される化合物としては、具体的には、脂肪族ァミン、例えばメチルァミン、ェチ ルァミンなどの第 1級ァミン;ジメチルァミン、ジェチルァミン、などの第 2級ァミン;トリメチ 5 ルァミン、トリェチルァミンなどの第 3級ァミン、が挙げられる。芳香族ァミンとしては、ァニ リン、メチルァ-リンなどが挙げられる。 Examples of the compounds represented by NR 3, specifically, aliphatic Amin, for example Mechiruamin, primary Amin such E Ji Ruamin; Jimechiruamin, Jechiruamin, secondary Amin and the like; trimethylene 5 Ruamin, such Toryechiruamin Tertiary amine. Aromatic amines include aniline, methyla-line and the like.
また、シクロへキシルァミン、ジシクロへキシルァミンなどの脂環式ァミン;ピロール、ピロ リジン、ピロリドン、ピリジン、モノレホリン、ピラジン、ピぺリジン、 N—ヒドロキシェチルピペリ ジン、ォキサゾール、チアゾールなどの複素環式ァミンが挙げられる。  Alicyclic amides such as cyclohexylamine and dicyclohexylamine; heterocyclic compounds such as pyrrole, pyrrolidine, pyrrolidone, pyridine, monoreforin, pyrazine, piperidine, N-hydroxyethylpiperidine, oxazole and thiazole. Amin.
10 アンモニア及び/又はアミンを含む場合、液を混合することによりフッ化水素酸とアンモ ニァ及び/又はァミンの 1対 1、又は 1対 2の塩を形成する。本発明の除去液では、フッ化 水素酸とアンモニア及び _ 又はァミンが塩として存在してレ、てもよレ、。 10 When ammonia and / or amine are contained, a 1: 1 or 1: 2 salt of hydrofluoric acid and ammonium and / or amine is formed by mixing the liquids. In the removing solution of the present invention, hydrofluoric acid, ammonia and 又 は or amine may be present as salts.
アンモニア及び/又はアミンを含む場合、その配合量は、モル比でフッ化水素酸より少 ない方が好ましぐ例えば、上記の 2成分を含有する除去液において、フッ化水素酸とァ 15 ンモニァ及ぴ Z又はァミンのモル比が、 (フッ化水素酸:アンモニア及び/又はァミン) = (1 : 0. 01)〜(1 : 1)モル程度である。  When ammonia and / or amine is contained, the mixing amount is preferably smaller than the molar ratio of hydrofluoric acid.For example, in the removal solution containing the above two components, hydrofluoric acid and ammonia are preferably used. And the molar ratio of Z or amine is (hydrofluoric acid: ammonia and / or amine) = about (1: 0.01) to (1: 1) mole.
さらにアミンを含む組成物の場合の好ましい除去液並びにその配合比の一例を以下に 示す。  An example of a preferable removing solution and a compounding ratio thereof in the case of a composition containing an amine are shown below.
•HF:アンモニア及ぴ Z又はァミン:有機溶媒:水 =0.01〜: I0mass%:0.01〜30mass%:49〜 • HF: ammonia and Z or amine: organic solvent: water = 0.01 or more: I0 mass%: 0.01 to 30 mass%: 49 or more
20 99.9mass%:0〜50mass%。 20 99.9 mass%: 0-50 mass%.
本発明の除去液は、ァニオン系、カチオン系及ぴ非イオン系界面活性剤からなる群より 選ばれる少なくとも 1種の界面活性剤を含有していてもよい。界面活性剤の含有量は、本 発明所期の効果が発揮される限り特に限定されるものではなレ、が、通常、 0.0001〜 10mass%程度であり、 0.001〜5mass%程度が好ましぐ特に 0.01〜lmass%程度が好ま The removing solution of the present invention may contain at least one surfactant selected from the group consisting of anionic, cationic and nonionic surfactants. The content of the surfactant is not particularly limited as long as the intended effect of the present invention is exhibited, but is usually about 0.0001 to 10 mass%, and preferably about 0.001 to 5 mass%. 0.01 ~ lmass% preferred
25 しい。 25
さらに、これらにドナー数が 25以上の極性親プロトン非プロトン溶媒、酸、フッ素含有有 機化合物などを添加する場合もある。 HF (フッ化水素):アンモニア及び/又はアミ ン:有機酸および有機溶媒の少なくとも 1種類:水:酸:ドナー数が 25以上の極性親プロ トン性溶媒:フッ素含有有機化合物の重量比は、 0. 05〜: 10mass% : 0. 05-20 mass% : 30~99. 88mass% : 0. 02〜30mass% : 0. 05~10mass% : 0. l〜50mass% : l〜70mass%であることが好ましレヽ。 Further, a polar protic aprotic solvent having a donor number of 25 or more, an acid, a fluorine-containing organic compound, or the like may be added to these. HF (hydrogen fluoride): ammonia and / or amine: at least one kind of organic acid and organic solvent: water: acid: polar prophilic solvent having 25 or more donors: weight ratio of fluorine-containing organic compound: 0.05-: 10mass%: 0.05-05-20 mass%: 30 ~ 99. 88mass%: 0.02 ~ 30mass%: 0.05 ~ 10mass%: 0. l ~ 50mass%: preferably l ~ 70mass%.
本発明の除去液は、シリコンを含有する反射防止膜および/又は埋め込み材を選択的 に除去できる。本発明の方法で除去の対象とする反射防止膜および埋め込み材は、シリ コンを含有する反射防止膜および埋め込み材、例えば Si- OH結合を含む反射防止膜 および埋め込み材、 Si-H結合を含む反射防止膜および埋め込み材などであり、 C4F8な どエッチングガスでエッチング処理した後の反射防止膜および埋め込み材なども含む。 本明細書において、「反射防止膜および埋め込み材」には、エッチング工程により反射 防止膜おょぴ埋め込み材の表面の一部又は全てが変質したものも含まれ、本発 明の反射防止膜おょぴ埋め込み材の除去液は、このような反射防止膜および埋め込み 材の変質物を除去することもできる。  The removing solution of the present invention can selectively remove an antireflection film containing silicon and / or a filling material. The antireflection film and the burying material to be removed by the method of the present invention include an antireflection film and a burying material containing silicon, for example, an antireflection film and a burying material containing a Si-OH bond, and a Si-H bond. Anti-reflection film and burying material, etc. Also include anti-reflection film and burying material after being etched with an etching gas such as C4F8. In this specification, the term “antireflection film and burying material” includes those in which part or all of the surface of the antireflection film and the burying material have been altered by the etching process. The remover for the filling material can also remove such an antireflection film and the degenerated substance of the filling material.
エッチング工程によりエッチングガスが重合して生じたポリマーやエッチング残渣カ s、ェ ツチングにより形成された溝乃至穴の中に存在する場合には、反射防止膜および埋め込 み材を除去する際に同時にこのポリマーと残渣を除去していてもよい。酸素、水素、窒素、 希ガスなどを用いたプラズマによるアツシング (レジストやポリマーのプラズマプロセスによ る除去)により生じたエッチング残渣を反射防止膜おょぴ埋め込み材除去することにより 同時に除去することもできる。  If the etching gas is present in the polymer produced by polymerization of the etching gas, in the etching residue gas, or in the grooves or holes formed by the etching, the antireflection film and the embedding material are removed at the same time. This polymer and residue may be removed. Etching residues generated by plasma etching (removal of resist and polymer by plasma process) using oxygen, hydrogen, nitrogen, rare gas, etc. can be removed at the same time by removing the antireflection film and the filling material. it can.
ここでエッチング残渣とは、ドライエッチングやドライエッチング後のアツシングのプロセ スにおいて発生する反応生成物、スパッタリング物などの付着物や堆積物および取り除く ことが必要とされる残留物であり、エッチングガスが重合して生じたポリマーも含んでいる。 ここでレヽぅ付着物や堆積物とは、エッチングガスプラズマ自体から発生するフルォロカー ボン含有ポリマーなどの物質、レジスト、反射防止膜、絶縁膜バリア、 low- k膜、配線材料 である金属などのデバイスの構成材料がエッチング際にプラズマに暴露されることにより 反応によって生成される物質およびプラズマ中のイオンによりスパッタリングされた際に発 生した物質などが付着や堆積したものである。取り除くことが必要とされる残留物とは、ェ ツチングおよびアツシングにより変質した部分を含むレジストや反射防止膜などを含む除 去すべき対象物であり、エッチング後、次の工程に不要であるものを示す。 また、リソグラフィーなどの一部のプロセスのやり直し (リワーク)などの際において反射防 止膜および埋め込み材を使用した場合に、レジストと同時あるいは別々にこれらを除去 することも可倉である。 Here, the etching residue is a reaction product generated in a dry etching process or a post-dry etching process, a deposit such as a sputtered product, a deposit, and a residue that needs to be removed. Also includes polymers formed by polymerization. Here, deposits and deposits refer to substances such as fluorocarbon-containing polymers generated from the etching gas plasma itself, resists, antireflection films, insulating film barriers, low-k films, and devices such as metals that are wiring materials. A substance produced by the reaction of the constituent material of the above by exposure to plasma during etching and a substance generated when sputtered by ions in the plasma adhere and deposit. Residues that need to be removed are those that need to be removed, including resist and anti-reflective coatings that have been altered by etching and ashing, and that are not required for the next step after etching. Is shown. In addition, when the antireflection film and the burying material are used during rework of some processes such as lithography, it is possible to remove these simultaneously or separately with the resist.
さらに、ドライエッチングやその後の酸素、水素、窒素、希ガスなどを用いたプラズマに よるアツシング (レジストやポリマーのプラズマプロセスによる除去)ダメージを受けた low-k 膜と反射防止膜おょぴ埋め込み材とを同時あるいは別々にこれらを除去することも可能 である。また、薬液組成によってはダメージを受けた low-k膜を除去せずに残して、反射 防止膜および埋め込み材を除去することも可能である。  In addition, low-k film and anti-reflective film and filling material damaged by dry etching and subsequent asshing (removal of resist and polymer by plasma process) by plasma using oxygen, hydrogen, nitrogen, rare gas, etc. It is also possible to remove them simultaneously or separately. Depending on the chemical composition, it is also possible to remove the anti-reflection film and the filling material while leaving the damaged low-k film without removing it.
ダメージを受けた low- k膜とは、比誘電率が高くなつたものを示す。例えばポーラス low-k膜の比誘電率は 2.4以下である。このポーラス low-k膜が酸素プラズマでアツシン グすることによりダメージを受けた場合、最表面は Si02のような膜になり、比誘電率は局 所的には 4.0近くになっている可能がある。これが原因でデバイスの Cu/low- k多層配 線構造の配線間容量を大きくすることがある。このような場合で、取り除くことが可能な場 合は、ダメージを受けた low- k膜を取り除く方がよい。しかしながら、ダメージを受けた low-k膜を除去することにより、加工寸法が変わってしまうと、配線の埋め込み不良などを 起こしたり、デバイスの本来の特性を実現することができなレヽ。このような場合には、ダメ ージを受けた low- k膜は除去しない。これに対して、ダメージを受けた low - k膜力 加工 寸法が多少変化してもプロセスなどへの影響が小さレ、場合には除去することが望ましレ、。 ダメージを受けた low - k膜と反射防止膜および/又は埋め込み材とを同時あるいは 另 IJ々にこれらを除去する場合は、  Damaged low-k films are those with increased relative dielectric constant. For example, the relative dielectric constant of a porous low-k film is 2.4 or less. If this porous low-k film is damaged by associating with oxygen plasma, the outermost surface will be a film like Si02, and the relative dielectric constant may be locally close to 4.0 . This may increase the inter-wiring capacitance of the Cu / low-k multilayer wiring structure of the device. In such cases, if possible, it is better to remove the damaged low-k film. However, if the processing dimensions change by removing the damaged low-k film, wiring embedding failure may occur, or the original characteristics of the device may not be realized. In such a case, the damaged low-k film is not removed. On the other hand, even if the dimension of the processed low-k film is slightly changed, the effect on the process is small. When removing the damaged low-k film and the anti-reflection film and / or the filling material simultaneously or あ る い は IJ,
•HF:有機酸:水 =0.1〜5mass%:49.9〜99.9mass%:0〜50mass%  HF: organic acid: water = 0.1 to 5 mass%: 49.9 to 99.9 mass%: 0 to 50 mass%
•HF:カルボン酸:水 =0.5〜5mass%:2〜99.5mass%:0〜97.99mass% HF: carboxylic acid: water = 0.5 to 5 mass%: 2 to 99.5 mass%: 0 to 97.99 mass%
•HF:有機溶媒:水 =0.5〜: I0mass%:49.5〜99.5mass%:0〜50mass% • HF: Organic solvent: water = 0.5 ~: I0mass%: 49.5 ~ 99.5mass%: 0 ~ 50mass%
で示されるような薬液組成の場合である。 This is the case of the chemical composition as shown in FIG.
ダメージを受けた low- k膜を除去せずに残して、反射防止膜および/又は埋め込み材 を除去する場合は、 HF濃度が非常に場合であり、  When removing the anti-reflective coating and / or the buried material while leaving the damaged low-k film unremoved, the HF concentration is very high,
•HF:有機酸:水 =0.001〜0.1mass%:49.999〜99.999mass%:0〜50mass% HF: organic acid: water = 0.001 to 0.1 mass%: 49.999 to 99.999 mass%: 0 to 50 mass%
•HF:カルボン酸:水 =0.001〜0.5mass%:2〜99.999mass%:0〜97.99mass% HF: carboxylic acid: water = 0.001-0.5mass%: 2-99.999mass%: 0-97.99mass%
•HF:有機溶媒:水 =0.001〜lmass%:49.999〜99.999mass%:0〜50mass% で示されるような薬液組成の場合である。 HF: organic solvent: water = 0.001 ~ lmass%: 49.999 ~ 99.999mass%: 0 ~ 50mass% This is the case of the chemical composition as shown in FIG.
これらの濃度は有機酸および有機溶媒の種類により若干異なる。  These concentrations differ slightly depending on the type of organic acid and organic solvent.
本明細書において、反射防止膜おょぴ埋め込み材とはシリコン、 Si- OH結合および/ 又は S H結合などを含むものを示し、プラズマアツシングによりダメージを受けたものも これに該当する。 Si- H結合を含む反射防止膜および埋め込み材とは、 Si-CH3結合がな い或いは少なぐ Si- H結合が多い膜であり、 FT-IR測定データとして有意な Si- H吸収ス ベクトル (2200〜2300cm- 1)を有する SiOxCyHzで示される膜であり、一般に  In this specification, the anti-reflection film and the filling material include those containing silicon, Si—OH bonds and / or SH bonds, and the like, and include materials damaged by plasma assing. An anti-reflection film and a burying material containing Si-H bonds are films having no or few Si-CH3 bonds and many Si-H bonds, and have significant Si-H absorption vectors (FT-IR measurement data). 2200-2300 cm-1) is a film represented by SiOxCyHz, generally
HSQ(Hydrogen Silsesquioxane)と呼ばれているものも含む。 Si-OH結合を含む膜、 Si - H 結合を含む膜、共に、特に Si-CH3結合がない或いは少なく、 Si- H結合および/又は Si-OH結合が多い膜ほど本発明の除去液により有効に除去できる。 Also includes what is called HSQ (Hydrogen Silsesquioxane). Both the film containing Si-OH bonds and the film containing Si-H bonds, especially those with no or few Si-CH3 bonds, and films with more Si-H bonds and / or Si-OH bonds are more effective with the removal solution of the present invention. Can be removed.
low-k膜とは、 02プラズマアツシングによりダメージを受けるようなものを示し、具体的 には、比誘電率が、 1より大きぐ 4以下程度、好ましくは 3以下程度、より好ましくは 2. 8 以下程度、さらに好ましくは 2. 6以下程度の絶縁膜を意味する。 low— k膜としては、例え ば、 Black Diamond (商品名、アプライドマテリアルズ社製)、コーラル(商品名、 Novellus 社製)、 1KDシリーズ (商品名、 JSR社製)、オーロラ(商品名、 ASM社製)、 HSGシリーズ (商品名、日立化成社製)、 Nanoglass (商品名、 Honeywell社製)、 IPS (商品名、触媒ィ匕 成社製)、 Z3M (商品名、 Dow Corning社製)、 X1K (商品名、 Dow Corning社製)、 FOx (商品名、 Dow Corning社製)、 Orion (商品名 Tricon社製)などの比誘電率が 2.4以下の 新たに形成されるようになった膜もあげられる。 low - k膜は主に塗布と有機プラズマ CVD により生成される。塗布の場合は原料固有の膜の名称がつけられ、有機プラズマ CVD の場合は成膜に用いた原料と装置により固有の膜の名称がつけられる。 Orionなども有 機プラズマ CVD膜のひとつである。  The low-k film refers to a film that is damaged by 02 plasma assing.Specifically, the relative dielectric constant is larger than 1 and is about 4 or less, preferably about 3 or less, more preferably 2. It means an insulating film of about 8 or less, more preferably about 2.6 or less. Examples of low-k films include Black Diamond (trade name, manufactured by Applied Materials), Coral (trade name, manufactured by Novellus), 1KD series (trade name, manufactured by JSR), Aurora (trade name, ASM) ), HSG series (trade name, manufactured by Hitachi Chemical Co., Ltd.), Nanoglass (trade name, manufactured by Honeywell), IPS (trade name, manufactured by Shiroi-Daisei Co., Ltd.), Z3M (trade name, manufactured by Dow Corning), Newly formed films such as X1K (trade name, manufactured by Dow Corning), FOx (trade name, manufactured by Dow Corning), Orion (trade name, manufactured by Tricon) with a relative dielectric constant of 2.4 or less are also available. can give. Low-k films are mainly produced by coating and organic plasma CVD. In the case of coating, a film-specific film name is given, and in the case of organic plasma CVD, a film-specific film name is given depending on the material and equipment used for film formation. Orion is one of the organic plasma CVD films.
絶縁膜バリアとは、半導体デバイスの配線工程における Cu/low- k多層配線構造を製 作する際に、(l)low- k膜をパターユング用のハードマスク、(2)銅の拡散防止のためのバリ ァ、(3)low- k膜のエッチングを防止するためのエッチストッパ (ストッパー膜)、 (4)low-k膜 の保護と下地への密着性の向上、 (5)銅の CMP工程における low- k膜の保護 (キャップ 膜)などのために使用される絶縁膜である。これらの機能を持ち, low - k膜の比誘電率を 損なうことがないよう、絶縁膜パリアの比誘電率も小さいことが望まれている。絶縁膜バリ ァとしては、窒化珪素 (SiN),炭化珪素 (SiC),炭化窒化珪素 (SiCN)などのシリコン (Si)含有化 合物があげられる。 When fabricating a Cu / low-k multilayer wiring structure in the wiring process of semiconductor devices, the insulating film barrier is used to (1) use the low-k film as a hard mask for patterning, and (2) prevent copper diffusion. (3) Etch stopper (stopper film) to prevent low-k film etching, (4) Protection of low-k film and improvement of adhesion to base, (5) Copper CMP This is an insulating film used to protect the low-k film (cap film) in the process. It is desired that the dielectric constant of the insulating film is small so that it has these functions and does not impair the relative dielectric constant of the low-k film. Insulating film burr Examples of the alloy include compounds containing silicon (Si) such as silicon nitride (SiN), silicon carbide (SiC), and silicon carbonitride (SiCN).
前記レジストとしては、 KrF (クリプトンエフ)、 ArF、 F2などのレーザー光源を用いて描画 するためのレジスト等が挙げられる力 これに限定されるものではない。  Examples of the resist include a resist for drawing by using a laser light source such as KrF (Krypton F), ArF, and F2. The resist is not limited to this.
本発明の方法では、例えば、半導体基板(例えば、 SiN,銅、 TaN、 SiCなど)上に low - k 膜を形成、次いで反射防止膜、レジストを形成、その後フォトリソグラフィ一によりパターン を形成、当該パターンに従って low-k膜をエッチングした後、埋め込み材を充填し、再び、 フォトリソグラフィ一とエッチングを行うようなデュアルダマシン構造おょぴダマシン構造を 形成した際に、残った埋め込み材あるいはリソグラフィーなどの一部のプロセスのやり直 し (リワーク)などの際に既にエッチングして形成した溝や穴のパターンに充填した埋めこ み材および反射防止膜を本発明の除去液に接触させることにより除去することができる。 また、本発明は、シリコンを含む反射防止膜おょぴ埋め込み材に使用される素材や原 料を用いて、イオン注入キャップや複雑な三次元構造キャパシタなどのために犠牲膜を 形成した場合にも、その犠牲膜を選択的に除去できる。  In the method of the present invention, for example, a low-k film is formed on a semiconductor substrate (eg, SiN, copper, TaN, SiC, etc.), then an antireflection film and a resist are formed, and then a pattern is formed by photolithography. After the low-k film is etched according to the pattern, the filling material is filled, and when a dual damascene structure or a damascene structure is again formed by photolithography and etching, the remaining filling material or lithography Removal of the buried material and antireflection film filled in the pattern of grooves and holes that have already been etched during reworking of some processes (rework) etc. be able to. In addition, the present invention relates to a case where a sacrificial film is formed for an ion implantation cap, a complicated three-dimensional structure capacitor, or the like using a material or a raw material used for an antireflection film and a filling material containing silicon. Also, the sacrificial film can be selectively removed.
従って、本発明の除去液は、 low-k膜及びレジストに穴もしくは溝が開き、反射防止膜 および/又は埋め込み材が付着した状態のものを被処理物として、当該反射防止膜およ び/又は埋め込み材を除去する液である。なお、エッチングにより得られた low-k膜の穴 の壁面及ぴ Z又は底面にポリマー(エッチングガスの重合物)およびエッチング残渣が付 着したものであってもよレヽ。  Therefore, the removal solution of the present invention is a low-k film and a resist in which a hole or a groove is opened and an antireflection film and / or a filling material is adhered, and the antireflection film and / or Alternatively, it is a liquid for removing the filling material. It should be noted that a polymer (polymer of an etching gas) and an etching residue may be attached to the wall surface and / or Z of the hole of the hole of the low-k film obtained by etching.
基板上に low- k膜を形成した後には、必要に応じて low- k膜上に SiN、 SiC, TaN膜な どを形成し、該 SiN、 SiC, Ta 膜などを反射防止膜および/又は埋め込み材と共にエツ チングすることあでさる。  After forming the low-k film on the substrate, if necessary, a SiN, SiC, TaN film or the like is formed on the low-k film, and the SiN, SiC, Ta film, etc. are formed as an anti-reflection film and / or Etching with embedding materials is recommended.
また、レジストの表面上あるいはレジストの下には、反射防止膜を形成することができ、 これら反射防止膜がシリコンを含有している場合には、レジスト、エッチング残渣、埋め込 み材と共に剥離することができる。  An anti-reflection film can be formed on the surface of the resist or under the resist. When the anti-reflection film contains silicon, it is peeled off together with the resist, the etching residue, and the embedding material. be able to.
low-k膜及ぴレ、ジスト ίま、通常、それぞれ 0. 01〜2 ηι程度、 0. 001-0. 2〃m程度、 0. 01〜10 μ πι程度の厚みを有している。また、必要に応じて形成される SiN膜、 SiC膜、 TaN膜、反射防止膜なども、通常、それぞれ 0. 01〜2 μ m程度、 0. 001-0. 2 m程 度、 0. 01〜10 /i m、 0. 01-0. 1 μ m程度の厚みを有している。埋め込み材はエツチン グを行った形状の中に埋め込むため、その形状の容積とほぼ同じ分量を要する。 The low-k film has a thickness of about 0.01 to 2 ηι, a thickness of about 0.001 to 0.2 μm, and a thickness of about 0.01 to 10 μπι, respectively. The SiN film, SiC film, TaN film, anti-reflection film, etc., which are formed as needed, are usually about 0.01 to 2 μm and about 0.001 to 0.2 m, respectively. The thickness is about 0.01 to 10 / im and about 0.01 to 0.1 μm. Since the embedding material is embedded in the etched shape, it requires approximately the same amount as the volume of the shape.
本発明の方法では、エッチング後、本発明の除去液に接触させる前に、必要に応じて、 実質的に low_k膜にダメージを与えない程度に、軽い酸素を含むプラズマアツシング (例 えば、軽い酸素を含むプラズマアツシング前後の比誘電率の変化力 好ましくは 20%以 下程度、より好ましくは 10%以下程度、さらに好ましくは 5%以下程度にアツシング)もしく は軽レ、水素含むプラズマアツシングをしてもよレ、。前処理として軽レ、酸素を含むプラズマ アツシングゃ軽い水素含むプラズマアツシングを行う場合には、同じ除去液を用いた場合 であっても、エッチング後、直接反射防止膜および/又は埋め込み材を除去する場合とは 温度、時間などの最適条件が異なる場合がある。  In the method of the present invention, after etching and before contact with the removing solution of the present invention, if necessary, a plasma assing containing light oxygen (e.g., light The changing power of the relative dielectric constant before and after plasma containing oxygen is preferably about 20% or less, more preferably about 10% or less, and still more preferably about 5% or less. You can even sing. When performing plasma etching containing light oxygen and oxygen as a pretreatment, remove the antireflection film and / or filling material directly after etching, even when using the same remover when performing plasma etching containing light hydrogen. Optimal conditions such as temperature and time may be different from the case where
本発明の除去液を用いた反射防止膜および/又は埋め込み材の除去方法は、反射防 止膜および/又は埋め込み材を除去でき、且つ、 low- k膜に実質的にダメージを与えな い程度のような温度及ぴ時間で行うものである。 low- k膜に実質的にダメージを与えない とは、除去液を用いた処理前後の low- k膜の物性力 例えば半導体基板に用いられたと きにその性能に影響を与えない程度しか変化していなレ、こと、例えば、レジストと low - k 膜の界面において実質的に low- k膜を侵す (エッチングする)ことなく、被処理物の膜の 積層方向の断面形状を実質的に変化させないようなもの、或いは、除去液を用いた処理 前後に low - k膜の比誘電率が実質的に変化しないことをいう。実質的に low-k膜をエツ チングしないとは、 low- k膜のエッチング量が、好ましくは 200nm以下程度、より好ましく は lOOnm以下程度、さらに好ましくは 50nm以下程度であることをいう。除去液を用いた 処理前後の low- k膜の比誘電率が実質的に変化しなレ、とは、比誘電率の変化が、好ま しくは 20%以下程度、より好ましくは 10%以下程度、さらに好ましくは 5%以下程度であ ることをいう。  The method for removing the antireflection film and / or the filling material using the removing liquid of the present invention can remove the antireflection film and / or the filling material and does not substantially damage the low-k film. The temperature and the time are as follows. The phrase "does not substantially damage the low-k film" means that the physical properties of the low-k film before and after the treatment using the removing solution are changed only to such an extent that the performance is not affected when used for a semiconductor substrate. For example, at the interface between the resist and the low-k film, the cross-sectional shape of the film to be processed in the stacking direction is not substantially changed without substantially invading (etching) the low-k film. This means that the relative dielectric constant of the low-k film does not substantially change before and after the treatment with the removing solution. Substantially no etching of the low-k film means that the etching amount of the low-k film is preferably about 200 nm or less, more preferably about 100 nm or less, and still more preferably about 50 nm or less. The fact that the relative dielectric constant of the low-k film does not substantially change before and after the treatment with the removing liquid means that the change in the relative dielectric constant is preferably about 20% or less, more preferably about 10% or less. It is more preferably about 5% or less.
除去液での処理は、例えば、エッチング後の基板を被処理物として本発明の除去液に 浸漬することにより行うことができる。除去液への浸漬条件は、反射防止膜および/又は 埋め込み材が除去でき、 low- k膜に実質的にダメージを与えなければ特に限定されるこ とはなく、除去液の種類や温度に応じて適宜設定することができる。例えば、除去液の液 温が 15〜60°C程度であれば、 0.1〜30分間程度、好ましくは 0.5〜20分間程'度浸漬すれ ばよい。より具体的には、 HF:酢酸:水 =0.05〜lmass%:98〜99.5mass%:0〜lmass%である除 去液の場合には、液温が 23°C程度であれば、 0.1〜20分間程度浸漬させればよレ、。 HF: ェチノレアミン:エチレングリコーノレ:水 =0.05〜2mass%:0.01〜2mass%:94〜99.5mass%:0〜 2mass%である除去液の場合にはく 0.1-20分間程度浸漬させればよい。 The treatment with the removing liquid can be performed, for example, by immersing the substrate after etching as an object to be treated in the removing liquid of the present invention. The conditions for immersion in the removing solution are not particularly limited as long as the anti-reflection film and / or the filling material can be removed and the low-k film is not substantially damaged. Can be set appropriately. For example, if the temperature of the removing solution is about 15 to 60 ° C., the immersion may be performed for about 0.1 to 30 minutes, preferably for about 0.5 to 20 minutes. More specifically, except that HF: acetic acid: water = 0.05 ~ lmass%: 98 ~ 99.5mass%: 0 ~ lmass% In the case of liquid removal, if the liquid temperature is about 23 ° C, it can be immersed for about 0.1 to 20 minutes. HF: ethynoleamine: ethylene glycolone: water = 0.05 to 2 mass%: 0.01 to 2 mass%: 94 to 99.5 mass%: 0 to 2 mass%.
また、除去液を被処理物に接触させれば反射防止膜および/又は埋め込み材の除去 を行うことができるので、例えば、被処理物を回転させながらその上から液を供給して洗 浄してもょレ、し、被処理物に組成物をスプレーで吹付け続けて洗浄してもよレ、。  In addition, the anti-reflection film and / or the filling material can be removed by bringing the removal liquid into contact with the object to be processed. After that, the composition may be washed by continuously spraying the composition on the object to be treated.
本発明の除去液での処理は、反射防止膜および/又は埋め込み材の種類やエツチン グなどの条件により反射防止膜および/又は埋め込み材を除去しにくい場合、例えば被 処理物を除去液に浸漬して超音波洗浄を行ってもょレヽ。  In the treatment with the removing liquid of the present invention, when it is difficult to remove the anti-reflective coating and / or the filling material due to the type of the anti-reflective coating and / or the filling material and etching conditions, for example, immersing the object to be treated in the removing liquid. And then perform ultrasonic cleaning.
銅および銅の合金など配線材料では、除去液中の溶存酸素量、水素イオン量などが多 レ、と腐食が進行する。特に溶存酸素量は銅の腐食を制御する上で重要である。除去液 中の溶存酸素量を減らすことができると、銅の腐食は大幅に抑えることができる。このよう に、配線材料である銅などの金属が共存する場合には、不活性ガスを混合し、酸素分圧 が空気の酸素分圧以下である雰囲気 (実質的に不活性ガス中)で、反射防止膜および埋 め込み材の除去液に、不活性ガスを溶解させ、除去液中の酸素分圧を飽和溶解した空 気の酸素分圧以下にした除去液で、反射防止膜および/または埋め込み材の除去をす ることにより、腐食を抑えることができる。この場合、さらに、不活性ガスを溶解させ、水中 の酸素分圧を飽和溶解した空気の酸素分圧以下にした水を用いて除去液を取り除くリン スを行うとリンス段階での腐食も抑えることができ、さらに効果的である。  In the case of wiring materials such as copper and copper alloys, the corrosion proceeds when the amount of dissolved oxygen and the amount of hydrogen ions in the removing solution are large. In particular, the amount of dissolved oxygen is important in controlling the corrosion of copper. If the amount of dissolved oxygen in the removal solution can be reduced, copper corrosion can be greatly reduced. As described above, when a metal such as copper, which is a wiring material, coexists, an inert gas is mixed in an atmosphere (substantially in an inert gas) in which the oxygen partial pressure is equal to or lower than the oxygen partial pressure of air. An inert gas is dissolved in the anti-reflection coating and the embedding material removal liquid, and the anti-reflection coating and / or the removal liquid whose oxygen partial pressure in the removal liquid is reduced to the oxygen partial pressure of the saturated dissolved air or less. By removing the filling material, corrosion can be suppressed. In this case, further rinsing to remove the removing liquid using water in which the inert gas is dissolved and the oxygen partial pressure in the water is equal to or lower than the oxygen partial pressure of the air in which the dissolved water is saturated can suppress corrosion in the rinsing stage. Can be more effective.
不活性ガスとしては、窒素(N2) ,ヘリウム、ネオン、アルゴンなどの希ガスが例示される。 不活性ガスは必ずしもこれらに限られるものではなぐ実質上、除去液に対して不活性で あれば良い。例えば、フルォロカーボンガス、炭化水素、一酸ィ匕炭素など、除去液と反応 しなレヽガスであれば、溶存酸素を除去する同様の効果が得られる。  Examples of the inert gas include rare gases such as nitrogen (N2), helium, neon, and argon. The inert gas is not necessarily limited to these, but may be substantially inert to the removing solution. For example, the same effect of removing dissolved oxygen can be obtained with a gas that does not react with the removing liquid, such as fluorocarbon gas, hydrocarbon, and carbon monoxide.
本発明除去液を用いて反射防止膜および/または埋め込み材の除去を行った半導体 基板は、例えば、銅やアルミ配線をするなど、慣用されてレ、る方法 (例えば、詳説半導体 CMP技術、土肥俊郎 編著 2001年 に記載された方法)に従って、様々な種類の半 導体装置へと加工することができる。  The semiconductor substrate from which the antireflection film and / or the filling material has been removed by using the removing liquid of the present invention can be used in a commonly used method such as, for example, copper or aluminum wiring (for example, semiconductor CMP technology, It can be processed into various types of semiconductor devices according to the method described in Toshiro edited by 2001).
本発明によれば、 low- k膜を実質的に損なうことない反射防止膜おょぴ埋め込み材の 除去液を提供できる。 本発明は、ダマシン構造およびデュアルダマシン構造の形成、リソグラフィーなど一部 のプロセスのやり直し (リワーク)などの際において、反射防止膜および/又は埋め込み材 を選択的に取り除く除去液を提供できる。 ADVANTAGE OF THE INVENTION According to this invention, the removal liquid of an antireflection film and an embedding material which does not substantially impair a low-k film can be provided. The present invention can provide a removal liquid for selectively removing an antireflection film and / or a buried material when a process such as formation of a damascene structure or a dual damascene structure, or lithography is partially performed again (rework).
発明を実施するための最良の形態  BEST MODE FOR CARRYING OUT THE INVENTION
以下に実施例を示して本発明をより詳細に説明するが、本発明は下記の実施例に限 定されるものではない。  Hereinafter, the present invention will be described in more detail with reference to Examples, but the present invention is not limited to the following Examples.
下記組成物のエッチング量は、各組成物を用いて 23°Cで各膜をエッチングし、エッチ ング処理前の膜厚とエッチング処理後の膜厚の差を算出したものである。なお、以下に おいて、埋めこみ材、 low- k膜のエッチング量はナノメトリタスジャパン株式会社製ナノス ペック 3000AF— Tを用いてエッチング前後の膜厚を測定することで行った。 また、反射 防止膜および埋め込み材の除去性と断面形状は、日立製作所社、走査型電子顕微鏡 (S- 5000)の写真撮影により観察した。  The etching amount of the following composition was obtained by etching each film at 23 ° C. using each composition and calculating the difference between the film thickness before the etching treatment and the film thickness after the etching treatment. In addition, in the following, the etching amount of the embedding material and the low-k film was measured by measuring the film thickness before and after the etching using Nanospec 3000AF-T manufactured by NanoMetricus Japan KK. The removal properties and cross-sectional shape of the antireflection film and the embedding material were observed with a scanning electron microscope (S-5000) by Hitachi, Ltd.
試験例 1:反射防止膜および埋め込み材の除去性 Test example 1: Removability of anti-reflective coating and filling material
ポーラス low_k膜 (ポーラス MSQ)、 SiN膜、シリコンを含有する反射防止膜 (BARC)、 レジスト(KrF)膜が形成された Si基板にっレ、て、ビアエッチング処理を行レヽ、その後、埋 め込み材を充填して、再度、リソグラフィー、トレンチエッチングを行った。 SiN膜の表面上 にレジスト (表面のレジストがエッチング処理により変質したものを含む)及びエッチング残 渣を有し、ビアやトレンチ内には埋め込み材が残った銅配線形成前のデュアルダマシン 構造の被処理物を得た。シリコンを含有する反射防止膜及び埋め込み材には Aを、ポ 一ラス low- k膜は B、 Cの 2種類を用いた。この被処理物を以下に示す表 1〜3、表 5〜 7に示す除去液に、 23°Cにて、所定時間撹拌しながら浸漬した。  Via etching is performed on the Si substrate on which the porous low_k film (porous MSQ), SiN film, silicon-containing antireflection film (BARC), and resist (KrF) film are formed, and then the via etching process is performed. Then, lithography and trench etching were performed again. A dual damascene structure before the formation of copper wiring with a resist (including a resist that has been altered by the etching process) and an etching residue on the surface of the SiN film, and a buried material remaining in the vias and trenches. A processed product was obtained. A was used for the silicon-containing antireflection film and the embedding material, and B and C were used for the porous low-k film. The object to be treated was immersed in a removing solution shown in Tables 1 to 3 and Tables 5 to 7 at 23 ° C. with stirring for a predetermined time.
埋め込み材 A、ポーラス low- k膜 B、 Cは、以下のものを用いた:  The following materials were used for the implant material A and the porous low-k films B and C:
埋め込み材 A: Si,C,0,Hを含み、 Si— OH、 Si— CH3、 Si- O結合を有する材; Filling material A: Material containing Si, C, 0, H, and having Si—OH, Si—CH3, and Si—O bonds;
ポーラス low- k膜 B: Si,C,0,Hを含み、 Si - CH3、 Si - 0結合を有する塗布膜; ポーラス low- k膜 C: Si,C,0,Hを含み、 Si— CH3、 Si— 0結合を有する CVD膜。 Porous low-k film B: Coating film containing Si, C, 0, H and having Si-CH3, Si-0 bond; Porous low-k film C: Containing Si, C, 0, H, Si—CH3 CVD film with Si-0 bond.
(1)実施例:!〜 41  (1) Example:! ~ 41
実施例 1〜41では、上述のデュアルダマシン構造の被処理物を作成後、酸素プラズマ アツシングなどの処理を行なっていなレ、。 low- k膜 Bおよび low- k膜 Cに対する反射防 止膜及ぴ埋め込み材 Aエッチング速度比 (A/B,A/C)にも示されるように、表:!〜 3に示し た実施例 1〜41の除去液で処理した基板からは、 low-k膜 Bおよび low- k膜 Cに対し て選択的に反射防止膜及び埋め込み材 Aが完全に除去されていた。この時の反射防 止膜及び埋め込み材 Aのエッチング速度は 6〜800A/minである。一方、表 4に示した 比較例 1〜5の液で処理した基板は、反射防止膜及ぴ埋め込み材 Aを除去できなかつ た。この比較例の反射防止膜及ぴ埋め込み材 Aのエッチング速度は 6 A/min以下であ る。比較例 1〜5では HFの濃度を大きくすると除去性は多少改善されるが効果は小さい。 また、銅配線が露出する部分では、銅の腐食を促進するために使用しにくい。しかし、比 較例:!〜 5に示した有機溶媒は銅の自然酸化膜を除去する効果はある。銅の自然酸ィ匕 膜は配線の抵抗を高くし接触不良を起こす可能性があるので除去することが望ましい。 実施例 1〜41に比較例 1〜5に示した有機溶媒を混合して、銅の腐食が少ない処理時 間で、反射防止膜や埋め込み膜を除去すると同時に銅の自然酸化膜だけを除去するた めに使用することができる。比較例 5、比較例 6は、ポリマー剥離液に用いられる代表的 な組成の薬液で処理した例である。この場合も、反射防止膜おょぴ埋め込み材は除去で きていない。これらを選択的に除去するのは難しい。水素プラズマによるアツシング処理 を行った結果に対しても、実施例、比較例ともに、ほぼ同様の効果であった。 In Examples 1 to 41, after the object to be processed having the above-described dual damascene structure was prepared, a process such as oxygen plasma asshing was not performed. As shown in the anti-reflection coating and the filling material A etching rate ratio (A / B, A / C) for low-k film B and low-k film C, the values are shown in Tables: The anti-reflection film and the burying material A were selectively removed from the substrate treated with the removing solution of Examples 1-41 selectively with respect to the low-k film B and the low-k film C. At this time, the etching rate of the antireflection coating and the burying material A is 6 to 800 A / min. On the other hand, the substrates treated with the liquids of Comparative Examples 1 to 5 shown in Table 4 could not remove the antireflection film and the filling material A. The etching rate of the antireflection film and the filling material A of this comparative example is 6 A / min or less. In Comparative Examples 1 to 5, when the HF concentration was increased, the removability was slightly improved, but the effect was small. Further, in a portion where the copper wiring is exposed, it is difficult to use the portion in order to promote corrosion of copper. However, the organic solvents shown in Comparative Examples:! To 5 are effective in removing the native oxide film of copper. It is desirable to remove the copper native oxide film because it may increase the resistance of the wiring and cause poor contact. The organic solvents shown in Comparative Examples 1 to 5 were mixed with Examples 1 to 41 to remove the antireflection film and the buried film and at the same time to remove only the copper native oxide film in a processing time in which copper corrosion was small. Can be used for Comparative Examples 5 and 6 are examples of treatment with a chemical solution having a typical composition used for a polymer stripping solution. Also in this case, the antireflection film and the filling material have not been removed. It is difficult to remove them selectively. The results obtained by performing the asshing treatment with hydrogen plasma were almost the same in both the examples and the comparative examples.
表 1  table 1
HF/酢酸/ H20除去液における反射防止膜 A及び埋め込み材とポーラス low- k膜 B のエッチング速度比、反射防止膜 A及び埋め込み材の除去性、および low- k膜 Bに形 成されたパターンの断面形状の変化  Etch rate ratio of anti-reflective coating A and buried material to porous low-k film B in HF / acetic acid / H20 removal liquid, removability of anti-reflective film A and buried material, and pattern formed on low-k film B In cross-sectional shape of
反射防止膜及び埋め込み材 A  Anti-reflective coating and embedded material A
組成 処理  Composition Processing
施 low-k腠 B  Out low-k 腠 B
時間  Time
例 HF 酢酸 水 エッチング速度比 除去性 断面形状  Example HF acetic acid water Etching rate ratio Removability Cross-sectional shape
No Mass% mass% Mass% 分 A/B A B  No Mass% mass% Mass% min A / B A B
1 1.5 97.0 1.5 5 174 良好 良好  1 1.5 97.0 1.5 5 174 Good Good
2 2.0 96.0 2.0 5 167 良好 良好  2 2.0 96.0 2.0 5 167 Good Good
3 2.5 95.0 2.5 5 196 良好 良好  3 2.5 95.0 2.5 5 196 Good Good
4 3.0 94.0 3.0 5 187 良好 良好  4 3.0 94.0 3.0 5 187 Good Good
5 1.5 97.0 1.5 10 155 良好 良好  5 1.5 97.0 1.5 10 155 Good Good
6 2.0 96.0 2.0 10 174 良好 良好  6 2.0 96.0 2.0 10 174 Good Good
7 2.5 95.0 2.5 10 137 良好 良好  7 2.5 95.0 2.5 10 137 Good Good
8 3.0 94.0 3.0 10 119 良好 良好 表 2 8 3.0 94.0 3.0 10 119 Good Good Table 2
HF/イソプロピルアルコール (IPA)/H20除去液における反射防止膜及び埋め込み材 A とポーラス low- k膜 Bのエッチング速度比、反射防止膜及ぴ埋め込み材 Aの除去性、 および low-k膜 Bに形成されたパターンの断面形状の変化  Etch rate ratio of anti-reflective coating and burying material A to porous low-k film B in HF / isopropyl alcohol (IPA) / H20 removal liquid, removal of anti-reflective film and burying material A, and low-k film B Changes in the cross-sectional shape of the formed pattern
Figure imgf000031_0001
Figure imgf000031_0001
表 3  Table 3
HF/有機酸'有機溶媒/ H20除去液における反射防止膜及び埋め込み材 Aとポーラス low-k膜 Cのエッチング速度比、反射防止膜及ぴ埋め込み材 Aの除去性、および low- k膜 Cに形成されたパターンの断面形状の変化  Etch rate ratio of anti-reflective coating and filling material A and porous low-k film C in HF / organic acid 'organic solvent / H20 removal liquid, removal of anti-reflective film and filling material A, and low-k film C Changes in the cross-sectional shape of the formed pattern
処 反射防止膜及び埋め込み材 A 組成  Treatment Anti-reflective coating and filling material A composition
実施 理 low-k膜 C  Implementation process low-k film C
例 時 エッチンク  Example at Etching
HF 有機溶媒 水 間 除去性 断面形状 速度比  HF organic solvent water removal
No mass% 種類 Mass% mass% 分 A/C A C No mass% Type Mass% mass% Min A / C A C
17 0.7 酢酸 98.5 0.8 0.5 14.4 良好 良好17 0.7 Acetic acid 98.5 0.8 0.5 14.4 Good Good
18 1.5 IPA 97.0 1.5 10 1.5 良好 良好18 1.5 IPA 97.0 1.5 10 1.5 Good Good
19 3.0 IPA 94.0 3.0 5 52.1 良好 良好19 3.0 IPA 94.0 3.0 5 52.1 Good Good
20 0.5 酢酸ェチル 98.5 0.5 5 1.9 良好 良好20 0.5 Ethyl acetate 98.5 0.5 5 1.9 Good Good
21 0.4 炭酸フ。ロピレン 97.1 0.4 1 18.4 良好 良好21 0.4 Carbonate. Lopylene 97.1 0.4 1 18.4 Good Good
22 0.6 メタンスルホン 96.8 0.6 1 12.1 良好 良好 表 4 22 0.6 Methane sulfone 96.8 0.6 1 12.1 Good Good Table 4
反射防止膜 A及び埋め込み材 Aとポーラス low- k膜 Cのエッチング速度比、反射防 止膜及ぴ埋め込み材 Aの除去性、および low- k膜 Cに形成されたパターンの断面形 状の変化の比較例 Etch rate ratio of antireflection film A and buried material A to porous low-k film C, removability of antireflection film and buried material A, and change in cross-sectional shape of pattern formed on low-k film C Comparative example of
Figure imgf000032_0001
Figure imgf000032_0001
DMSO:ジメチルスルホキシド、 DMF: Ν,Ν-ジメチルホルムアミド、 DMA: N,N-ジメチルァセ トアミド、 NMP:N—メチル— 2—ピロリドン DMSO: dimethyl sulfoxide, DMF: Ν, Ν-dimethylformamide, DMA: N, N-dimethylacetamide, NMP: N-methyl-2-pyrrolidone
(2)実施例 23〜34 (2) Examples 23 to 34
反射防止膜及び埋め込み材 Aをウェハー上に成膜して、エッチング及び/又は酸素プ ラズマによるアツシングを処理した。先に作製したデュアルダマシン構造の被処理物にお いても同じようなプラズマ処理を行った。 low- k膜 Bおよび low- k膜 Cに対する反射防 止膜及び埋め込み材 Aエッチング速度比 (A/B,A/C)にも示されるように、表 5、表 6に示 した実施例 23〜34に示したイソプロピルアルコール (IPA)を含む除去液で処理した基板 からは、 low-k膜 Bおよび low-k膜 Cに対して選択的にプラズマダメージを受けた反射 防止膜及ぴ埋め込み材 Aが完全に除去されていた。この時の反射防止膜及ぴ埋め込 み材 Aのエッチング速度は 20〜280A/minである。この場合、レジストやエッチング残 渣も除去できた。有機溶媒として、酢酸、イソプロピルアルコールとメタノールの混合溶液、 1,2 -ジメトキシェタン、酢酸ェチル、 1,4-ジォキサン、炭酸プロピレン、メタンスルホン酸、 1,4-ジォキサンと酢酸及び/又は無水酢酸の混合溶液でも同様に low- k膜 Bおよび low-k膜 Cに対して選択的にプラズマダメージを受けた反射防止膜及び埋め込み材 A が完全に除去できた。 表 5 An anti-reflection film and an embedding material A were formed on a wafer, and were subjected to etching and / or asking by oxygen plasma. The same plasma treatment was performed on the dual damascene structure to be processed earlier. As shown in the anti-reflection film and the buried material A etching rate ratio (A / B, A / C) for low-k film B and low-k film C, Example 23 shown in Tables 5 and 6 was used. Substrates treated with the isopropyl alcohol (IPA) -removing solution shown in Fig. 34 to 34 show that the low-k film B and low-k film C are selectively plasma-damaged to the anti-reflection film and the filling material. A had been completely removed. At this time, the etching rate of the antireflection film and the embedding material A is 20 to 280 A / min. In this case, the resist and etching residue were also removed. As an organic solvent, acetic acid, a mixed solution of isopropyl alcohol and methanol, 1,2-dimethoxyethane, ethyl acetate, 1,4-dioxane, propylene carbonate, methanesulfonic acid, Similarly, a mixed solution of 1,4-dioxane and acetic acid and / or acetic anhydride completely removes the antireflection film and the filling material A that have been selectively damaged by plasma for the low-k film B and low-k film C. Could be removed. Table 5
プラズマダメージを受けた反射防止膜及ぴ埋め込み材 Aとポーラス low_k膜 Bのエツ チング速度比、プラズマダメージを受けた反射防止膜及び埋め込み材 Aの除去性、お よび low- k膜 Bに形成されたパターンの断面形状の変化 Etching rate ratio of plasma-damaged anti-reflective coating and buried material A to porous low_k film B, removal of plasma-damaged anti-reflective film and buried material A, and low-k film B Of the cross-sectional shape of the pattern
処 反射防止膜及び埋め込み材 A 組成 反射防止膜及び  Treatment Anti-reflective coating and filling material A Composition
理 low-k膜 β 施 埋め込み材 Aをフ。  Process low-k film β application Embedding material A
 Time
例 ラス 処理 エッチング  Example Lasing Etching
HF IPA 水 間 除去性 断面形状 速度比  HF IPA Water removal Cross-sectional shape Speed ratio
エッチンク" アツシング  Etching "Atthing
No mass% mass% mass% 分 Α/Β A B ダメーン' タ"メーシ'  No mass% mass% mass% min Α / Β A B Damen
23 なし なし 10 良好 良好 23 None None 10 Good Good
24 5 有り なし 3 良好 良好24 5 Yes No 3 Good Good
25 有り 有り 3 良好 良好25 Yes Yes 3 Good Good
1.5 97.0 1.5 1.5 97.0 1.5
26 なし なし 57 良好 良好 26 None None 57 Good Good
27 10 有り なし 42 良好 良好27 10 Yes No 42 Good Good
28 有り 有り 37 良好 良好 28 Yes Yes 37 Good Good
表 6 Table 6
プラズマダメージを受けた反射防止膜及ぴ埋め込み材 Aとポーラス low- k膜 Bのエツ チング速度比、プラズマダメージを受けた反射防止膜及び埋め込み材 Aの除去性、お ょぴ low-k膜 Bに形成されたパターンの断面形状の変化  Etching speed ratio of plasma-damaged anti-reflective coating and buried material A to porous low-k film B, removal of plasma-damaged anti-reflective film and buried material A, low-k film B In the cross-sectional shape of the pattern formed on the substrate
Figure imgf000034_0001
Figure imgf000034_0001
(3)実施例 35〜41 (3) Examples 35 to 41
low-k膜 B成膜して、酸素プラズマによるアツシングを処理した。 low-k膜 Bにはダメー ジ層が形成された。先に作製したデュアルダマシン構造の被処理物におレ、ても同様なプ ラズマ処理を行った。  A low-k film B was formed, and the asking by oxygen plasma was processed. A damage layer was formed on the low-k film B. The same plasma treatment was performed on the workpiece having the dual damascene structure manufactured earlier.
low-k膜 Bに対する、ダメージ層が形成された low-k膜 B 'のエッチング速度比 (B '/Β) にも示されるように、表 7に示した実施例 36, 38の除去液で 5分間処理した基板からは、 反射防止膜及び埋め込み材 Αとともに、 low- k膜 Bに対して選択的にダメージ層が形 成された low - k膜 B 'が完全に除去されていた。これに対して、実施例 35, 37, 39, 40 の除去液で 1〜2分間処理した基板からは、反射防止膜及び埋め込み材 Aだけが除去 され、ダメージ層が形成された low-k膜 B 'は残った。この場合、レジストやエッチング残 渣も除去できた。実施例 36, 38, 41のように、 Iow-k膜 Bに対する反射防止膜及び埋め 込み材 Aのエッチング速度比 (A/B)よりも low_k膜 Bに対するダメージ層が形成された low-k膜 B 'のエッチング速度比 (B '/B)が大きい場合あるいは、これらの差が小さい場合、 ある程度時間をかけて処理することにより、ダメージ層が形成された low-k膜 B 'が完全 に除去することが可能である。この場合、 low-k膜 Bの除去液によるエッチング量に注意 しなければならない。これに対して実施例 35, 37, 39, 40のような場合では、 low- k膜 B に対する反射防止膜及び埋め込み材 Aのエッチング速度比 (A/B)に対して、 low- k膜 B に対するダメージ層が形成された low- k膜 B 'のエッチング速度比 (B '/B)がかなり小さぐ 短時間で処理することにより、反射防止膜及び埋め込み材 Aを完全に除去し、ダメージ 層が形成された low- k膜 B 'を残すことができる。この時の反射防止膜及び埋め込み材 Aのエッチング速度は 7〜680 A/minである。 表 7 As shown in the etching rate ratio (B '/ Β) of the low-k film B' on which the damaged layer was formed to the low-k film B, the removal solution of Examples 36 and 38 shown in Table 7 was used. From the substrate treated for 5 minutes, the low-k film B ′ in which the damage layer was selectively formed with respect to the low-k film B was completely removed together with the antireflection film and the filling material. On the other hand, from the substrate treated with the removing solution of Examples 35, 37, 39, and 40 for 1 to 2 minutes, only the antireflection film and the burying material A were removed, and the low-k film on which the damaged layer was formed was formed. B 'remained. In this case, the resist and etching residue were also removed. As in Examples 36, 38, and 41, the low-k film in which the damage layer for the low_k film B was formed more than the etching rate ratio (A / B) of the antireflection film and the embedding material A to the Iow-k film B If the etching rate ratio of B '(B' / B) is large or the difference between them is small, the processing takes some time to complete the low-k film B 'with the damaged layer. Can be removed. In this case, attention must be paid to the amount of etching of the low-k film B by the removing solution. On the other hand, in the case of Examples 35, 37, 39, and 40, the etching rate ratio (A / B) of the antireflection film and the filling material A to the low-k film B is lower than that of the low-k film B. The etching rate ratio (B '/ B) of the low-k film B' on which the damage layer is formed is very small.By processing in a short time, the antireflection film and the burying material A are completely removed, and the damage layer is removed. The low-k film B ′ on which is formed can be left. At this time, the etching rate of the antireflection film and the filling material A is 7 to 680 A / min. Table 7
Figure imgf000035_0001
試験例 2 :断面形状
Figure imgf000035_0001
Test example 2: Cross section
表 1〜3、表 5〜7に示した実施例 1〜41について、基板を垂直方向に切断した断面図 を SEMで観察し、除去液での処理前の断面図と比べ、 low-k膜への除去液の影響を確 認した。表 1〜3、表 5〜7に示した実施例 1〜41では断面形状は除去液での処理の前 後で実質的に変わっておらず、 low - k膜がダメージを受けていなレ、ことが確認できた。 本発明の除去液によれば、 low-k膜にダメージを与えることなぐ反射防止膜及ぴ埋め込 み材 Aを除去することが可能であることがわかった。表 4の比較例 1〜7では、埋め込み 材 Aが十分に除去できていないため、形状評価はできな力 た。 比較例 6 For Examples 1 to 41 shown in Tables 1 to 3 and Tables 5 to 7, the cross section of the substrate cut in the vertical direction was observed by SEM. The effect of the removal solution on the water was confirmed. In Examples 1 to 41 shown in Tables 1 to 3 and Tables 5 to 7, the cross-sectional shape did not substantially change before and after the treatment with the removing solution, and the low-k film was not damaged. That was confirmed. According to the removal liquid of the present invention, it was found that it was possible to remove the antireflection film and the embedding material A without damaging the low-k film. In Comparative Examples 1 to 7 in Table 4, since the filling material A was not sufficiently removed, the shape could not be evaluated. Comparative Example 6
HF/H2O = 0.5 mass%/99.5mass%/ (23°C, 10分間、軽い 02プラズマアツシング有 り、又は無し)で 比較例 6では反射防止膜及び埋め込み材 Aは除去できるが low- k膜 にダメージを与えてしまレ、、設計寸法どおりの加工ができなくなった。 HF / H 2 O = 0.5 mass% / 99.5 mass% / (23 ° C, 10 minutes, with or without light 02 plasma assing) In Comparative Example 6, the anti-reflective coating and embedded material A can be removed but low -Damaged the k film, making it impossible to process as designed.

Claims

請求の範囲 The scope of the claims
1. 有機酸及び有機溶媒からなる群カゝら選ばれる少なくとも 1種およぴフッ化水素 (HF) を含む、シリコンを含有する反射防止膜おょぴ埋め込み材からなる群力 選ばれる少なく とも 1種を取り除くための除去液。  1. At least one selected from the group consisting of an organic acid and an organic solvent and a silicon-containing anti-reflective coating containing hydrogen fluoride (HF) and a filling material comprising at least one selected from the group consisting of: Removal solution for removing one species.
2. 不活性ガスを溶解させ、除去液中の酸素分圧を飽和溶解した空気の酸素分圧以下 にした請求項 1に記載の除去液。 2. The removal solution according to claim 1, wherein the inert gas is dissolved, and the partial pressure of oxygen in the removal solution is set to be equal to or lower than the oxygen partial pressure of the saturated dissolved air.
3. 反射防止膜および/または埋め込み材が OHと結合したシリコン (Si— OH結合)お よび/または Hと結合したシリコン (S卜 H結合)を含有するものである、請求項 1に記載 の除去液。  3. The method according to claim 1, wherein the antireflection film and / or the burying material contains silicon bonded to OH (Si—OH bond) and / or silicon bonded to H (Sut H bond). Removal liquid.
4. さらに、アンモニア及ぴァミンからなる群より選ばれる少なくとも 1種を含む請求項 1に 記載の除去液。 4. The removal solution according to claim 1, further comprising at least one selected from the group consisting of ammonia and amine.
5. 有機酸及び有機溶媒力 なる群力 選ばれる少なくとも 1種およぴフッ化水素 (HF) 力もなり HFの濃度が 0. 001〜: L0mass%である請求項 1に記載の除去液。  5. The removing solution according to claim 1, wherein at least one selected from the group consisting of an organic acid and an organic solvent and hydrogen fluoride (HF) has a HF concentration of 0.001 to: L0 mass%.
6. 有機酸及び有機溶媒力 なる群力 選ばれる少なくとも 1種が有機酸であり、かつ、 除去液がさらに水を含み、 HF :有機酸:水の重量比が 0. 001〜5mass% : 2〜99. 998 mass% : 0. 001〜97. 999mass%である請求項 1に記載の除去液。  6. Organic acid and organic solvent power At least one selected is an organic acid, and the removal liquid further contains water, and the weight ratio of HF: organic acid: water is 0.001 to 5 mass%: 2. The removal solution according to claim 1, wherein the removal solution is in the range of 0.001 to 99.99 mass%.
7. 有機酸及び有機溶媒カゝらなる群カゝら選ばれる少なくとも 1種が有機溶媒であり、かつ、 除去液がさらに水を含み、 HF :有機溶媒:水の重量比が 0. 01〜: L0mass% : 40〜99. 9 8mass% : 0. 01〜50mass%である請求項 1に記載の除去液。  7. At least one selected from the group consisting of organic acids and organic solvents is an organic solvent, and the removing solution further contains water; and the weight ratio of HF: organic solvent: water is 0.01 to The removal solution according to claim 1, wherein L0 mass%: 40 to 99.9 mass%: 0.01 to 50 mass%.
8. HFと有機酸および有機溶媒より選ばれる少なくとも 1種にさらに水を含み、 HF :有 機酸おょぴ有機溶媒より選ばれる少なくとも 1種:水の重量比が 0. 001〜10mass% : 60 〜99. 998mass% : 0. 001〜30mass%である請求項 1に記載の除去液。 8. HF and at least one selected from organic acids and organic solvents further contain water, and the weight ratio of HF: at least one selected from organic acids and organic solvents: water is 0.001 to 10 mass%: The removal solution according to claim 1, wherein the removal solution is 60 to 99.998 mass%: 0.001 to 30 mass%.
9. HF ;アンモニア及ぴァミンからなる群より選ばれる少なくとも 1種;有機酸及び有機溶 媒カ なる群力 選ばれる少なくとも 1種;及ぴ水を含み、 HF :アンモニア及びァミンから なる群より選ばれる少なくとも 1種:有機酸及び有機溶媒からなる群力 選ばれる少なくと も 1種:水の重量比が 0. 001 ~ 10mass% : 0. 001~30mass%: 10~99. 998mass%: 0〜50mass%である請求項 4に記載の除去液。  9. HF: at least one selected from the group consisting of ammonia and amine; a group consisting of organic acids and organic solvents; at least one selected from the group consisting of: water and water; HF: selected from the group consisting of ammonia and amines At least one kind: a group consisting of an organic acid and an organic solvent At least one kind selected: the weight ratio of water is 0.001 to 10 mass%: 0.001 to 30 mass%: 10 to 99.998 mass%: 0 to 5. The removal solution according to claim 4, which is 50 mass%.
10. HF (フッ化水素)、有機酸おょぴ有機溶媒より選ばれる少なくとも 1種おょぴ水を含 む除去液にさらに、酸、ドナー数が 25以上の極性親プロトン性溶媒およびフッ素含有有 機化合物からなる群から選ばれる少なくとも 1種を含み、 HF:有機酸および有機溶媒より 選ばれる少なくとも 1種:水:酸:ドナー数が 25以上の極性親プロトン性溶媒:フッ素含 有有機ィ匕合物の重量];匕力 SO.001〜10mass%:25〜99.898mass%:0.001—30 mass%:0〜10mass%:0〜50mass%:0〜70mass%WfiL、酸、ドナー数が 25以上の 極性親プロトン性溶媒およびフッ素含有有機化合物力 なる群力 選ばれる少なくとも 1 種の合計量は 0.1〜74.998mass%である)である請求項 1に記載の除去液。 10. The removal solution containing at least one type of water selected from HF (hydrogen fluoride) and organic acids and organic solvents further contains an acid, a polar protic solvent having 25 or more donors, and fluorine. Yes At least one selected from the group consisting of organic compounds, HF: at least one selected from organic acids and organic solvents: water: acid: a polar protic solvent having a donor number of 25 or more: fluorine-containing organic compound Compound weight]; dangling power SO.001 ~ 10mass%: 25 ~ 99.898mass%: 0.001-30 mass%: 0 ~ 10mass%: 0 ~ 50mass%: 0 ~ 70mass% WfiL, acid, donor number is more than 25 The removal solution according to claim 1, wherein the total amount of at least one selected from the group consisting of a polar protic solvent and a fluorine-containing organic compound is 0.1 to 74.998 mass%.
11. HFと、有機酸および有機溶媒の少なくとも 1種とに、さらにアンモニアおよびアミ ンの少なくとも 1種、水、酸、ドナー数が 25以上の極性親プロトン性溶媒、フッ素含有有 機化合物の少なくとも 1つを含み、 HF:有機酸および有機溶媒の少なくとも 1種:アンモ エアおよびァミンの少なくとも 1種:水:酸:ドナー数が 25以上の極性親プロトン性溶媒: フッ素含有有機化合物の重量比が 0.05〜: 10mass%:30〜99.78raass%:0.05〜20 mass%: 0.02〜30mass%: 0〜: L0mass%: 0〜50mass%: 0〜70mass% (伹し、酸、ドナ 一数が 25以上の極性親プロトン性溶媒およびフッ素含有有機化合物からなる群力 選 ばれる少なくとも 1種の合計量は 0.1-69.88mass%である)である請求項 4に記載の除 去液。  11. HF, at least one of organic acid and organic solvent, and at least one of ammonia and amine, water, acid, polar protic solvent having 25 or more donors, and fluorine-containing organic compound. HF: at least one kind of organic acid and organic solvent: at least one kind of ammonia and amine: water: acid: polar protic solvent having 25 or more donors: weight ratio of fluorine-containing organic compound 0.05 ~: 10mass%: 30 ~ 99.78raass%: 0.05 ~ 20mass%: 0.02 ~ 30mass%: 0 ~: L0mass%: 0 ~ 50mass%: 0 ~ 70mass% The removal solution according to claim 4, wherein the total amount of at least one selected from the group consisting of a polar protic solvent and a fluorine-containing organic compound is 0.1 to 69.88 mass%.
12. 以下のひ)〜 (10)のいずれかの組成を有する請求項 1に記載の除去液:  12. The removing solution according to claim 1, which has any one of the following compositions (a) to (10):
(1) HF:酢酸:水 =0.01〜5mass%:65〜99.98mass%:0.01〜30mass%;  (1) HF: acetic acid: water = 0.01-5mass%: 65-99.98mass%: 0.01-30mass%;
(2) HF:イソプロピルアルコーノレ:水 =0. l~10mass%:60~99.85mass%:0.05〜3 0mass%;  (2) HF: isopropyl alcohol: water = 0.1 to 10 mass%: 60 to 99.85 mass%: 0.05 to 30 mass%;
(3)HF:酢酸:イソプロピルアルコール:水 =0.05~10mass%:l~98.93mass%:l〜 98.93mass%:0.02〜30mass%; (3) HF: acetic acid: isopropyl alcohol: water = 0.05 to 10 mass%: l to 98.93 mass%: l to 98.93 mass%: 0.02 to 30 mass%;
(4) HF:メタノーノレ:イソプロピルアルコール:水 =0. l〜10mass%::!〜 98.  (4) HF: Methanol: isopropyl alcohol: water = 0.1 to 10 mass% ::! ~ 98.
85mass%:l~98.85mass%:0.05〜30mass%; 85mass%: l ~ 98.85mass%: 0.05-30mass%;
(5) HF:1,2—ジメトキシェタン:水 =0. l~10mass%:60~99.85mass%:0.05〜30 mass%;  (5) HF: 1,2-dimethoxyethane: water = 0.1 to 10 mass%: 60 to 99.85 mass%: 0.05 to 30 mass%;
(6) HF:酢酸ェチル:水 =0. l〜10mass%:60〜99.85mass%:0.05〜30mass%; (6) HF: ethyl acetate: water = 0.l ~ 10mass%: 60 ~ 99.85mass%: 0.05 ~ 30mass%;
(7) HF:1,4-ジォキサン:水 =0. l〜10mass%:60〜99.85mass%:0.05〜30 mass%; (8) HF :炭酸プロピレン:水 =0. l〜10mass% : 60〜99. 85mass% : 0. 05〜30 mass%; (7) HF: 1,4-dioxane: water = 0.1 to 10 mass%: 60 to 99.85 mass%: 0.05 to 30 mass%; (8) HF: Propylene carbonate: water = 0.1 to 10 mass%: 60 to 99.85 mass%: 0.05 to 30 mass%;
(9) HF :メタンスルホン酸:水 =0. 001~5mass% : 65~99. 998mass% : 0. 001〜30 mass%及ぴ  (9) HF: methanesulfonic acid: water = 0.001 to 5 mass%: 65 to 99.998 mass%: 0.001 to 30 mass%
(10)HF : 1,4 -ジォキサンと無水酢酸および酢酸からなる群力 選ばれる少なくとも 1種: * = l〜10mass% : 60〜99. 85mass% : 0. 05〜30mass%。 (10) A group consisting of HF: 1,4-dioxane, acetic anhydride and acetic acid At least one selected from: * = l to 10 mass%: 60 to 99.85 mass%: 0.05 to 30 mass%.
13. HF : (ポリ)アルキレングリコールモノアルキルエーテル類おょぴ(ポリ)アル キレングリコールジアルキルエーテル類からなる群から選ばれる少なくとも 1種:水の 重量比が 0. 50〜5mass% : 85. 00〜99. 30mass% : 0. 20〜: 10mass%である 請求項 1に記載の剥離液。  13. HF: at least one selected from the group consisting of (poly) alkylene glycol monoalkyl ethers and (poly) alkylene glycol dialkyl ethers: water having a weight ratio of 0.50 to 5 mass%: 85.00 The stripping solution according to claim 1, wherein the stripping solution is in the range of 99 to 30 mass%: 0.20 to 10 mass%.
14. 半導体基板表面上に low— k膜を有し、該 low— k膜の表面上にレジストと反射 防止膜および Zまたは溝または穴の中に埋め込み材を有する被処理物を請求項 1に記 載の反射防止膜および埋め込み材の除去液を用いて処理することを特徴とする、 low - k 膜に実質的にダメージを与えることなく反射防止膜および Z又は埋め込み材をレジストと 同時あるいは別々に除去する、反射防止膜および/又は埋め込み材の除去方法。 14. An object to be processed having a low-k film on a surface of a semiconductor substrate, a resist and an antireflection film on the surface of the low-k film, and a filling material in Z or a groove or a hole. The anti-reflection film and Z or the burying material are treated simultaneously or separately with the resist without substantially damaging the low-k film, characterized by being treated using the above-mentioned anti-reflection film and the burying material removing solution. A method for removing an antireflection film and / or a filling material.
15. 反射防止膜および埋め込み材の除去液で処理する前に、レジスト、反射防止膜 及び/又は溝または穴の中の埋め込み材を、 low- k膜に実質的にダメージを与えない 程度にアツシング処理することを特徴とする請求項 14記載の方法。 15. Before treating with the anti-reflective coating and the filling material removal solution, ashes the resist, anti-reflective coating and / or filling material in the grooves or holes to such an extent that the low-k film is not substantially damaged. 15. The method according to claim 14, wherein the processing is performed.
16. low- k膜に実質的にダメージを与えなレ、ことが、実質的に low- k膜をエッチング しないこと及ぴ 又は処理前後の low- k膜の比誘電率が実質的に変化しないことである 請求項 14に記載の方法。  16. Substantially no damage to the low-k film means that the low-k film is not substantially etched and / or the relative dielectric constant of the low-k film before and after the treatment does not substantially change 15. The method of claim 14, wherein:
17. エッチング残渣および/またはプラズマプロセスによるダメージを受けた low- k膜と 反射防止膜おょぴノ又は埋め込み材とを同時に除去する請求項 14記載の方法。  17. The method according to claim 14, wherein the etching residue and / or the low-k film damaged by the plasma process and the anti-reflection film or the filling material are simultaneously removed.
18. プラズマプロセスによるダメージを受けた low- k膜を残して反射防止膜およひン又 は埋め込み材を除去する請求項 14に記載の方法。  18. The method of claim 14, wherein the anti-reflective coating and / or the burying material is removed leaving the low-k film damaged by the plasma process.
19. リソグラフィ一の一部プロセスのやり直し (リワーク)の際において反射防止膜及ぴ Z 又は埋め込み材を使用した場合に、レジストと同時あるいは別々にこれらを除去する請 求項 14に記載の方法。 19. The method according to claim 14, wherein, when an anti-reflection film and a Z or an embedding material are used at the time of reworking (reworking) a part of the lithography process, these are removed simultaneously or separately with the resist.
20. 不活性ガスを混合し、酸素分圧が空気の酸素分圧以下である雰囲気(実質的に不 活性ガス中)で除去処理をする請求項 14に記載の方法。 20. The method according to claim 14, wherein an inert gas is mixed, and the removal treatment is performed in an atmosphere (substantially in the inert gas) in which the oxygen partial pressure is equal to or lower than the oxygen partial pressure of air.
21. 請求項 20に記載の除去処理をする方法を施した除去処理物に対し、不活性ガス を混合し酸素分圧が空気の酸素分圧以下である雰囲気 (実質的に不活性ガス中)で、不 活性ガスを溶解させ、水中の酸素分圧を飽和溶解した空気の酸素分圧以下にした水を 用いて除去液を取り除くリンス処理をする方法。  21. An atmosphere in which an inert gas is mixed with the removed material subjected to the removing method according to claim 20 and the oxygen partial pressure is equal to or lower than the oxygen partial pressure of air (substantially in the inert gas). In this method, the inert gas is dissolved, and the rinsing treatment is performed to remove the removal liquid using water in which the oxygen partial pressure in the water is reduced to the oxygen partial pressure of the saturated dissolved air or less.
22. 請求項 14および請求項 17に記載の除去方法および請求項 21に記載のリンス処 理方法によって除去処理をすることにより得ることができる除去処理物。  22. A removal product obtained by performing the removal process by the removal method according to claim 14 or claim 17 and the rinsing method according to claim 21.
PCT/JP2004/008411 2003-06-10 2004-06-09 Remover liquid and removing method for antireflective film and buried material containing silicon WO2004112115A1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2003-165683 2003-06-10
JP2003165683A JP2006098421A (en) 2003-06-10 2003-06-10 Removing liquid and removing method for antireflection film and buried material containing silicon

Publications (1)

Publication Number Publication Date
WO2004112115A1 true WO2004112115A1 (en) 2004-12-23

Family

ID=33549225

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2004/008411 WO2004112115A1 (en) 2003-06-10 2004-06-09 Remover liquid and removing method for antireflective film and buried material containing silicon

Country Status (3)

Country Link
JP (1) JP2006098421A (en)
TW (1) TW200504202A (en)
WO (1) WO2004112115A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1538664A1 (en) * 2002-09-13 2005-06-08 Daikin Industries, Ltd. Etchant and etching method

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1680806A4 (en) * 2003-10-28 2008-07-30 Sachem Inc Cleaning solutions and etchants and methods for using same
DE102006030588A1 (en) * 2006-07-03 2008-01-10 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Liquid-jet-guided etching process for removing material from solids and its use
US20080125342A1 (en) * 2006-11-07 2008-05-29 Advanced Technology Materials, Inc. Formulations for cleaning memory device structures
JP5913869B2 (en) 2011-08-31 2016-04-27 林純薬工業株式会社 Etching solution composition and etching method
JP6063404B2 (en) * 2014-02-28 2017-01-18 富士フイルム株式会社 Etching solution, etching method using the same, and method for manufacturing semiconductor substrate product
JP2018128476A (en) * 2015-06-19 2018-08-16 富士フイルム株式会社 Pattern forming method and method for manufacturing electronic device
JP2021150644A (en) 2020-03-19 2021-09-27 東京応化工業株式会社 Semiconductor processing solution and method for processing substrate
US11807792B2 (en) * 2020-03-19 2023-11-07 Tokyo Ohka Kogyo Co., Ltd. Semiconductor processing liquid and method for processing substrate
JP7407324B1 (en) * 2023-06-15 2023-12-28 東京応化工業株式会社 Processing liquid for semiconductor devices, substrate processing method, and semiconductor device manufacturing method

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08104895A (en) * 1994-10-05 1996-04-23 Mitsubishi Chem Corp Rinsing liquid and rinsing method
JP2001152190A (en) * 1999-11-26 2001-06-05 Samsung Electronics Co Ltd Composition for removal of resist and method for removal of resist using the same
JP2002169305A (en) * 2000-12-04 2002-06-14 Dainippon Screen Mfg Co Ltd Polymer removing solution and apparatus for removing polymer

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08104895A (en) * 1994-10-05 1996-04-23 Mitsubishi Chem Corp Rinsing liquid and rinsing method
JP2001152190A (en) * 1999-11-26 2001-06-05 Samsung Electronics Co Ltd Composition for removal of resist and method for removal of resist using the same
JP2002169305A (en) * 2000-12-04 2002-06-14 Dainippon Screen Mfg Co Ltd Polymer removing solution and apparatus for removing polymer

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1538664A1 (en) * 2002-09-13 2005-06-08 Daikin Industries, Ltd. Etchant and etching method
EP1538664A4 (en) * 2002-09-13 2007-04-04 Daikin Ind Ltd Etchant and etching method

Also Published As

Publication number Publication date
JP2006098421A (en) 2006-04-13
TW200504202A (en) 2005-02-01

Similar Documents

Publication Publication Date Title
JP4434950B2 (en) Stripping solution
KR102266832B1 (en) TiN HARD MASK AND ETCH RESIDUE REMOVAL
US8440599B2 (en) Composition for stripping and cleaning and use thereof
KR101382935B1 (en) Solution for removal of residue after semiconductor dry processing, and residue removal method using the same
JP4499751B2 (en) Formulation for removing photoresist, etch residue and BARC and method comprising the same
JP2009515055A (en) Compositions and methods for recycling semiconductor wafers having low-K dielectric material thereon
JPWO2014087925A1 (en) Cleaning liquid for semiconductor device and cleaning method using the same
JPWO2005019499A1 (en) Removal liquid for metal-modified layer and method for removing metal-modified layer
CN110997643B (en) Cleaning composition
WO2004112115A1 (en) Remover liquid and removing method for antireflective film and buried material containing silicon
JP5278434B2 (en) Residue removing liquid after semiconductor dry process and residue removing method using the same
JP2006059831A (en) Etching liquid and method of etching
JP4758187B2 (en) Photoresist residue and polymer residue remover

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BW BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE EG ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NA NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SY TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): GM KE LS MW MZ NA SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
122 Ep: pct application non-entry in european phase