WO2004100237A1 - ステージ装置及び露光装置、並びにデバイス製造方法 - Google Patents

ステージ装置及び露光装置、並びにデバイス製造方法 Download PDF

Info

Publication number
WO2004100237A1
WO2004100237A1 PCT/JP2004/006594 JP2004006594W WO2004100237A1 WO 2004100237 A1 WO2004100237 A1 WO 2004100237A1 JP 2004006594 W JP2004006594 W JP 2004006594W WO 2004100237 A1 WO2004100237 A1 WO 2004100237A1
Authority
WO
WIPO (PCT)
Prior art keywords
stage
reticle
surface plate
pressurized gas
stage device
Prior art date
Application number
PCT/JP2004/006594
Other languages
English (en)
French (fr)
Inventor
Yuichi Shibazaki
Original Assignee
Nikon Corporation
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Nikon Corporation filed Critical Nikon Corporation
Priority to JP2005506056A priority Critical patent/JPWO2004100237A1/ja
Publication of WO2004100237A1 publication Critical patent/WO2004100237A1/ja

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70808Construction details, e.g. housing, load-lock, seals or windows for passing light in or out of apparatus
    • G03F7/70816Bearings
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16CSHAFTS; FLEXIBLE SHAFTS; ELEMENTS OR CRANKSHAFT MECHANISMS; ROTARY BODIES OTHER THAN GEARING ELEMENTS; BEARINGS
    • F16C29/00Bearings for parts moving only linearly
    • F16C29/02Sliding-contact bearings
    • F16C29/025Hydrostatic or aerostatic
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16CSHAFTS; FLEXIBLE SHAFTS; ELEMENTS OR CRANKSHAFT MECHANISMS; ROTARY BODIES OTHER THAN GEARING ELEMENTS; BEARINGS
    • F16C32/00Bearings not otherwise provided for
    • F16C32/06Bearings not otherwise provided for with moving member supported by a fluid cushion formed, at least to a large extent, otherwise than by movement of the shaft, e.g. hydrostatic air-cushion bearings
    • F16C32/0603Bearings not otherwise provided for with moving member supported by a fluid cushion formed, at least to a large extent, otherwise than by movement of the shaft, e.g. hydrostatic air-cushion bearings supported by a gas cushion, e.g. an air cushion
    • F16C32/0614Bearings not otherwise provided for with moving member supported by a fluid cushion formed, at least to a large extent, otherwise than by movement of the shaft, e.g. hydrostatic air-cushion bearings supported by a gas cushion, e.g. an air cushion the gas being supplied under pressure, e.g. aerostatic bearings

Definitions

  • the present invention relates to a stage apparatus, an exposure apparatus, and a device manufacturing method, and more particularly, to a stage apparatus including a stage that is driven along a surface plate in a long stroke at least in a predetermined uniaxial direction, and includes the stage apparatus.
  • the present invention relates to an exposure apparatus to be used, and a device manufacturing method using the exposure apparatus. Background art
  • reticle a mask or a reticle (hereinafter, collectively referred to as “reticle”) and a photosensitive object such as a wafer or a glass plate (hereinafter, referred to as “wafer”). ) And a reticle pattern are transferred onto a wafer via a projection optical system while synchronously moving along a predetermined scanning direction (scanning direction).
  • scanning step scanning
  • This scanning type exposure apparatus can expose a large field with a smaller projection optical system than a static exposure type apparatus such as a stepper.
  • a scanning exposure apparatus requires a driving device for driving the reticle on the reticle side in addition to the wafer side.
  • a driving device on the reticle side the lithographic apparatus is supported by floating on an air bearing or the like on a reticle surface plate.
  • a reticle stage device having a coarse / fine movement structure having a reticle fine movement stage which is finely driven by a Pois coil motor or the like in a scanning direction, a non-scanning direction, and a jogging direction has been used.
  • reticle stage device having such a configuration, wiring or piping used for a linear motor, a pois coil motor, or an air bearing is externally connected to the reticle coarse movement stage / reticle fine movement stage which is a moving body. Therefore, when these stages are driven, these wirings, pipes, and the like are dragged, and this causes a decrease in the reticle position controllability.
  • a type in which gas is supplied from the surface plate to the stage (a surface plate supply type static pressure gas bearing) is known (for example, Japanese Patent Application Laid-Open No. 2001-20951 (hereinafter referred to as “publicly known document 1J”).
  • the stage becomes inevitably heavy. This is likely to cause a decrease in the position controllability of the stage. Therefore, it is desirable that the stage be lightweight.
  • the pressure between the surface plate and the bottom surface of the moving body is increased by the pressure of the supply air (gas) supplied through an air supply hole formed in the surface plate. After the supplied air passes through the internal piping in the bottom of the moving body and blows out from the gas recovery groove formed in the bottom of the bottom of the moving body into the minute gap The air is exhausted through an exhaust hole formed in the surface plate.
  • the moving body (1) is very small in the fixed body (2) whose longitudinal direction is a predetermined uniaxial direction.
  • the mobile unit is in a state of being engaged with a
  • the fixed body (2) is a kind of fixed beam at both ends. As in the case where the concentrated load moves on the fixed beam at both ends, the fixed body (2) depends on the position of the moving body (1).
  • the deflection of (2) changes greatly. That is, when the moving body (1) is located at the center of the stroke (the center in the longitudinal direction of the fixed body (2)), the bending of the fixed body (2) is large, and the moving body (1) is located near both ends of the stroke. When positioned, the flexure of the fixed body (2) is small. This means that the bent shape (shape of the bending curve) of the fixed body (2) changes according to the position of the moving body (1).
  • the moving body (1) is guided by air pads to both the surface plate (3) and the fixed body (2). Therefore, the motion trajectory of the moving body (1) has an average shape of the guide surfaces of the surface plate (3) and the fixed body (2). This means that the bent shape of the fixed body (2) affects the trajectory of the moving body (1) with a contribution rate of 50%. In other words, it is difficult to give the mobile unit (1) high guidance accuracy with the configuration of the above-mentioned known document 1.
  • the fixed body (2) may be processed into a curved surface in advance.
  • the moving body (1) has an air supply hole throughout its stroke. Since it is covered, curved surface processing is required over the entire stroke, and it is technically difficult to realize.
  • the radius of the fixed body (2) for guiding the moving body (1) changes according to the position of the moving body, which means that the moving body (1) moves. It means that it vibrates up and down. Therefore, when the hydrostatic gas bearing described in the above-mentioned known document 1 is used, for example, for supporting a wafer stage (moving body) of an exposure apparatus, vibration generated on the wafer stage causes a surface of the wafer on the wafer stage to be exposed. However, an oscillating displacement phenomenon with respect to the image plane of the projection optical system of the exposure apparatus occurs.
  • the hydrostatic gas bearing disclosed in the above-mentioned known document 1 has problems such as guide accuracy, rigidity, space, and cost. It is difficult to apply.
  • an air supply duct and a surface throttle communicating with the air supply duct are provided on the bottom of the stage.
  • a re-groove is formed, a pressurized gas ejected from the surface plate is once received by the air supply duct, and is injected between the stage bottom surface and the surface plate from the re-squeeze groove.
  • the stage and the platen are used when gas is supplied from the platen to the air supply duct. It is indispensable to apply a vacuum preload or a magnetic preload to cancel the positive pressure generated in the gap between the magnetic field and the pressure. For example, when applying a magnetic preload force, it is necessary to attach a magnet to the stage using a metal platen as the surface plate, or attach a magnet to the surface plate using the metal platen stage. Even so, the stage becomes heavier than necessary.
  • the present invention has been made under such circumstances, and a first object of the present invention is to provide a stage device that enables the use of a small and lightweight stage and improves the position controllability of the stage. is there.
  • a second object of the present invention is to provide an exposure apparatus capable of realizing highly accurate exposure. Disclosure of the invention
  • a first and second jet ports for jetting a pressurized gas supplied from the outside upward and downward in the direction of gravity, respectively.
  • a first receiver formed on one surface of the surface plate facing the first jet port along a predetermined uniaxial direction and receiving the pressurized gas jetted from the first jet port;
  • a first air passage that guides the pressurized gas received by the first receiving portion to a position on the one side surface different from the first receiving portion; and a first air passage provided on the one side surface.
  • a bearing portion for ejecting the pressurized gas guided by the first ventilation path toward the surface plate; and a second portion for receiving the pressurized gas ejected from the second ejection port of the surface plate.
  • a driving device for driving the stage in at least the one axial direction.
  • pressurized gas supplied from the outside is jetted upward and downward in the gravitational direction from the first jet port and the second jet port provided on the surface plate, respectively.
  • Gas is received at a first receiving portion and a second receiving portion of a stage driven at least in the uniaxial direction by a driving device.
  • the pressurized gas received by the first receiving portion on the surface on one side of the stage (the lower surface in the direction of gravity, that is, the bottom surface) facing the first ejection port of the surface plate is subjected to the first ventilation.
  • the road it is guided to a position different from the first receiving part on the bottom of the stage, and is ejected from the bearing toward the surface plate.
  • the stage is floated above the surface plate by the static pressure of the pressurized gas ejected from the bearing.
  • the stage will be lifted upward by the pressure of the pressurized gas jetted from the first jet port of the surface plate to the first receiving portion on the bottom surface of the stage.
  • the pressure of the pressurized gas ejected from the second ejection port of the surface plate acts downward, so that the stage is positioned above the surface plate due to the balance between the two. It is possible to support the levitation without contact while maintaining the clearance.
  • the stage can be levitated and supported on the surface plate in a non-contact manner without connecting the pipe to the moving stage, and the stage position control accuracy (positioning accuracy) caused by the stage dragging the pipe ) Can be prevented.
  • the stage position control accuracy of the stage can be improved also in this regard.
  • the pressure of the pressurized gas ejected from the first ejection port of the surface plate to the first receiving portion of the stage is changed from the second ejection port of the surface plate to the second receiving portion of the stage.
  • No stage is required, because the pressure can be offset by the pressure of the jet gas It is possible to prevent the floating from rising, and to ensure good pneumatic hammer stability. As a result, the rigidity of the bearing can be increased.
  • the first ejection port and the second ejection port may be provided at positions corresponding to each other.
  • the pressure of the pressurized gas ejected from the first ejection port and the pressure of the pressurized gas ejected from the second ejection port become a couple. The risk of acting on the stage.
  • At least a part of the first ventilation path may be formed in the stage.
  • the bearing portion may be formed by processing a part of the stage, or the bearing portion may be formed by embedding the stage embedded in the bottom surface of the stage.
  • an atmosphere opening portion may be formed between the bearing portion and the first receiving portion.
  • direct gas movement between both the first receiving portion and the bearing portion is prevented, so that a decrease in rigidity of the bearing portion can be prevented.
  • a supply path for commonly supplying a pressurized gas supplied from the outside to the first and second ejection ports is formed inside the surface plate.
  • two supply paths are formed inside the surface plate to separately supply pressurized gas supplied from the outside to the first and second ejection ports. It can be said that.
  • the stage may further include a second ventilation path that guides the pressurized gas received by the second receiving section to at least one of the first ventilation path and the bearing section. it can.
  • an exhaust path for forcibly exhausting gas around the bearing portion to the outside may be formed inside the surface plate.
  • the stage has a mounting portion on which the object is mounted, and a suction hole for sucking the object is formed in the mounting portion, and the suction hole is provided around the bearing portion.
  • the communication state can be established.
  • a plurality of the first ejection ports are formed on an upper surface of the surface plate, and the stage receives the pressurized gas ejected from the plurality of first ejection ports.
  • the first receiving portion may be provided in such a shape or arrangement that the first receiving portion can be formed.
  • the surface plate may be either a ceramic surface plate or a stone surface plate having a surface sprayed with ceramic.
  • the driving device includes a plurality of motors, and all of the motors can be either a moving magnet type linear motor or a voice coil motor.
  • an exposure apparatus for synchronously moving a mask and a photosensitive object to transfer a pattern formed on the mask to the photosensitive object, wherein at least one of the mask and the photosensitive object is provided.
  • An exposure apparatus comprising the stage device of the present invention as one of the driving devices.
  • the stage device of the present invention is provided as a driving device for at least one of the mask and the photosensitive object. It is possible to improve the synchronization accuracy with the photosensitive object, and as a result, the position (or superposition) of the pattern formed on the mask and the photosensitive object can be transferred with high precision to the photosensitive object. Become. Further, in the lithographic process, by performing exposure using the exposure apparatus of the present invention, it is possible to form a pattern on a photosensitive object with high accuracy. It can be manufactured well. Therefore, from another viewpoint, the present invention can be said to be a device manufacturing method using the exposure apparatus of the present invention. BRIEF DESCRIPTION OF THE FIGURES
  • FIG. 1 is a view schematically showing a configuration of an exposure apparatus according to one embodiment of the present invention.
  • FIG. 2 is a perspective view showing the reticle stage device of FIG.
  • FIG. 3 is an exploded perspective view of the reticle stage device of FIG.
  • FIG. 4A is a perspective view of the reticle stage
  • FIG. 4B is a cross-sectional view of the reticle stage.
  • FIG. 5 is a YZ sectional view of the reticle stage device.
  • FIG. 6 is a cross-sectional view showing a configuration near a guide portion of the reticle surface plate and an angle member on the reticle stage main body side.
  • FIG. 7 is a sectional view taken along line AA of FIG.
  • FIG. 8 is an XZ sectional view of the reticle stage device.
  • FIG. 9 is a diagram for explaining the lower surface side of the frame-shaped member.
  • FIG. 10 is a diagram showing a modification. BEST MODE FOR CARRYING OUT THE INVENTION
  • FIG. 1 shows a schematic configuration of an exposure apparatus 10 according to one embodiment.
  • the exposure apparatus 10 is a step-and-scan type scanning exposure apparatus, that is, a so-called scanning stepper (also called a scanner).
  • a scanning stepper also called a scanner.
  • the projection optical system unit PL since the projection optical system unit PL is provided, Hereinafter, the optical axis A of the projection optical system constituting the projection optical system unit PL will be described.
  • the X direction is the z-axis direction.
  • the reticle R as the mask (and the object) and the wafer W as the photosensitive object are scanned relative to each other.
  • the direction orthogonal to the Z axis and the Y axis is described as the X axis direction.
  • the exposure apparatus 10 is a stage that drives the illumination unit IOP and the reticle R with a predetermined stroke in the Y-axis direction and minutely drives the X-axis direction, the Y-axis direction, and the 0-z direction (the rotation direction around the Z-axis).
  • a reticle stage device 12 as a device, a projection optical system unit P, a wafer stage WST for driving a wafer W in an XY two-dimensional direction in an XY plane, and a control system thereof are provided.
  • the illumination unit I includes a light source and an illumination optical system, and an energy beam is applied to a rectangular or arc-shaped illumination area defined by a field stop (also referred to as a mask king blade or a reticle blind) disposed therein.
  • the reticle R on which the circuit pattern is formed is illuminated with uniform illumination.
  • An illumination system similar to the illumination unit I is disclosed, for example, in Japanese Patent Application Laid-Open No. Hei 6-349701 and corresponding US Pat. Nos. 5,534,970 and the like. To the extent permitted by the national laws of the designated State or selected elected States in this International Application, the disclosures in the above-mentioned publications and corresponding US patents are incorporated herein by reference.
  • the illumination light I teeth and it shall be used A r F excimer laser beam (wavelength 1 9 3 nm) or F 2 laser beam (wavelength 1 5 7 nm) vacuum ultraviolet light such as is. It is also possible to use far ultraviolet light such as KrF excimer laser light (wavelength: 248 nm) or ultraviolet bright lines (g-line, i-line, etc.) from an ultra-high pressure mercury lamp as the illumination light I. It is possible.
  • the specific gas having a characteristic of absorbing less light in the vacuum ultraviolet region than air oxygen
  • the specific gas having a characteristic of absorbing less light in the vacuum ultraviolet region than air oxygen
  • the specific gas having a characteristic of absorbing less light in the vacuum ultraviolet region than air oxygen
  • the space on the optical path of the illumination light I inside the illumination unit IOP contains nitrogen and rare gases such as helium, argon, neon, and krypton, or a mixed gas thereof (hereinafter referred to as “low-absorbing gas” as appropriate).
  • the space on the optical path in the lighting unit IOP has a concentration of absorptive gas of several ppm or less.
  • the reticle stage device 12 includes an illumination system side plate (cap plate) having an annular mounting portion 101 connected to a periphery of a lower end portion of the illumination unit IOP via a sealing member 99 such as an O-ring. It is located below 14 in Figure 1.
  • the illumination system side plate 14 is supported substantially horizontally by a support member (not shown), and a substantially central portion thereof is formed with a rectangular opening 14a serving as an optical path (passage) for the illumination light I.
  • the reticle stage device 12 is a surface plate disposed substantially parallel to the illumination system side plate 14 at a predetermined interval below the illumination system side plate 14.
  • Reticle stage base plate hereinafter referred to as “reticle base plate”
  • reticle stage RST as a stage arranged between reticle base plate 16 and illumination system side plate 14
  • Reticle stage RS A frame-like member 18 placed between reticle surface plate 16 and illumination system side plate 14 in a state surrounding ⁇ ⁇ ⁇ ⁇ , reticle stage drive system as a drive device for driving reticle stage RST, etc. It has.
  • the reticle surface plate 16 is supported substantially horizontally by a support member (not shown).
  • the reticle surface plate 16 is made of ceramics. It is also possible to use, as reticle surface plate 16, a stone surface plate whose surface is sprayed with ceramics.
  • FIG. 3 which is an exploded perspective view of FIG. It is composed of a substantially plate-like member, and a convex portion 16a is formed at the approximate center thereof.
  • a rectangular opening 16b having the X-axis direction as a longitudinal direction is provided at the top and bottom of the reticle plate 16 in a plan view (as viewed from above) for passing the illumination light IL.
  • the rectangular opening 16b is provided on one side and the other side in the X-axis direction of the rectangular opening 16b with a guide section 16c 16d having an inverted L-shape in the XZ section with the longitudinal direction in the Y-axis direction. Each is set up.
  • These guide portions 16c and 16d are provided with their upper ends projecting outward, and the upper end surfaces are parallel to the upper surfaces of the convex portions 16a.
  • a projection optical system is provided via a sealing member 98 such as a V-ring or a telescopic bellows, while surrounding the rectangular opening 16 b.
  • a sealing member 98 such as a V-ring or a telescopic bellows
  • the upper end of the lens barrel of the system unit PL is connected.
  • the reticle stage RST includes a reticle stage main body 22 having a special shape as shown in FIG. 4A and various magnetic pole units (which will be described later) fixed to the reticle stage main body 22. .
  • the reticle stage main body 22 has a substantially rectangular plate-like portion 24 A in plan view (as viewed from above), a mirror portion 24 B provided at one X end of the plate-like portion 24 A, and a plate.
  • a pair of extending portions 24 C i, 24 C 2 , 24 D i, each protruding in the Y-axis direction from one end and the other end of the 2 4 D and 2 are provided.
  • the four extended portions 24 C i, 24 C 2 , 24 D i, 24 D 2 have a substantially plate-like shape, and Is provided with a reinforcing part with a triangular cross section to improve strength.
  • the reticle stage body 22 is made of a lightweight and high-rigidity material such as MMC (metal-based composite material: a composite of metal and ceramics (aluminum alloy or metallic silicon is used as a matrix material, and various ceramic reinforced materials are combined therewith). Material)).
  • MMC metal-based composite material: a composite of metal and ceramics (aluminum alloy or metallic silicon is used as a matrix material, and various ceramic reinforced materials are combined therewith). Material)).
  • MMC metal-based composite material: a composite of metal and ceramics (aluminum alloy or metallic silicon is used as a matrix material, and various ceramic reinforced materials are combined therewith). Material).
  • MMC metal-based composite material: a composite of metal and ceramics (aluminum alloy or metallic silicon is used as a matrix material, and various ceramic reinforced materials are combined therewith). Material)
  • a stepped opening 22a is formed in the center (inner bottom surface) of the plate at the center (inner bottom surface). 2
  • the reticle R is supported by the plurality of support members 34 in a state where the pattern surface (lower surface) of the reticle R substantially matches the neutral surface CT of the reticle stage main body 22 (reticle stage RST). It has become. In other words, the mounting surface of reticle R almost matches the neutral plane C T of reticle stage R ST (see FIG. 4B).
  • a plurality of (for example, three) reticle fixing mechanisms 37 are provided in a portion of the plate portion 24A near the reticle support member 34, corresponding to each of the reticle support members 34.
  • Each reticle fixing mechanism 37 has an L-shaped XZ cross section, and the reticle fixing mechanism 37 is rotatably attached to a plate-like portion 24 A around an axis parallel to the Y-axis direction provided at a corner of the L-shape.
  • Each has an attached fixing member.
  • the reticle R is mechanically fixed by holding the reticle R between the reticle R and the reticle support member 34.
  • a configuration may be adopted in which the fixing member is constantly urged in a direction of pressing the reticle R toward the support member 34 by an urging means (not shown).
  • the mirror portion 24B has a substantially prismatic shape with the Y-axis direction as a longitudinal direction, and a hollow portion having a circular cross section at the center thereof for reducing weight. CH is formed.
  • the one X-side end surface of the mirror portion 24B is a reflection surface that has been mirror-finished.
  • each of the concave portions 24 gl and 24 g 2 is provided with a retroreflector 3 2 ⁇ and 3 2 2 , respectively.
  • the bottom surface (the surface on the one Z side) of the reticle stage body 22 extends from the + Y end of the extension 24 C i to the one Y end of the extension 24 D i, as shown in FIG. 4B.
  • An angle plate-shaped member (hereinafter, referred to as an “angle member j”) 27 A having an L-shaped cross section and a longitudinal direction in the Y-axis direction is fixed.
  • the reticle stage main body 22 is fixed to the reticle stage main body 22 at a plurality of positions by screws 55.
  • the angle member It is fixed to the bottom of reticle stage main body 22 in the same manner as 27 A.
  • the angle members 27 A and 27 B have a guide portion 1 of the reticle surface plate 16, as can be seen from FIG. 5 which shows a cross section of the reticle stage device 12 near the center in a plane parallel to the XZ plane.
  • the reticle stage body 22 is fixed to the bottom surface of the reticle stage body 22 at a position and an orientation to be engaged with the upper protruding portions of each of 6c and 16d from the side and below via predetermined clearances, respectively. That is, the upper protruding portions of the guide portions 16c and 16d are arranged to be held by the angle members 27A and 27B and the reticle stage body 22 from both sides in the X-axis direction.
  • a first receiving portion formed of a concave groove having a predetermined depth is provided at a position facing the upper surface of the guide portion 16 c.
  • a concave portion 56a and a second DA portion 56b having a depth substantially equal to that of the first concave portion 56a are formed at a predetermined distance from the first concave portion 56a on the + X side. .
  • These first concave portion 56a and second concave portion 56b have Y both as shown in FIG. 7, which is a sectional view of the reticle stage body 22 taken along line A--A in FIG. It is a rectangular groove having a long axial direction.
  • the length of the first concave portion 56a and the second concave portion 56b in the Y-axis direction are almost the same, but the length (width) in the X-axis direction is the first concave portion 56a.
  • the second recess 56 b is larger than the second recess 56 b. That is, the second recess 56 b has a larger area than the first recess 56 a.
  • the reticle stage main body 22 has a vertical direction (the Z-axis direction) extending from the inner bottom surface (upper surface) of the first recess 56 a to the inner bottom surface of the stepped opening 22 a described above. )
  • the pores 5 8a are formed.
  • a plurality of the pores 58a are formed at predetermined intervals in the Y-axis direction (see FIG. 7).
  • each gas static pressure bearing 57 has a bearing surface (bottom surface) that is substantially flush with the bottom surface of the reticle stage main body 22, and the bearing surface has a surface as shown in FIG.
  • a groove 57 a with a depth of approximately j ′′ m is formed in the shape of a cross, which is formed by crossing different I (or H) at right angles at the center.
  • Groove 57 a of each gas hydrostatic bearing 57 A through-hole 57b is formed at the center of.
  • the reticle stage main body 22 has a vertical section from the inner bottom surface of the stepped opening 22a to the surface opposite to the bearing surface of each gas static pressure bearing 57.
  • the direction pores 58b are formed. Each pore 58b communicates with a through hole 57b of each gas static pressure bearing 57.
  • connectors 59A are attached to the positions where the respective holes 58a are formed on the inner bottom surface of the stepped opening 22a of the reticle stage main body 22 as shown in Fig. 6. ing. Similarly, a connector 59B is provided at the position where each pore 58b is formed on the inner bottom surface of the stepped opening 22 of the reticle stage body 22. Installed.
  • the connectors 59 A and 59 B arranged side by side in the X-axis direction are connected to each other by the tube 161. That is, in the present embodiment, as described above, the first air passage from the first concave portion 56a to the gas static pressure bearing 57 is formed by the fine holes 58a, the internal space of the tube 161, and the fine particles L58b.
  • the ventilation path is configured as follows. A plurality (three in FIG. 7) of ventilation paths are provided at predetermined intervals along the Y-axis direction, corresponding to each of the pores 58a and the gas static pressure bearing 57 shown in FIG.
  • the guide portion 16 c of the reticle surface plate 16 is formed of a separate member from the convex portion 16 a and the rest of the reticle surface plate 16, and the convex portion 16 It is fixed to the upper surface of a.
  • two circular holes 6 OA and 61 A having different depths are formed on the upper surface of the convex portion 16 a of the reticle surface plate 16, respectively. ing.
  • the shallower hole 6OA communicates with the + X side end of a through hole 60B formed in the + X direction from the one X side end of the projection 16a.
  • the deeper hole 61A is connected to the + X side end of a through hole 61B formed in the + X direction from the one X side end face of the projection 16a. I have.
  • circular holes 60C and 61C having substantially circular cross-sections having substantially the same depth are formed, respectively.
  • the hole 60C has the same diameter as the hole 6OA described above, and the two are concentrically communicated with each other.
  • the hole 60A and the hole 60C allow the inside of the convex portion 16a to be near the upper end surface of the guide portion 16c One round hole is formed.
  • the hole 61C has the same diameter as the above-mentioned hole 61A, and both are concentrically communicated with each other.
  • the hole 61A and the hole 61C allow the guide portion 1a to extend from the inside of the convex portion 16a.
  • One round hole is formed near the upper end face of 6c.
  • the + X side end of the through hole 60D formed through the + X direction from the one X side end face of the guide portion 16c communicates with the hole 60C.
  • the through hole 60 D The open end on the 1X side of is closed with a plug 19.
  • a fine hole 66a as a first ejection port extending from the upper end surface to the through hole 60D is formed to face the above-mentioned fine hole 58a. .
  • a minute hole 66b as a second ejection port is formed at a position facing the through hole 60D of the through hole 60D of the guide portion 16c.
  • a third concave portion 56c as a second receiving portion formed of an H groove having a predetermined depth extending in the Y-axis direction is formed in the above-described angle member 27A so as to face the small hole 66b. ing.
  • the third recess 56c has substantially the same width and the same depth as the first recess 56a described above.
  • a fine hole 66c communicating between the inside of the hole 61C and the outside of the upper surface of the guide 16c is formed above the hole 61C of the guide 16c.
  • One end of the air supply pipe 65A is connected via a connector 63A to one end on the X side of the aforementioned through hole 60B formed in the projection 16a of the reticle surface plate 16.
  • the other end of the air supply pipe 65A is connected to a gas supply device 67 shown in FIG.
  • a series of gas supply paths for introducing a low-absorbing gas such as helium gas to the pores 66a and 66b are formed.
  • this gas supply path is referred to as a gas supply path 60.
  • the pressurized gas guided to the pores 66 a and 66 b by the gas supply path 60 is applied to the first concave portion 56 on the RST side of the reticle stage RST facing the pores 66 a and 66 b respectively. a, is ejected toward the third recess 56c.
  • the pressurized gas ejected from the pores 66a is once received by the first recess 56a, and the pressurized gas is continuously ejected from the pores 66a, so that the pressurized gas is released from the first recess 5a.
  • the pressurized gas is supplied to a plurality of pores 58 a provided in the first recess 56 a. Is done.
  • the supplied pressurized gas is supplied to the bearing 5 through the tube 16 1 and the pores 58 b. It is ejected from 7 toward the upper surface of the guide 16 c of the surface plate 16.
  • the static pressure (pressure in the gap) of the pressurized gas ejected between the bottom surface of the reticle stage main body 2 2 and the upper surface of the guide portion 16 c reaches a certain pressure, the reticle stage RST is moved to the reticle stage. It will be levitated and supported on the surface plate 16.
  • the reticle stage RST when the reticle stage RST is levitated and supported by the static pressure of the pressurized gas ejected from the bearing portion 57, and thereafter, the reticle stage side from the pores 66b Since the pressurized gas continues to be ejected to the third concave portion 56c, the pressure of the pressurized gas continues to press the reticle stage downward. Therefore, the pressure (upward force) of the pressurized gas ejected into the first concave portion 56a and the force of the pore 66a are almost offset by the downward pressure, and the reticle stage RST rises more than necessary. None.
  • the self-weight of the reticle stage RST is supported by the above-mentioned pressure in the gap of the pressurized gas ejected from the bearing portion 57, and a predetermined clearance is provided between the reticle stage main body 22 and the upper surface of the guide portion 16c.
  • the reticle stage RST is supported in a non-contact and high rigidity while being maintained.
  • the reticle is formed from each of the pores 66a and 66b.
  • the pressure of the pressurized gas ejected toward the tage RST acts on the reticle stage RST as a couple, and in this respect, unnecessary bending moment is prevented from acting on the reticle stage RST. .
  • one end of the exhaust pipe 65B is connected via a connector 63B to one end on the X side of the through hole 61B formed in the projection 16a of the reticle surface plate 16.
  • the other end of the exhaust pipe 65B is connected to a vacuum pump 76 shown in FIG.
  • the vacuum pump 76 is connected to a gas recovery device (not shown).
  • the pores 66c, the holes 61C, 61A, and the through holes 61B define a space outside the upper surface of the guide portion 16c, that is, the guide portion 16c and the reticle.
  • Stay An exhaust path that guides gas in the gap between the main body 22 and the exhaust pipe 65B is formed.
  • the pressurized gas ejected from the bearing portion 57 toward the guide portion 16c generates the internal space of the second concave portion 56b around the bearing portion 57.
  • the above-described exhaust path pore 66c, hole 61C, 61A, and The gas is forcibly exhausted to the outside through the through hole 61B) and the exhaust pipe. Therefore, in the present embodiment, there is almost no possibility that the pressurized gas ejected from the bearing portion 57 leaks to the surroundings, and pressurized air or the like can be used as the pressurized gas.
  • the exhaust path pore 66c, hole 61C, 61A, and through-hole 61B
  • the reticle stage RST has A slight vacuum preload is also provided.
  • the guide portion 16 c of the reticle surface plate 16 and the respective constituent members near the angle member 27 A of the reticle stage RST are provided on the X side of the reticle stage RST.
  • the first support device is configured to support the reticle plate 16 with high rigidity in non-contact with the reticle surface plate 16.
  • a second supporting device for supporting the + X side portion of the reticle stage RST with high rigidity in a non-contact manner with respect to the reticle surface plate 16 is configured.
  • the components of the second support device are symmetrical to the components of the first support device.
  • the reticle stage RST is maintained in a state where the reticle stage main body 22 is kept at a distance of, for example, about several meters from the guide portions 16c and 16d by the first and second support devices described above. Are buoyantly supported without contact with the reticle surface plate 16.
  • a substantially annular concave groove 83, 85 is formed on the upper surface of the frame-shaped member 18. It is formed heavily.
  • the inner annular groove 83 has a plurality of air supply ports (not shown) formed therein, and the outer annular groove 85 has a plurality of exhaust ports (not shown) formed therein.
  • air supply groove 83 air supply groove 83
  • exhaust groove 85J exhaust groove 85J
  • An air supply port formed inside the air supply groove 83 is connected to a gas supply device (not shown) that supplies a low-absorbing gas such as nitrogen or a rare gas through a gas supply line and a gas supply tube (not shown). It is connected.
  • a gas supply device not shown
  • an exhaust port formed inside the exhaust groove 85 is connected to a vacuum pump (not shown) via an exhaust pipe and an exhaust pipe (not shown).
  • FIG. 9 which is a top view of the frame-shaped member 18 turned upside down, a substantially annular concave groove 82, 84 is doubled. It is formed.
  • the inner annular groove 82 has a plurality of air inlets (not shown) formed therein, and the outer annular groove 84 has a plurality of exhaust ports (not shown) formed therein.
  • the inner annular groove 82 will be referred to as “air supply groove 82” and the outer annular groove 84 will be referred to as “exhaust groove 84”.
  • An air supply port formed inside the air supply groove 82 is connected to a gas supply device (not shown) that supplies a low-absorbent gas such as nitrogen or a rare gas via an air supply pipe and an air supply pipe.
  • a gas supply device not shown
  • an exhaust port formed inside the exhaust groove 84 is connected to a vacuum pump (not shown) via an exhaust pipe and an exhaust pipe.
  • the air supply groove 82 formed on the bottom surface of the frame member 18 and the upper surface of the reticle platen 16 (the convex portion 16a A pressurized gas (low-absorbent gas) is sprayed onto the lower part (upper surface), and the static weight of the sprayed pressurized gas supports the weight of the frame-shaped member 18. It is levitated above the upper surface of the reticle plate 16 with a clearance of about several jum. Also in this case, the gas in the clearance is exhausted to the outside by the suction force of the vacuum pump through the exhaust groove 84. In this case, a gas flow is generated from the supply groove 82 to the exhaust groove 84.
  • the entire bottom surface of the frame member 18 substantially constitutes a differential exhaust gas static pressure bearing that floats and supports the frame member 18 above the upper surface of the reticle surface plate 16. ing.
  • the pressurized gas flows from the air supply groove 83 formed on the upper surface of the frame member 18 to the lower surface of the illumination system side plate 14. Gas) is sprayed, and the gas in the clearance between the illumination system side plate 14 and the frame member 18 is exhausted to the outside by the suction force of the vacuum pump through the exhaust groove 85.
  • a gas flow is generated from the supply groove 83 to the exhaust groove 85. Therefore, the outside air is effectively prevented from entering the inside of the frame member 18 through the clearance.
  • a clearance is maintained between the frame member 18 and the illumination system side plate 14 by the balance between the static pressure of the injected pressurized gas and the vacuum suction force. That is, the entire upper surface of the frame member 18 substantially constitutes a differential exhaust gas static pressure bearing that maintains the clearance between the frame member 18 and the illumination system side plate 14. I have.
  • the above-mentioned clearance (that is, the bearing gap) between the frame member 18 and the reticle surface plate 16 is the same as that of the frame member 18 at the top and bottom of the differential exhaust type aerostatic bearing. Actually, it is determined by the overall balance of the force exerted on the frame member 18 by the force and the weight of the entire frame member 18.
  • the clearance between the frame member 18 and the illumination system side plate 14 and the clearance between the reticle surface plate 16 and the frame member 18 are hermetically sealed by the gas flow described above. Further, as described above, since the upper end of the projection optical system unit PL and the reticle surface plate 16 are connected by the aforementioned sealing member 98 (see FIGS. 7 and 8), The space surrounded by the members 18 is a very airtight space. Hereinafter, the space surrounded by the frame-shaped member 18 will be referred to as “air” for convenience. It shall be called “dense space”.
  • the light path from the illumination unit ⁇ OP to the projection optical system unit PL is set to avoid the absorption of the exposure light by the absorbing gas such as oxygen. In other words, it is necessary to replace the (light path) in the hermetic space with nitrogen or a rare gas.
  • an air supply pipe and an exhaust pipe are respectively connected to the side wall of the frame-shaped member 18, a low-absorbent gas is supplied to the above-mentioned hermetic space via the air supply pipe, and the internal gas is exhausted to the outside via the exhaust pipe. It may be exhausted.
  • helium gas When helium gas is used as the gas supplied to the hermetic space, it is desirable to recover the helium gas through a gas exhaust mechanism, remove impurities, and then reuse the gas.
  • the reticle stage drive system is configured to include a pair of stator units 36 and 38 laid in the Y-axis direction inside a frame member 18, respectively.
  • the first drive mechanism that drives the stage RST in the Y-axis direction and minutely drives it in the 0 z direction (the rotation direction around the Z axis), and one X side of one of the stator units 38 inside the frame member 18
  • a second drive mechanism that minutely drives the reticle stage RST in the X-axis direction.
  • the stator unit 36 has a Y-axis linear guide (Y-axis stator) composed of a pair of armature units whose longitudinal direction is in the Y-axis direction. , 1 and 3 6 2, these Y-axis linear guide 1 3 6 iota, 1 3 6 2 of a pair of fixing members 1 5 2 for holding at one end and the other end in the longitudinal direction (Y-axis direction) Have.
  • the Y-axis linear guide 1 3 61, 1 36 2 is Z-axis direction (vertical direction) respectively held parallel to the or One XY plane opposite one another at predetermined intervals.
  • Each of the pair of fixing members 152 is fixed to the inner wall surface of the frame member 18 described above.
  • each of the Y-axis linear guides 136 ⁇ and 1362 has a frame made of a nonmagnetic material having a rectangular cross section (rectangle), and has a predetermined interval in the Y-axis direction inside thereof. , A plurality of armature coils are provided.
  • the stator unit 38 has the same configuration as the stator unit 36. That is, the stator unit 38 includes a pair of upper and lower armature units having a longitudinal direction in the Y-axis direction, a Y-axis linear guide (Y-axis stator) 1 38 ⁇ 1 382, and these Y-axis linear guides 1 38Iota, and a 1 38 2 a pair of fixing members 1 54 for securing at both ends of the respective longitudinally Z-axis direction in state shape with maintaining a predetermined interval. Each of the pair of fixing members 152 is fixed to the inner wall surface of the frame member 18 described above.
  • the Y-axis linear guide 1 38, 1 38 2, the aforementioned Y-axis linear guides 1 36Iota, is configured similarly to the 1 36 2 (see FIG. 5).
  • the upper surface of the reticle stage RS T, on the lower surface a pair of magnetic pole units 26Iota, 26 2 are embedded respectively
  • Y-axis linear guides 1 381, 1 38 2 opposite the upper surface of the reticle stage RS T, on the lower surface, a pair of magnetic poles Interview knit 28 ⁇ , 28 2 are embedded respectively.
  • the pole Yuni' Bok 26Iota 'respective 26 2 as shown in FIG. 4 beta, one X side of the stepped opening 22 a of the plate portion 24 Alpha of the aforementioned reticle stage main body 22, Les chicle stage body 22 It is arranged in the concave portions 24 ei and 24 e 2 formed on the upper and lower surfaces symmetrically with respect to the neutral plane CT.
  • the Y-axis linear guides 136 ⁇ and 1362 are located at substantially symmetric positions with respect to the neutral plane CT.
  • It said pair of magnetic poles Yunitto 26 ⁇ , 26 2 includes a magnetic member, and a plurality of field magnets arranged in along connexion predetermined distance in the Y-axis direction on the front surface of the magnetic member comprises respectively.
  • the plurality of field magnets have opposite polarities between adjacent field magnets. Accordingly, the space above the magnetic pole Yunitto 26i are formed alternating magnetic field along the Y-axis direction, in the space below the magnetic pole Yuni' Bok 26 2 are alternating magnetic field along the Y-axis direction formed.
  • each of the pair of magnetic pole units 2 282 has a reticle stage on the + X side of the stepped opening 22 a of the plate-shaped portion 24 A of the reticle stage main body 22 described above. They are arranged symmetrically with respect to the neutral plane CT of the main body 22 in the concave portions 24 fi and 24 f 2 formed on the upper and lower surfaces, respectively.
  • a pair of magnetic pole units 28i, 28 2 is the Z-axis passing through the center position in the X-axis direction of the stepped opening 22 a (substantially coincides with the X-axis direction position of the center of gravity of the reticle stage RS T), the magnetic pole unit 26 , and they are arranged in substantially symmetrical and 26 2.
  • the Y axis linear guides 1 38 ⁇ 1 38 2 is disposed substantially symmetrical positions relative to the neutral plane CT.
  • the pair of magnetic pole units 28 ⁇ , 28 2 includes a magnetic member, and a plurality of field magnets arranged at predetermined intervals along the Y-axis direction on the front surface of the magnetic member comprises respectively.
  • the plurality of field magnets have opposite polarities between adjacent field magnets. Accordingly, the space above the magnetic pole Yunitto 28i are formed alternating magnetic field along the Y-axis direction, in the space below the magnetic pole Yunitto 28 2 are alternating magnetic field along the Y-axis direction formed.
  • stator unit 36 described above, 38 (two pairs of Y axis Riniaga id 1 36 ⁇ , 1 36 2, 1 381, 1 38 includes a 2) and two pairs of magnetic poles Yunitto 26 26 2, 28 ⁇ 28 2 These form a first drive mechanism.
  • This first drive Flows According to mechanism, when a current to the armature coils of the Y-axis Riniagai de 1 36 1 36 within 2 is supplied, the magnetic pole unit 26Iota, the magnetic field and armature Interview knit 1 36i, 1 36 2 that occur 26 2 electromagnetic force in the Y-axis direction (the Lorentz force) is generated by the electromagnetic interaction between the current and the driving reaction force pole unit 26i of the Lorentz force, 26 2 (reticle stage RS T) in the Y-axis direction drive Help.
  • the Lorentz force the electromagnetic force in the Y-axis direction
  • Y of the reference neutral plane CT of the reticle stage RS T, magnetic pole Yuni' Bok 26 ⁇ and 26 2, pole Yunitto 28 and 28 2 are arranged symmetrically respectively, corresponding to these magnetic pole units axis Riniagai de 1 36Iota and 1 36 2, Y-axis Riniagai de 1 38 ⁇ 1 38 2 are also arranged symmetrically relative to the neutral plane CT.
  • Y-axis Riniagai de 1 36Iota by supplying 1 36 2, 1 381, 1 382 the same current to the armature each coil, the magnetic pole Yunitto 26 26 2> 28 ⁇ , 28 2 identical to each Driving force is applied, and the driving force in the Y-axis direction (the resultant of the driving force of the magnetic pole unit 26 26 2 and the magnetic pole unit) 281 and 282 driving force), thereby preventing the pitch and momentum from acting on the reticle stage RST as much as possible.
  • the magnetic pole Yunitto 26 ⁇ and 26 2, and pole Yuni' Bok 28 ⁇ and 28 2 with respect to the X-axis direction, because it is disposed almost symmetrically with respect to the center of gravity position near the reticle stage RS T, the reticle stage RS Since the above-described driving force in the Y-axis direction is applied to two points equidistant from the center of gravity of T, the same force is generated at the two points to drive the driving force in the Y-axis direction near the position of the center of gravity of reticle stage RST. Resultant force Can be operated. Therefore, the bowing moment does not act on the reticle stage RST as much as possible.
  • the pole unit 26 26 2 the linear guide 1 36] L, 1 36 2 and a pair of Y-axis linear motor that drives the reticle stage RS T in the Y-axis direction by the corresponding is is configured, the pole Yuni' Bok 28, 28 2, the corresponding Y-axis linear guides 1 38 ⁇ , 1 382 and Manzanillo pair of ⁇ over Bing magnet type for driving the re reticle stage RS T in the Y-axis direction Y-axis linear motors Is configured.
  • the stator unit 40 includes a pair of armature units 14 Oi and 140 2 having the Y-axis direction as a longitudinal direction, and these armature units 14 Oi and 140 2 is provided with a pair of fixing members 156 for holding the two at one end and the other end in the longitudinal direction (Y-axis direction).
  • the armature unit 1 4 OL 1 40 2 is, Z-axis direction (vertical direction) in parallel to respectively held and XY plane opposite one another at predetermined intervals Have been.
  • Each of the pair of fixing members 156 is fixed to the inner wall surface of the frame member 18 described above.
  • Armature units 1 40 2 as can be seen from Fig. 5, comprises a frame made of a nonmagnetic material in the XZ cross-section rectangle (rectangle), the inside, armature co I le is located.
  • an end of reticle stage RST in the X-axis direction is provided between armature units 1402 through a predetermined clearance, as shown in FIG.
  • a plate-shaped permanent magnet 30 having a rectangular cross section (rectangle) fixed to is disposed.
  • a magnetic pole unit composed of a magnetic member and a pair of flat plate-shaped permanent magnets fixed to the upper and lower surfaces thereof may be used.
  • the permanent magnet 3 0 and the armature unit 1 4 0 ⁇ 1 4 0 2 has a substantially symmetric shape and arranged relative to the neutral plane CT (Fig. 4 B and 5 see).
  • the same current is supplied to the armature coils constituting the armature units 14 C and 140 2 , respectively, so that the reticle stage RST is positioned on the neutral plane CT (see FIG. 4B).
  • a driving force in the X-axis direction can be applied, so that the rolling moment acts on the reticle stage RST as little as possible.
  • the armature units 14 C and 140 2 and the permanent magnets 30 constitute a moving magnet type voice coil motor capable of minutely driving the reticle stage RST in the X-axis direction.
  • this voice coil motor is also referred to as a voice coil motor 30 using the same reference numerals as those of the mover constituting the voice coil motor, that is, the permanent magnets.
  • the voice coil motor 30 constitutes a second drive mechanism.
  • the movable element 2 consisting of magnetic pole units 6 O i, 2 6 0 2, 2 6 0 3 is provided.
  • the reticle surface plate 1 6 correspond to those of the mover 2 6 O i, 2 6 0 2, 2 6 0 3, through the support stand 2 6 4 2 6 4 2 2 6 4 3, the armature New
  • the stator 26 2 26 consisting of knit 2 2, 2 6 2 3 is provided.
  • the mover 2 6 260 2 is provided with a permanent magnet therein, to form a magnetic field in the Z-axis Direction.
  • the stator 26 2i, 2 6 2 2 has an armature Koi Le therein, current through the magnetic field of the Z-axis direction are summer to flow in the Y-axis direction. Accordingly, the stator 2 6 2Iota, by the current of the Y-axis direction is supplied to the armature coils 2 6 2 2, the movable element 2 60Iota, driving force of the 260 2 to the X-axis direction (Lauren Tsu force Will act).
  • the mover 2 6 Oi and stator 2 6 Zi and Niyori trim motor for the X-axis Direction drive consisting of a voice coil motor of the moving magnetic Tsu Bok type is configured, the mover 2 60 2 and the stator 2 by 6 2 2, tri Mumota for X-axis direction drive consisting of a voice coil motor of the moving magnetic Tsu Bok type is configured.
  • the mover 2 60 the inside provided with permanent magnets, a magnetic field in the Z axis direction.
  • the stator 26 2 3 has an armature coil therein, a current through the magnetic field of the Z-axis direction are summer to flow in the X-axis direction. Therefore, when a current of Y-axis direction is supplied to the armature coil of the stator 2 6 2 3, the movable element 2 6 0 3 driving force in the X-axis direction (reaction force of the Lorentz force) acting It will be. That is, the mover 260 3 and the stator 2 6 2 3 Manzanillo Bok Rimumota for Y-axis direction drive consisting of a voice coil motor of re moving mug net type is configured.
  • a concave portion 18a is formed substantially at the center of the side wall on the 1X side of the frame-shaped member 18.
  • a rectangular opening 18b that connects the inside and the outside of the frame-shaped member 18 is formed in the recess 18a, and a window glass gl is fitted into the rectangular opening 18b.
  • the frame-shaped member 18 has a frame-shaped member 18 on one Y-side wall. Internal and rectangular opening 1 8 c communicating with the outside is formed, the opening 1 8 c, the window glass g 2 is fitted.
  • the X-axis is provided on the outside (—X side) of the window glass gi so as to face the reflecting surface of the mirror portion 24 B of the reticle stage RST.
  • a laser interferometer 69 X is provided. The measurement beam from the X-axis laser interferometer 69 X is projected through the window glass gl onto the reflecting surface of the mirror section 24 B, and the reflected light is transmitted through the window glass gi to the X-axis laser. Return to the interferometer 6 9 X. In this case, the position of the optical path of the measurement beam in the Z-axis direction coincides with the position of the neutral plane CT.
  • a fixed mirror Mrx is provided via a mounting member 92 near the upper end of the lens barrel of the projection optical system unit PL.
  • X-axis laser interferometer 6 9 The reference beam from X is projected through a through-hole (optical path) 7 1 formed in reticle surface plate 16 onto fixed mirror Mrx , and the reflected light is reflected by X-axis laser. Return to the interferometer 6 9 X.
  • the reflected light of the measurement beam and the reflected light of the reference beam are combined coaxially and in the same polarization direction by the internal optical system, and the interference light of both reflected lights is Light is received by the detector.
  • the X-axis laser interferometer 69 X determines the position of the reticle stage main body 22 in the X-axis direction with a fixed mirror M rx , And is always detected with a resolution of, for example, about 0.5 to 1 nm.
  • the reticle stage device 1 2 As can be seen from FIG. 8 is a YZ sectional view of the vicinity, the retroreflector previously described were found provided on the reticle stage main body 2 2 3 2 Y-axis laser interference facing the L 3 2 2 reflective surface A total of 69 Y is provided. In this case, a pair of ⁇ -axis laser interferometers 69 ⁇ is provided corresponding to the retroreflectors 32, 32 2 , respectively.
  • Measurement beams from the respective Upsilon-axis laser interferometer 6 9 Upsilon are respectively projected to the reflecting surface of the retroreflector 3 2.3 2 2 via the window glass g 2, each of the reflected light to the window glass g 2 Return to each Y-axis laser interferometer 69 Y via In this case, the position of the measurement beam irradiation point in the Z-axis direction almost coincides with the position of the neutral plane CT.
  • a fixed mirror Mry is provided via a mounting member 93 near the upper end of the lens barrel of the projection optical system unit PL.
  • the reference beam from each Y-axis laser interferometer 6 9 Y is projected through the through-hole (optical path) 72 formed in the reticle surface plate 16 onto the fixed mirror M ry , and each reflected light is Return to the inside of each Y-axis laser interferometer 6 9 Y.
  • Each of the Y-axis laser interferometers 69Y based on the interference light between the reflected light of the measurement beam and the reflected light of the reference beam, as in the case of the X-axis laser interferometer 69X, described above.
  • the projection position (retro reflecting motor 3 2 L 3 2 2 the reflective surface position) position of the Y-axis direction of the reticle stage main body 2 2 of the long beam eg a fixed mirror M ry based respectively from 0.5 to 1
  • the pair of Y-axis laser interferometers 69Y can detect the amount of rotation of the reticle stage RST around the Z-axis.
  • the mirror unit 2 4 B is arranged outside the Y-axis Riniamo over motor 1 3 6] L, 1 3 6 2. Therefore, since the measurement axis of X-axis laser interferometer 6 9 X is not you to pass over the Y axis linear motor 1 3 6 ⁇ 1 3 6 2 stator, Y-axis linear motor 1 3 6 Even if air fluctuations occur near the Y-axis linear motor 1 3 1 3 6 2 due to heat generated by the current flowing through the stator of ⁇ , 1 3 6 2, the X-axis laser interferometer 6 9 X measurement due to the air fluctuations Since there is no effect on the value, it is possible to detect the position of the reticle stage RST, and thus the reticle R in the X-axis direction, with high accuracy.
  • the position in the Z-axis direction of the optical path of the measuring beam of the X-ray interferometer 69 X matches the position of the neutral plane CT, and the mounting surface of the reticle R also matches the neutral plane CT. It is possible to accurately measure the axial position of the reticle stage RS (and thus the reticle R) (so-called Abbe error) without any so-called Abbe error. Thus, the position of the reticle stage RST, and thus the reticle R in the Y-axis direction can be accurately measured without so-called Abbe error.
  • the X-axis laser interferometer 69 X and the pair of Y-axis laser interferometers 69 Y are arranged outside the frame member 18, optical members such as prisms constituting each interferometer are provided. Even if a small amount of absorbent gas is generated from detectors and the like, this will not adversely affect exposure.
  • three mirrors ie, a mirror section 24 B and a retroreflector 3 2 ⁇ 3 2 2 , are provided as moving mirrors.
  • X and a pair of Y-axis laser interferometers 69 Y are typically shown in FIG. 1 as a reticle moving mirror M m and a reticle interferometer system 69.
  • the fixed mirrors fixed mirror Mrx and fixed mirror Mry ) are not shown.
  • the position information (or speed information) of the reticle stage RST from the reticle interferometer system 69 is sent to the stage control system 90 in FIG. 1 and the main controller 70 via the stage control system 90, and the stage control system 90.
  • the drive of reticle stage RST is controlled based on the position information (or speed information) of reticle stage RST.
  • the projection optical system unit PL includes a lens barrel and a projection optical system (refractive optical system) including a plurality of lens elements having a common optical axis in the Z-axis direction and held by the lens barrel. It is composed of As a projection optical system, for example, a two-sided telecentric reduction system is used.
  • This projection optical system unit PL Actually, the projection optical system unit PL is held by a holding member (not shown) via a flange portion FLG provided in a lens barrel of the projection optical unit PL.
  • the projection magnification of the projection optical system constituting this projection optical system unit PL is, for example, 1/4 or 1/5.
  • the image is reduced and projected onto the illuminated area (exposure area), and a reduced image (elevated image, etc.) of the circuit pattern is transferred and formed.
  • One end of an air supply pipe 50 and one end of an exhaust pipe 51 are connected to the lens barrel of the projection optical system unit PL.
  • the other end of the air supply conduit 50 is connected to a low-absorbency gas supply device (not shown), for example, a helium gas supply device.
  • the other end of the exhaust pipe 51 is connected to an external gas recovery device. Then, high-purity helium gas is flown from the helium gas supply device into the lens barrel of the projection optical system unit PL via the air supply line 50. In this case, the gas is recovered by the gas recovery device.
  • helium gas is used as the low-absorbing gas
  • the fluorite with a large thermal expansion coefficient is used as the lens material of the projection optical system unit PL in addition to the same reason as described above. This is because it is desirable to use a low-absorbing gas with a large cooling effect in consideration of the fact that the temperature rise caused by absorbing the illumination light IL degrades the imaging characteristics of the lens.
  • the wafer stage WST is arranged in a wafer chamber 80.
  • the wafer chamber 80 is formed of a box-shaped (hollow rectangular parallelepiped) partition wall 71 having a circular opening 71a formed substantially at the center of the ceiling.
  • the partition wall 71 is made of a material with low degassing such as stainless steel (SUS).
  • SUS stainless steel
  • the lower end of the lens barrel of the projection optical unit PL is inserted into an opening 71a of the ceiling of the partition 71.
  • the periphery of the opening wall 1a of the ceiling wall of the bulkhead 7 1 and the flange FLG of the projection optical unit PL Are connected by a flexible bellows 97 without any gap. In this way, the gas inside the wafer chamber 80 is isolated from the outside.
  • a stage base BS is supported substantially horizontally via a plurality of vibration isolating units 86.
  • These vibration isolation units 86 insulate the micro vibration (dark vibration) transmitted from the floor F to the stage base BS at, for example, a micro G level.
  • a so-called active vibration isolator that actively damps the stage base BS based on the output of a vibration sensor such as a semiconductor accelerometer attached to a part of the stage base BS is used as the vibration isolation unit 86. It is also possible.
  • the wafer stage WST holds the wafer W by vacuum suction or the like via a wafer holder 25, and moves along the upper surface of the base BS two-dimensionally along a top surface of the base BS by a wafer drive system (not shown) including, for example, a linear motor. It can be driven freely in any direction.
  • a wafer drive system including, for example, a linear motor. It can be driven freely in any direction.
  • the optical path from the projection optical system unit PL to the wafer W is also required to avoid absorption of the exposure light by an absorbing gas such as oxygen. Or a rare gas.
  • one end of an air supply pipe 41 and one end of an exhaust pipe 43 are connected to the partition wall 71 of the wafer chamber 80, respectively.
  • the other end of the air supply pipe 41 is connected to a low-absorbency gas supply device (not shown), for example, a helium gas supply device.
  • the other end of the exhaust pipe 43 is connected to an external gas recovery device. Helium gas is constantly flowing into the wafer chamber 80 in the same manner as described above.
  • Similar c and this light transmission window 8 5 is provided on one Y side wall of the partition wall 71 of the wafer chamber 8 0, although it is omitted in the drawings, the partition walls 71 on the + X side (FIG. 1 A light transmission window is also provided on the side wall (on the front side of the drawing).
  • These light-transmitting windows are formed by a light-transmitting member that closes a window (opening) formed in the partition wall 71. It is configured by attaching general optical glass.
  • a metal seal such as indium or copper, or a fluororesin is used for the mounting part to prevent gas leakage from the part where the light transmitting member constituting the light transmitting window 85 is attached. (Sealing) is applied. It is preferable to use a fluororesin which has been heated at 80 ° C. for 2 hours and degassed.
  • a Y moving mirror 255 Y composed of a plane mirror is extended in the X-axis direction.
  • a length measuring beam from a Y-axis laser interferometer 255 Y arranged almost perpendicular to the Y moving mirror 250 Y outside the wafer chamber 80 is projected through a light transmission window 85 and reflected by the beam.
  • Light is received by the Y-axis laser interferometer 2 57 through the light transmission window 85 by the detector inside the Y-axis, and the Y-axis laser interferometer 2 57 7 Y-moving mirror 2 5 based on the position of the reference mirror inside Y 6
  • the Y position that is, the Y position of the wafer W is detected.
  • an X moving mirror composed of a plane mirror is extended in the Y-axis direction. Then, the position of the X movable mirror, that is, the X position of the wafer W is detected by the X axis laser interferometer through the X movable mirror in the same manner as described above.
  • the detection values (measured values) of the above two laser interferometers are supplied to the stage control system 90 and the main controller 70 via the stage control system 90. Based on this, the position of the wafer stage WST is controlled via a wafer drive system while monitoring the detection values of the two laser interferometers.
  • the laser interferometer that is, the optical member such as the laser light source and the prism and the detector are arranged outside the wafer chamber 80, a small amount of light is absorbed from the detector and the like. Even if a volatile gas is generated, this does not adversely affect exposure.
  • the other end of the air supply line 50 and the other end of the exhaust line 51 connected to the lens barrel of the projection optical unit PL are connected to a helium gas supply device (not shown). Subsequently, high-purity helium gas is constantly supplied from the helium gas supply device via the air supply line 50 into the lens barrel of the projection optical system unit PL, and the gas inside the lens barrel is discharged via the exhaust line 51. Then, the helium gas supply device may be returned to the helium gas supply device, and the helium gas may be circulated and used in this manner. In this case, it is desirable to incorporate a gas purification device in the helium gas supply device.
  • the operation of the projection optical system unit can be performed even if the helium gas is circulated for a long time by the circulation path including the helium gas supply device and the inside of the projection optical system unit PL due to the action of the gas purification device.
  • the concentration of absorbent gas (oxygen, water vapor, organic matter, etc.) other than helium gas in PL can be maintained at a concentration of several ppm or less.
  • a sensor such as a pressure sensor or an absorptive gas concentration sensor is provided in the projection optical system unit PL, and is built in the helium gas supply device via a control device (not shown) based on the measured value of the sensor. It is also possible to appropriately control the operation and stop of the pump that has been performed.
  • a helium gas circulation path similar to the above may be employed in the wafer chamber 80.
  • the reticle alignment system and the reference on the wafer stage WST are controlled under the control of the main controller 70.
  • Reticle alignment, baseline measurement (measurement of the distance from the detection center of the alignment detection system to the optical axis of the projection optical system unit PL) using a mark plate, ofaxis detection system (all not shown), etc. Is performed according to a predetermined procedure.
  • the main controller 70 executes wafer alignment measurement such as EGA (enhanced global alignment) using an alignment detection system (not shown). After the completion of the wafer alignment measurement, an exposure operation of a step-and-scan method is performed. Since this exposure operation is the same as that of a normal scanning stepper (scanner), detailed description is omitted, but the wafer control is performed by the stage control system 90 based on the instruction of the main controller 70 during scanning exposure.
  • the follow control of reticle stage RST is performed on WST, the reaction force caused by the movement of reticle stage RS # is canceled by the movement of frame member 18.
  • this point will be described.
  • the mover of the voice coil motor 30 is driven in the X-axis direction integrally with the reticle stage RS ⁇ .
  • the reaction force of the driving force is the stator (armature Yuni' 1 40 1; 1 0 2) of the voice coil motor 30 and thus acting on and the frame member 1 8 to the stator is fixed.
  • the frame member 18 is kept out of contact with the reticle surface plate 16 and the illumination system side plate 14 via a predetermined clearance. 18 moves in the direction according to the reaction force by the distance according to the law of conservation of momentum. The reaction force is absorbed by the movement of the frame member 18.
  • the above-mentioned jogging moment due to the reaction force of the driving force in the X-axis direction may act on frame member 18.
  • the frame-shaped member 18 makes a free motion with a 0z rotation so as to absorb the reaction force in accordance with the law of conservation of momentum by the action of the jowing moment and the reaction force in the X-axis direction.
  • each of the movers of the Y-axis linear motors 1 136 1 362, 1 328 and 138 2 There is driven in the Y-axis direction integrally with the reticle stage RS T, the resultant force is the Y-axis linear motor 1 36 1 36 2 of the reaction force of the driving forces of the mover, 1 38 ⁇ , 1 38 2 of the stator and these Acts on the fixed frame member 18.
  • the frame-shaped member 18 moves in a direction corresponding to the resultant force of the reaction force by a distance for absorbing the resultant force of the reaction force according to the law of conservation of momentum.
  • Y-axis linear motor 1 36 ⁇ , and 1 362, Y-axis linear motor 1 38 ⁇ , 1 38 2 and the driving force for generating a different allowed by the reticle stage RS T a (thrust) 0 Twisted rotation the bowing moment may act on the frame member 18 at that time, but even in such a case, the frame member 18 is also subjected to the joking moment and the reaction force in the Y-axis direction. By the action of, it makes free motion with 0 z rotation to absorb the reaction force according to the law of conservation of momentum.
  • the main control device 70 is connected to the stage control system 90 via the stage control system 90 at an appropriate time so as not to affect exposure, for example, so as not to cause a situation such as mixing in the airtight space inside the member 18).
  • the frame member 18 is returned to a predetermined reference position by using the three trim motors.
  • the pressurized gas supplied from the gas supply device 67 in FIG. Upward in the direction of gravity from the pores 66a, 66b formed in the reticle platen 16 via the aforementioned supply paths 60 (60B, 6OA, 60C, 60D) in 6. , Which are jetted downward in the direction of gravity, and these pressurized gases are supplied to the first recess 56 a of the reticle stage RST driven by the reticle stage drive system. They are received in the third concave portions 56c respectively.
  • the pressurized gas received in the first concave portion 56 a on the bottom surface of the reticle stage RST facing the pores 66 a of the reticle platen 16 is formed by the pores 58 a, the tube 16 1, and the pores
  • the reticle stage RST is guided to a position different from the first concave portion 56 a on the bottom surface of the reticle stage RST sequentially through 58 b, and is ejected from the bearing portion 57 toward the reticle surface plate 16.
  • the reticle stage RST is levitated above the reticle surface plate 16 by the static pressure of the pressurized gas ejected from the bearing portion 57.
  • a small reticle stage RST made of a lightweight and high-rigidity material is used.
  • the small reticle stage 16 is formed from the pores 66 a of the reticle surface plate 16 through the bottom of the reticle stage RST.
  • the RST of the reticle stage RST is not lifted up by the pressure (upward force) of the pressurized gas jetted to the recess 56a. This is because the pressure of the pressurized gas ejected from the fine holes 66 b of the platen is applied to the third concave portions 56 c formed in the angle members 27 A and 27 B fixed to the reticle stage RST.
  • the reticle stage RST is levitated and supported in a non-contact manner while maintaining the clearance above the reticle surface plate 16 by the static pressure of the pressurized gas ejected from the bearing portion 57 to the reticle surface plate 16. It is possible.
  • the reticle stage RST can be levitated and supported on the reticle surface plate 16 in a non-contact manner without connecting a pipe to the reticle stage RST which is a moving body. Due to dragging In addition, it is possible to prevent a decrease in the position control accuracy (including the positioning accuracy) of the reticle stage RST. In this case, since a small and light reticle stage RST can be used, the position controllability of reticle stage RST can be improved also in this regard.
  • an air release portion 39 is provided between the first concave portion 56a and the second concave portion 56b formed on the bottom surface of the reticle stage RST.
  • the reticle stage RST since the reticle stage RST does not move while dragging the pipe, and during the exposure in which the reticle stage RST performs a constant velocity movement, almost no thrust is required to maintain the constant velocity movement, It also has the advantage that it is not affected by thrust ripples and other factors of the linear motor.
  • the position controllability of the reticle stage RST can be extremely excellent, and as a result, the reticle stage RST at the time of scanning exposure (at the time of synchronous movement) can be obtained. Synchronization accuracy with the wafer stage WST can be improved, and as a result, the pattern formed on the reticle R can be superimposed on each shot area on the wafer W. Accurate transfer becomes possible.
  • the communication between the first recess 56a and the second recess 56b is performed.
  • the air passage a configuration in which a ventilation pipe formed in the reticle stage main body 22 and a tube 16 1 provided outside the reticle stage main body 22 are combined is adopted.
  • the present invention is not limited to this, and all of the ventilation paths may be formed as ventilation pipes formed inside the reticle stage main body 22.
  • the tube may communicate with the concave portions 56b.
  • the configuration is not limited as long as the first recess 56a and the second recess 56b communicate with each other.
  • the bearing portion 57 is formed of a separate member and embedded in the reticle stage bottom surface in the second concave portion 56 b has been described, but the present invention is not limited to this.
  • the bearing portion 57 may be formed integrally with the bottom surface of the reticle stage main body 22.
  • the pressurized gas supplied to the third concave portion 56c may be used for floating the reticle stage main body 22. That is, for example, as shown in FIG. 10, a ventilation conduit 158 for guiding the pressurized gas supplied to the third concave portion 56 c to the bearing portion 57 is formed.
  • the pressurized gas blown out to the portion 56c can be blown out from the bearing portion 57.
  • the pressurized gas can be wasted and used, and the pressurized gas does not leak to the vicinity of the reticle, so that the efficiency is high.
  • the angle members 27A and 27B and the third recess 56c need not be provided. Is also good.
  • the guide portions 16c and 16d may have a plurality of fine holes 66a as the first ejection ports formed along the Y-axis direction.
  • a plurality of pores 66b as the outlet of the nozzle may be formed along the Y-axis direction. In this case, it is necessary to determine the position of the pores and the length of the recesses in the Y-axis direction such that the pores 6 6 a and 66 b always face the first recess 56 a and the third recess 56 c. But is there.
  • the pressurized gas is supplied to the first concave portion 56a and the third concave portion 56c from one supply path.
  • pressurized gas may be supplied to each recess separately (two systems).
  • the vacuum suction force of the vacuum pump can be used for holding the reticle. That is, as shown in FIG. 10, a recess 34 a formed on the upper surface of a reticle holder 34 provided at the step of the stepped opening 22 a of the reticle stage main body 22, (2) A through-path (160) communicating with the recess (56b) is formed, and the vacuum suction force of the vacuum pump is applied to the upper surface of the reticle holder (34) to assist the reticle holding force. be able to. As a result, the fixing force of the reticle fixing mechanism 37 can be set low, so that the deformation of the reticle caused by pinching between the reticle fixing mechanism 37 and the reticle holder 34 can be reduced. Can be suppressed.
  • the reticle stage R ST is formed by integral molding, but the present invention is not limited to this, and each part may be formed separately. Also, the shape of the reticle stage is not limited to the shape of the reticle stage of the above embodiment, and various shapes can be adopted.
  • the stage device according to the present invention is a mask stage device of a proxy-type aligner that transfers a mask pattern onto a substrate by bringing a mask into close contact with a substrate without using a projection optical system, and a batch transfer for liquid crystal.
  • the present invention can be suitably applied to a mask stage device or a plate stage device, such as a scanning type exposure apparatus.
  • stage apparatus can be applied to an electron beam exposure apparatus of the EBPS system and an exposure apparatus such as a so-called EUVL which uses light in a soft X-ray region having a wavelength of about 5 to 30 nm as exposure light.
  • any device that can drive a moving body on which an object (sample) is mounted in a predetermined first axis direction and requires minute driving in a second axis direction and a rotation direction orthogonal to the first axis direction.
  • the stage apparatus according to the present invention can be suitably applied to not only the exposure apparatus but also other precision machines.
  • the illumination light IL A r F excimer laser beam (wavelength 1 9 3 nm) or F 2 laser beam (wavelength 1 5 7 nm) vacuum ultraviolet light such as, K r F excimer laser far ultraviolet light such as light (wavelength 2 4 8 nm), emission lines in the ultraviolet region from an ultra high pressure mercury lamp (g-rays, I line, etc.) have been based on using, not limited thereto, a r 2 laser beam
  • Other vacuum ultraviolet light such as (wavelength 126 nm) may be used.
  • vacuum ultraviolet light is not limited to the above laser light
  • a single-wavelength laser light in the infrared or visible range oscillated from a DFB semiconductor laser or a fiber laser may be, for example, erbium (Er) (or erbium).
  • ytterbium both Y b
  • a harmonic converted to a wavelength of ultraviolet light using a nonlinear optical crystal may be used.
  • the illumination light IL not only ultraviolet light but also X-rays (including EUV light) or charged particle beams such as electron beams and ion beams may be used.
  • the projection optical system may be either a unity magnification system or an enlargement system.
  • the projection optical system for example, an Ar 2 laser
  • vacuum ultraviolet light for example, as disclosed in Japanese Patent Application Laid-Open No. 3-28257 / 1995 and the corresponding US Pat. No. 5,220,454 / etc.
  • a so-called catadioptric system (catadioptric system) combining a refractive optical element and a reflective optical element (concave mirror, beam splitter, etc.), or a reflective optical system composed of only a reflective optical element is mainly used.
  • the present invention is not limited to this, and a device pattern used for manufacturing a display including a liquid crystal display element or the like may be square.
  • Exposure equipment that transfers onto a glass plate Exposure equipment that transfers device patterns used in the manufacture of thin-film magnetic heads onto a ceramic wafer, and imaging devices (such as CCD), micromachines, organic EL, DNA chips, etc.
  • the present invention can be widely applied to an exposure apparatus and the like used for manufacturing.
  • micro devices such as semiconductor devices, glass substrates or silicon wafers are used to manufacture reticles or masks used in light exposure equipment, EUV exposure equipment, X-ray exposure equipment, electron beam exposure equipment, etc.
  • the present invention can also be applied to an exposure apparatus that transfers a circuit pattern onto a device such as c.
  • a transmissive reticle is generally used in an exposure apparatus that uses DUV (far ultraviolet) light or VUV (vacuum ultraviolet) light, and the reticle substrate is quartz glass, fluorine-doped quartz glass, or fluorescent glass. Stone, magnesium fluoride, or quartz is used.
  • a transmission type mask stencil mask, membrane mask
  • a silicon wafer is used as a mask substrate.
  • the present invention may be applied to an immersion exposure apparatus disclosed in, for example, International Publication WO99 / 49504, in which a liquid is filled between a projection optical system unit PL and a wafer.
  • the immersion type exposure apparatus may be a scanning exposure type using a catadioptric projection optical system, or a static exposure type using a projection optical system with a projection magnification of 1Z8.
  • a large pattern is formed on the substrate Therefore, it is preferable to adopt a step-and-switch method.
  • the present invention may be applied to an exposure apparatus having two independently movable wafer stages, as disclosed in U.S. Patent Nos. 6,262,796.
  • the illumination optical system and projection optical system composed of multiple lenses are incorporated into the exposure apparatus main body to perform optical adjustment, and a reticle stage consisting of many mechanical parts and a wafer stage are attached to the exposure apparatus main body for wiring and wiring.
  • the exposure apparatus of the above embodiment can be manufactured by connecting pipes and performing overall adjustment (electrical adjustment, operation check, etc.). It is desirable to manufacture the exposure equipment in a clean room where the temperature and cleanliness are controlled.
  • a step of performing a function design of a device a step of manufacturing a reticle based on the design step, a step of manufacturing a wafer from a silicon material, and a method of using the exposure apparatus of the above-described embodiment are performed. It is manufactured through the steps of transferring the reticle pattern onto the wafer, device assembling steps (including dicing, bonding, and packaging), and inspection steps.
  • the stage device of the present invention is suitable for driving a stage in a predetermined direction.
  • the exposure apparatus of the present invention is suitable for transferring a pattern formed on a mask onto a photosensitive object via a projection optical system.
  • the device manufacturing method of the present invention is suitable for manufacturing micro devices.

Landscapes

  • Engineering & Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Environmental & Geological Engineering (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Magnetic Bearings And Hydrostatic Bearings (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

 外部から供給される加圧気体が定盤(16)にそれぞれ設けられた細孔(66a,66b)から上下方向にそれぞれ噴出され、これらの加圧気体が、ステージ(RST)の第1、第3凹部(56a,56c)でそれぞれ受けられる。そして、第1凹部で受けられた加圧気体は、第1通気路(58a,161,58b)を介してステージ底面の第1凹部とは異なる位置に導かれるとともに、軸受部(57)から定盤に向けて噴出され、この加圧気体の静圧によりステージが定盤の上方で浮上支持される。このとき、第3凹部に作用する下向きの圧力と、第1凹部に作用する上向きの圧力とのバランスにより、ステージを定盤の上方に所定のクリアランスを維持した状態で支持することができる。従って、小型軽量のステージに配管を接続することなく、定盤上で高精度な非接触駆動を行うことができる。

Description

明 細 書
ステージ装置及び露光装置、 並びにデバイス製造方法 技術分野
本発明は、 ステージ装置及び露光装置、 並びにデバイス製造方法に係り、 更 に詳しくは、 定盤に沿って少なくとも所定の一軸方向に長ストロークで駆動さ れるステージを備えるステージ装置及び該ステージ装置を具備する露光装置、 並びに該露光装置を用いるデバイス製造方法に関する。 背景技術
近年、半導体素子、液晶表示素子等を製造するリソグラフイエ程においては、 マスク又はレチクル (以下、 「レチクル」 と総称する) とウェハ又はガラスプレ 一卜等の感光物体 (以下、 「ウェハ」 と総称する) とを所定の走査方向 (スキヤ ン方向) に沿って同期移動しつつ、 レチクルのパターンを投影光学系を介して ウェハ上に転写する、 ステップ■アンド 'スキャン方式の走査型露光装置 (い わゆるスキャニング■ステツパ) などが比較的多く用いられるようになつてき た。 この走査型露光装置は、 ステツパなどの静止露光型の装置に比べると、 大 フィールドをより小さな投影光学系で露光できる。 そのため、 投影光学系の製 造が容易であるとともに、 大フィールド露光によるショット数の減少により高 スループッ卜が期待でき、 投影光学系に対してレチクル及びウェハを相対走査 することで平均化効果があリ、 ディストーションゃ焦点深度の向上が期待でき る等のメリッ卜がある。
しかるに、 走査型露光装置では、 ウェハ側に加え、 レチクル側にも、 レチク ルを駆動する駆動装置が必要である。 従来の走査型露光装置では、 レチクル側 の駆動装置として、 レチクル定盤上にエアベアリング等により浮上支持され、 走査方向に直交する非走査方向 (非スキャン方向) の両側に配置された 1対の リニアモータによって、 走査方向に所定ス卜ローク範囲で駆動されるレチクル 粗動ステージと、 該レチクル粗動ステージに対して、 スキャン方向 非スキヤ ン方向及びョーィング方向にポイスコイルモータ等によって微少駆動されるレ チクル微動ステージとを有する粗微動構造のレチクルステージ装置が用いられ ていた。
このような構成のレチクルステージ装置では、 移動体であるレチクル粗動ス テージゃレチクル微動ステージに、 リニアモータゃポイスコイルモータあるい はエアべァリング等に用いられる配線又は配管等が外部から接続されているた め、 これらのステージが駆動される際に、 これら配線や配管等を引きずること となり、 これがレチクルの位置制御性を低下させる要因となっていた。
かかる不都合を改善するため、 最近になって、 走査方向への長ストローク駆 動と、 非走査方向への微小駆動が可能な単一のステージを採用し、 かつレチク ルステージ側に磁極ュニッ 卜を配するムービングマグネッ卜型のリニアモータ を採用したレチクルステージ装置の開発が試みられている。 このような構成の レチクルステージ装置によれば、 少なくとも配線の引きずリに起因するレチク ルステージの位置制御性の低下を抑制することが可能である。
この場合、 配管を引きずることに起因するレチクルステージの位置制御性の 低下を抑制するため、 レチクルステージ側への配管を無くすことが好ましい。 かかるレチクルステージ側への配管を不要とするために好適な技術として、 定 盤からステージに対してガスを供給するタイプ (定盤給気タイプの静圧気体軸 受) が知られている (例えば、 特開 2 0 0 1— 2 0 9 5 1号公報 (以下、 「公知 文献 1 J と呼ぶ) 参照)。
上述の如く、 ム一ビングマグネット型のリニアモータを採用すると、 ステ一 ジが必然的に重くなる。このことは、ステージの位置制御性の低下を招き易い。 従って、 ステージは軽量である方が望ましい。 この一方、 上記公知文献 1に記載の静圧気体軸受では、 定盤に形成された給 気穴を介して供給される給気 (気体) による圧力により、 定盤と移動体の底面 との間に微小間隔を形成し、 さらに供給された給気が移動体の底盤内の内部配 管を通り、 移動体の底盤の底面に形成された気体回収溝から前記微小隙間に吹 き出された後、 定盤に形成された排気穴を介して排気される。 従って、 この公 知文献 1に記載の静圧気体軸受を、 軽量のステージにそのまま適用すると、 定 盤から底盤の底面に供給される気体の圧力と、 気体回収溝から前記微小隙間に 吹き出された気体の圧力とによる上向きの力が、 ステージの自重による下向き の力より大きくなつて、 ステージが上方に大きく浮き上がってしまうおそれが あった。
また、上記公知文献 1の図 1〜図 5及び段落番号(0007)〜(001 2) の記載によると、所定の一軸方向を長手方向とする固定体(2) に移動体 (1 ) が微小な隙間を有して係合した状態となっており、 定盤 (3) を介して移動体
(1 ) に高圧空気が供給されると、 移動体から噴出される高圧空気による上向 きの力が固定体の移動体に対向する位置に作用するようになっている。 なお、 上記の各部材 (移動体、 固定体、 定盤等) の名称及びそれに付されたカツコ内 の符号は、 公知文献 1の図面及び実施形態中の部材名称をそのまま用いている ものである。
この場合、 固定体 (2) は、 一種の両端固定梁となっており、 両端固定梁の 上を集中荷重が移動する場合と同様に、 移動体 (1 ) の位置に応じて、 固定体
(2) の橈み (deflection) が大きく変化する。 すなわち、 移動体 (1 ) がスト ロークの中央(固定体(2)の長手方向中央)に位置するときには、固定体(2) の撓みが大きく、 移動体 (1 ) がストロークの両端部近傍に位置するときは、 固定体(2)の撓みは少ない。 これは、移動体(1 )の位置に応じて固定体(2) の曲がり形状(撓み曲線の形状)が変化することを意味する。一方、移動体( 1 ) は、 定盤 (3) 及び固定体 (2) の両方に対してエアパッドでガイドされてい るため、 移動体 (1 ) の運動軌跡は、 定盤 (3 ) と固定体 (2 ) の案内面の平 均形状となる。 これは、 固定体 (2 ) の曲がり形状が 5 0 %の寄与率で移動体 ( 1 ) の運動軌跡に影響することを意味している。 換言すれば、 上記公知文献 1の構成では移動体 (1 ) に高い案内精度を与えることが困難である。
かかる不都合を改善するための手段として、 固定体 (2 ) の剛性を高めるこ とが考えられるが、 このようにすると、 固定体 (2 ) のサイズが極めて大きい ものとなり、 設計自由度に大きな制約を与えてしまうこととなる。
また、 上述の撓みを見込んで、 固定体 (2 ) を予め曲面に加工しておくこと も考えられるが、 コスト負担が大きくなるばかりでなく、 移動体 (1 ) がその ストローク全域で給気孔をカバ一するようになつているため、 ストローク全長 において曲面加工が必要となり、 技術的にも実現は困難である。
更に、 公知文献 1の構成では、 移動体 (1 ) を案内する固定体 (2 ) の橈み が、 移動体の位置に応じて変化するのであるから、 このことは移動体 (1 ) が 移動中に上下方向に振動することを意味する。 従って、 上記公知文献 1に記載 の静圧気体軸受を、 例えば露光装置のウェハステージ (移動体) の支持に用い た場合には、 ウェハステージに生じる振動によって、 ウェハステージ上のゥェ ハ表面に、 露光装置の投影光学系の像面に対する振動的な位置ずれ現象が生じ てしまう。 また、 公知文献 1に記載の静圧気体軸受を、 露光装置のレチクルス テージ、 ウェハステージのいずれのステージの支持に用いた場合でも、 上記の 振動が、 露光装置本体 (ボディ) に伝達され、 ステージの位置を検出する干渉 計各部を振動させるなどして、 結果として露光装置の露光精度を低下させる原 因となる。
このように、 上記公知文献 1の静圧気体軸受には、 案内精度、 剛性、 スぺー ス、 コスト等の問題があり、 いずれの面から見ても、 精密機械のステージ装置 や露光装置への適用が困難となっている。
この他、 ステージの底面に給気用ダクトと該給気用ダク卜に連通する表面絞 リ溝を形成し、 定盤から噴出された加圧気体を給気用ダク トで一旦受け、 表面 絞リ溝からステージ底面と定盤との間に噴き出す、 表面絞リタィプの気体静圧 軸受を採用することも考えられるが、 このタイプを採用する場合には、 気体静 圧軸受の高剛性を達成するために、 定盤側から給気ダク卜にガスが供給される 際にステージと定盤との間の隙間に生じる陽圧をキャンセルするための真空予 圧力、 又は磁気予圧力などを付与することが不可欠となる。 例えば、 磁気予圧 力を付与する場合には、 定盤を金属製の定盤にしてステージに磁石を取り付け る、 あるいはステージを金属製のステージにして定盤に磁石を取り付けるなど が必要となり、 いずれにしてもステージが必要以上に重量化する。
—方、 真空予圧力を付与する場合には、 ステージにバキューム領域の面積を 確保しなければならず、 ステージが大型化する。 さらには、 表面絞りタイプの 気体静圧軸受の場合、 いわゆるニューマチックハンマー安定性が低いという不 都合をも有している。
本発明はかかる事情の下になされたもので、 その第 1の目的は、 小型軽量な ステージの使用を可能とし、 該ステージの位置制御性を向上させることが可能 なステージ装置を提供することにある。
また、 本発明の第 2の目的は、 高精度な露光を実現することが可能な露光装 置を提供することにある。 発明の開示
本発明は、 第 1の観点からすると、 外部から供給される加圧気体を重力方向 上方、 重力方向下方にそれぞれ噴出する第 1の噴出口、 第 2の噴出口がそれぞ れ設けられた定盤と ;前記定盤の前記第 1の噴出口に対向する一側の面に所定 の一軸方向に沿って形成され前記第 1の噴出口から噴出される前記加圧気体を 受ける第 1の受け部と、 該第 1の受け部で受けた加圧気体を前記一側の面の前 記第 1の受け部とは異なる位置に導く第 1通気路と、 前記一側の面に設けられ 前記第 1通気路によリ導かれた前記加圧気体を前記定盤に向けて噴出する軸受 部と、 前記定盤の前記第 2の噴出口から噴出される前記加圧気体を受ける第 2 の受け部とを有するステージと ;前記ステージを 少なくとも前記一軸方向に 駆動する駆動装置と ; を備えるステージ装置である。
これによれば、 外部から供給される加圧気体が定盤にそれぞれ設けられた第 1の噴出口、 第 2の噴出口から重力方向上方、 重力方向下方にそれぞれ噴出さ れ、 これらの加圧気体が、 駆動装置によって少なくとも前記一軸方向に駆動さ れるステージの第 1の受け部、 第 2の受け部でそれぞれ受けられる。 そして、 定盤の第 1の噴出口に対向するステージの一側の面 (重力方向下方の面、 すな わち底面) の第 1の受け部で受けられた加圧気体は、 第 1通気路を介して、 ス テージの底面の第 1の受け部とは異なる位置に導かれ、 軸受部から定盤に向け て噴出される。 これにより、 この軸受部から噴出された加圧気体の静圧により ステージが定盤の上方に浮上支持される。 このとき、 ステージが軽量である場 合には、 定盤の第 1の噴出口からステージ底面の第 1の受け部に対して噴出さ れた加圧気体の圧力によりステージが上方に持ち上げられようとするが、 第 2 の受け部には、 定盤の第 2の噴出口から噴出された加圧気体の圧力が下向きに 作用しているので、 両者のバランスにより、 ステージを定盤の上方にクリァラ ンスを維持した状態で非接触で浮上支持することが可能となる。 従って、 移動 体であるステージに配管を接続することなく、 ステージを定盤上に非接触で浮 上支持することができ、 ステージが配管を引きずることに起因する、 ステージ の位置制御精度 (位置決め精度を含む) の低下を防止することができる。 この 場合、 小型軽量のステージを用いることができるので、 この点においてもステ 一ジの位置制御性を向上させることができる。
また、 定盤の第 1の噴出口からステージの第 1の受け部に対して噴出される 加圧気体の圧力を、 定盤の第 2の噴出口からステージの第 2の受け部に対して 噴出される加圧気体の圧力により相殺することができるので、 ステージの不要 な浮き上がリを防止することができるとともに、 良好なニューマチックハンマ —安定性を確保することができる。 この結果、 軸受部の剛性を高くすることが できる。
この場合において、 前記第 1の噴出口と前記第 2の噴出口とは、 相互に対応 する位置に設けられていることとすることができる。 かかる場合には、 ステ一 ジの不要な浮き上がりに加え、 第 1の噴出口から噴出される加圧気体の圧力と 第 2の噴出口から噴出される加圧気体の圧力とが偶力となってステージに作用 するおそれがなくなる。
本発明のステージ装置では、 前記第 1通気路は、 前記ステージ内に少なくと も一部が形成されていることとすることができる。
本発明のステージ装置では、 前記軸受部は、 ステージの一部を加工して形成 されていることとすることもできるし、 あるいは前記軸受部は、 前記ステージ の前記底面に埋め込まれた前記ステージとは別体の気体静圧軸受であることと することもできる。
本発明のステージ装置では、 前記軸受部と前記第 1の受け部との間には大気 開放部が形成されていることとすることができる。 かかる場合には、 第 1の受 け部と軸受部との両者間の直接的な気体の移動が防止されるので、 軸受部の剛 性の低下を防止することができる。
本発明のステージ装置では、 前記定盤の内部には、 外部から供給された加圧 気体を前記第 1の噴出口及び第 2の噴出口に共通に供給する供給経路が形成さ れていることとすることもできるし、 あるいは前記定盤の内部には、 外部から 供給された加圧気体を前記第 1の噴出口及び第 2の噴出口に別々に供給する 2 系統の供給経路が形成されていることとすることもできる。
本発明のステージ装置では、 前記ステージは、 前記第 2の受け部で受けた加 圧気体を前記第 1通気路及び前記軸受部の少なくとも一方に導く第 2通気路を 更に有することとすることができる。 本発明のステージ装置では、 前記定盤の内部には、 前記軸受部の周囲の気体 を外部に強制排気するための排気経路が形成されていることとすることができ る。
この場合において、 前記ステージは、 物体が載置される載置部を有し、 該載 置部には前記物体を吸引する吸引孔が形成され、 該吸引孔は、 前記軸受部の周 囲に連通状態とされていることとすることができる。
本発明のステージ装置では、 前記定盤には、 前記第 1の噴出口が上面に複数 形成され、 前記ステージには、 前記複数の第 1の噴出口から噴出される前記加 圧気体を受けることができるような形状又は配置で前記第 1の受け部が設けら れていることとすることができる。
本発明のステージ装置では、 前記定盤は、 セラミックス製の定盤及び表面に セラミックスが溶射された石製の定盤のいずれかであることとすることができ る。
本発明のステージ装置では、 前記駆動装置は、 複数のモータを含み、 該全て のモータがムービングマグネット型のリニアモータ又はボイスコイルモータの いずれかであることとすることができる。
本発明は、 第 2の観点からすると、 マスクと感光物体とを同期移動して前記 マスクに形成されたパターンを前記感光物体に転写する露光装置であって、 前 記マスク及び前記感光物体の少なくとも一方の駆動装置として本発明のステー ジ装置を備えることを特徴とする露光装置である。
これによれば、 マスク及び感光物体の少なくとも一方の駆動装置として本発 明のステージ装置を備えているので、 マスク及び感光物体の少なくとも一方の 位置制御性の向上、 ひいては、 同期移動時のマスクと感光物体との同期精度の 向上が可能となり、 結果的に、 マスクに形成されたパターンと感光物体との位 置合わせ (ないしは重ね合わせ) がパターンの感光物体への高精度な転写が可 能となる。 また、 リソグラフイエ程において、 本発明の露光装置を用いて露光を行うこ とにより、感光物体上にパターンを精度良く形成することができ、これにより、 よリ高集積度のマイク口デバイスを歩留ま y良く製造することができる。 従つ て、 本発明は、 更に別の観点からすると、 本発明の露光装置を用いるデバイス 製造方法であるとも言える。 図面の簡単な説明
図 1は、本発明の一実施形態に係る露光装置の構成を概略的に示す図である。 図 2は、 図 1のレチクルステージ装置を示す斜視図である。
図 3は、 図 2のレチクルステージ装置の分解斜視図である。
図 4 Aは、 レチクルステージを斜視図にて示す図であり、 図 4 Bは、 レチク ルステージの断面図である。
図 5は、 レチクルステージ装置の Y Z断面図である。
図 6は、 レチクル定盤のガイド部及びレチクルステージ本体側のアングル部 材近傍の構成を示す断面図である。
図 7は、 図 6の A— A線断面図である。
図 8は、 レチクルステージ装置の X Z断面図である。
図 9は、 枠状部材の下面側について説明するための図である。
図 1 0は、 変形例を示す図である。 発明を実施するための最良の形態
以下、 本発明の一実施形態を図 1〜図 9に基づいて説明する。
図 1には、 一実施形態に係る露光装置 1 0の概略構成が示されている。 この 露光装置 1 0は、 ステップ 'アンド 'スキャン方式の走査型露光装置、 すなわ ち、 いわゆるスキャニング■ステツパ (スキャナとも呼ばれる) である。 後述 するように本実施形態では、 投影光学系ュニッ卜 P Lが設けられているので、 以下においては、 この投影光学系ュニッ卜 P Lを構成する投影光学系の光軸 A
X方向を z軸方向 これに直交する面内でマスク (及ぴ物体) としてのレチク ル Rと感光物体としてのウェハ Wとが相对走査される図 1における紙面左右方 向を Y軸方向、 これら Z軸及び Y軸に直交する方向を X軸方向として説明を行 なう。
この露光装置 1 0は、 照明ュニット I O P、 レチクル Rを Y軸方向に所定の ストロークで駆動するとともに、 X軸方向、 Y軸方向及び 0 z方向 (Z軸回り の回転方向)に微少駆動するステージ装置としてのレチクルステージ装置 1 2、 投影光学系ュニット Pし、 ウェハ Wを X Y平面内で X Y 2次元方向に駆動する ウェハステージ W S T、 及びこれらの制御系等を備えている。
前記照明ュニッ卜 I Ο Ρは、 光源及び照明光学系を含み、 その内部に配置さ れた視野絞り (マスクキングブレード又はレチクルブラインドとも呼ばれる) で規定される矩形又は円弧状の照明領域にエネルギビームとしての照明光 I し を照射し、 回路パターンが形成されたレチクル Rを均一な照度で照明する。 照 明ュニット I Ο Ρと同様の照明系は、 例えば特開平 6— 3 4 9 7 0 1号公報及 びこれに対応する米国特許第 5 , 5 3 4 , 9 7 0号などに開示されている。 本 国際出願で指定した指定国又は選択した選択国の国内法令が許す限りにおいて、 上記公報及びこれに対応する米国特許における開示を援用して本明細書の記載 の一部とする。
本実施形態では、 照明光 I しとして、 A r Fエキシマレーザ光 (波長 1 9 3 n m) あるいは F 2 レーザ光 (波長 1 5 7 n m) などの真空紫外光が用いられ るものとする。 なお、 照明光 I しとして、 K r Fエキシマレ一ザ光 (波長 2 4 8 n m) などの遠紫外光、 超高圧水銀ランプからの紫外域の輝線 (g線、 i線 等) を用いることも可能である。
ところで、 真空紫外域の波長の光を露光光とする場合には、 その光路から酸 素、 水蒸気、 炭化水素系のガス等の、 かかる波長帯域の光に対し強い吸収特性 を有するガス (以下、 適宜 「吸収性ガス」 と呼ぶ) を排除する必要がある。 こ のため、 本実施形態では、 照明ュニット I O Pの内部の照明光 I しの光路上の 空間に、 真空紫外域の光に対する吸収が空気 (酸素) に比べて少ない特性を有 する特定ガス、 例えば窒素、 及びヘリウム、 アルゴン、 ネオン、 クリプトンな どの希ガス、 又はそれらの混合ガス (以下、 適宜 「低吸収性ガス」 と呼ぶ) を 満たしている。 この結果、 照明ュニット I O P内の光路上の空間は吸収性ガス の濃度が数 p p m以下の濃度となっている。
前記レチクルステージ装置 1 2は、 照明ュニット I O Pの下端部の外周に O リング等のシール部材 9 9を介して接続された環状の取り付け部 1 0 1を有す る照明系側プレート (キャッププレート) 1 4の図 1における下方に配置され ている。 照明系側プレート 1 4は、 不図示の支持部材によって略水平に支持さ れ、 そのほぼ中央部には照明光 I しの光路 (通路) となる矩形の開口 1 4 aが 形成されている。
レチクルステージ装置 1 2は、 図 1及びレチクルステージ装置 1 2の斜視図 である図 2からわかるように、 前記照明系側プレート 1 4の下方に所定間隔を 隔ててほぼ平行に配置された定盤としてのレチクルステージ定盤(以下、 「レチ クル定盤」 と呼ぶ) 1 6、 該レチクル定盤 1 6と照明系側プレート 1 4との間 に配置されたステージとしてのレチクルステージ R S T、 及び該レチクルステ ージ R S Τを取り囲む状態でレチクル定盤 1 6と照明系側プレート 1 4との間 に配置された枠状部材 1 8、 及びレチクルステージ R S Tを駆動する駆動装置 としてのレチクルステージ駆動系等を備えている。
レチクル定盤 1 6は、 不図示の支持部材によって略水平に支持されている。 このレチクル定盤 1 6は、 セラミックスを素材として形成されている。 なお、 レチクル定盤 1 6として、 表面にセラミックスが溶射された石製の定盤を用い ることも可能である。
このレチクル定盤 1 6は、 図 2の分解斜視図である図 3に示されるように、 概略板状の部材から成り、 そのほぼ中央には、 凸部 1 6 aが形成されている。 この凸部 1 6 aのほぼ中央には、 照明光 I Lを通過させるための平面視 (上方 から見て) X軸方向を長手方向とする矩形開口 1 6 bがレチクル定盤 1 6の上 下を貫通して形成され、 矩形開口 1 6 bの X軸方向の一側と他側には、 Y軸方 向を長手方向とする X Z断面逆 L字状のガイド部 1 6 c 1 6 dがそれぞれ設 けられている。 これらのガイド部 1 6 c, 1 6 dは、 外側に上端部が張り出す 状態で設けられ、 上端面が凸部 1 6 aの上面に平行になっている。
レチクル定盤 1 6の下面側には、 図 1に示されるように、 矩形開口 1 6 bの 周囲を取り囲む状態で、 Vリング又は伸縮自在のべローズなどのシール部材 9 8を介して投影光学系ュニット P Lの鏡筒部の上端が接続されている。
前記レチクルステージ R S Tは、 図 4 Aに示されるような特殊な形状のレチ クルステージ本体 2 2及び該レチクルステージ本体 2 2に固定された各種磁極 ユニット (これについては後述する) 等を備えている。
レチクルステージ本体 2 2は、 平面視 (上方から見て) 概略矩形の板状部 2 4 Aと、 該板状部 2 4 Aの一 X端部に設けられたミラー部 2 4 Bと、 板状部 2 4 Aの Y軸方向の一側及び他側の端部からそれぞれ Y軸方向に突設された各一 対の延設部 2 4 C i, 2 4 C2, 2 4 D i, 2 4 D 2とを備えている。 前記 4つの 延設部 2 4 C i, 2 4 C2, 2 4 D i, 2 4 D 2は、 図 4 Aに示されるように、 概 略板状の形状を有し、 各延設部には強度向上のための断面三角形状の補強部が 設けられている。 このレチクルステージ本体 2 2は、 軽量且つ高剛性の素材、 例えば M M C (金属基複合材:金属とセラミックスの複合体 (アルミ合金又は 金属シリコンをマトリックス材として、 その中に各種セラミックス強化材を複 合化させた素材)) により一体成形されている。但し、 以下の説明では、説明を 分かり易くするため、 必要に応じて各部が別部材であるかのような表現をも用 いるものとする。 勿論、 上記各部のいずれか 1つを他と別部材で構成しても良 いし、 全てを別部材で構成しても良い。 前記板状部 2 4 Aには、 ほぼ中央部に照明光 I しの通路となる開口がその中 央 (内部底面) に形成された段付き開口 2 2 aが形成され、 該段付き開口 2 2 aの段部 (1段掘り下げられた部分) には、 レチクル Rを下側から複数点 (例 えば 3点) で支持する複数 (例えば 3つ) のレチクル支持部材 (レチクルホル ダとも呼ぶ) 3 4が設けられている。
本実施形態では、 レチクル Rは、 そのパターン面 (下面) が、 レチクルステ ージ本体 2 2 (レチクルステージ R S T ) の中立面 C Tに略一致する状態で、 複数の支持部材 3 4によって支持されるようになっている。 すなわち、 レチク ル Rの載置面は、レチクルステージ R S Tの中立面 C Tにほぼ一致している(図 4 B参照)。
また、 各レチクル支持部材 3 4にそれぞれ対応して、 板状部 2 4 Aのレチク ル支持部材 3 4近傍部分には、 複数 (例えば 3つ) のレチクル固定機構 3 7が 設けられている。各レチクル固定機構 3 7は、 X Z断面が L字状の形状を有し、 L字の角部に設けられた Y軸方向に平行な軸を中心として回動自在に板状部 2 4 Aに取り付けられた固定部材をそれぞれ備えている。 各固定部材は、 レチク ル Rがレチクル支持部材 3 4上に載置された際に、 図 1のステージ制御系 9 0 によって駆動される不図示の駆動機構を介して、 それぞれ所定方向に回転駆動 されることで、 レチクル支持部材 3 4との間でレチクル Rを狭持することによ リ、 レチクル Rを機械的に固定する。 この場合、 固定部材が、 不図示の付勢手 段によってレチクル Rを支持部材 3 4側に押圧する方向に常時付勢される構成 を採用しても良い。
前記ミラー部 2 4 Bは、 図 4 Aから分かるように、 Y軸方向を長手方向とす る概略角柱状の形状を有し、 その中心部分には軽量化を図るための断面円形の 空洞部 C Hが形成されている。 ミラー部 2 4 Bの一 X側の端面は鏡面加工が施 された反射面とされている。
レチクルステージ本体 2 2の板状部 2 4 Aの一 Y側端部には、 図 4 Aに示さ れるように、 2つの凹部 2 4 g l, 2 4 g 2が形成され、 該凹部 2 4 g l, 2 4 g 2のそれぞれには、 レトロリフレクタ 3 2 ι, 3 22がそれぞれ設けられている。
レチクルステージ本体 2 2の底面 (一 Z側の面) には、 前記延設部 2 4 C i の + Y端部から延設部 2 4 D iの一 Y端部にかけて、 図 4 Bに示される断面 L 字状で Y軸方向を長手方向とするアングルプレート状の部材(以下、 「アングル 部材 j と呼ぷ) 2 7 Aが固定されている。 このアングル部材 2 7 Aは、 実際に は、 図 6、 図 7に示されるように、 ネジ 5 5によって複数箇所でレチクルステ ージ本体 2 2に固定されている。
また、 延設部 2 4 C2の + Y端部から延設部 2 4 D 2の一 Y端部にかけて、 図 4 Bに示される断面 L字状のアングル部材 2 7日が、 上記アングル部材 2 7 A と同様にしてレチクルステージ本体 2 2の底面に固定されている。
上記のアングル部材 2 7 A、 2 7 Bは、 レチクルステージ装置 1 2を中心部 近傍で X Z面に平行な面で断面して示す図 5から分かるように、 レチクル定盤 1 6のガイド部 1 6 c , 1 6 dそれぞれの上部突出部に側方及び下方から所定 のクリアランスをそれぞれ介して係合する位置及び向きでレチクルステージ本 体 2 2の底面に固定されている。 すなわち、 ガイド部 1 6 c , 1 6 dそれぞれ の上部突出部を、 アングル部材 2 7 A、 2 7 Bとレチクルステージ本体 2 2と で X軸方向の両側から抱え込むような配置となっている。
前記レチクルステージ本体 2 2底面には、 前記ガイド部 1 6 cの上面に対向 する位置に、 図 6に示されるように、 所定深さの凹溝から成る第 1の受け部と しての第 1凹部 5 6 aと、 該第 1凹部 5 6 aから + X側に所定間隔隔てて第 1 凹部 5 6 aと同程度の深さを有する第 2 DA部 5 6 bとが形成されている。 これ ら第 1凹部 5 6 a及び第 2凹部 5 6 bは、 レチクルステージ本体 2 2部分を図 6の A— A線に沿つて断面して底面側から示す図 7から分かるように、 ともに Y軸方向が長い矩形の凹溝である。 第 1凹部 5 6 aと第 2凹部 5 6 bとの Y軸 方向の長さは、 ほぼ同一であるが、 X軸方向の長さ (幅) は、 第 1凹部 5 6 a よりも第 2凹部 5 6 bの方が大きくなつている。 すなわち、 第 2凹部 5 6 bの 方が第 1凹部 5 6 aよりも大面積に設定されている。
また、 レチクルステージ本体 2 2底面の第 1凹部 5 6 aと第 2凹部 5 6 bと の間には、 図 6及ぴ図 7に示されるように、 第 1凹部 5 6 a及ぴ第 2凹部 5 6 bより深さが深く、 かつ Y軸方向の両端が開放された大気開放部 3 9が形成さ れている。
また、 レチクルステージ本体 2 2には、 図 6に示されるように、 第 1凹部 5 6 aの内部底面 (上面) から前述した段付き開口 2 2 aの内部底面に至る上下 方向 (Z軸方向) の細孔 5 8 aが形成されている。 この細孔 5 8 aは、 実際に は、 Y軸方向に所定間隔隔てて複数形成されている (図 7参照)。
また、 レチクルステージ本体 2 2底面の第 2凹部 5 6 bの内部で複数の細孔 5 8 aにそれぞれ対応する位置には、 図 7に示されるように、 軸受部としての 気体静圧軸受 5 7がそれぞれ埋め込まれている。 各気体静圧軸受 5 7は、 図 6 に示されるように、 軸受け面 (底面) がレチクルステージ本体 2 2の底面とほ ぼ面一とされ、 その軸受け面には、 図 7に示されるような I (又は H ) を中央 で直角に交差させたような概略十字状の深さ数 j" m程度の溝 5 7 aが形成され ている。 各気体静圧軸受 5 7の溝 5 7 aの中心には、 貫通孔 5 7 bが形成され ている。
各気体静圧軸受 5 7にそれぞれ対応して、 レチクルステージ本体 2 2には、 段付き開口 2 2 aの内部底面から各気体静圧軸受 5 7の軸受け面とは反対側の 面に至る上下方向の細孔 5 8 b (図 6参照) が形成されている。 各細孔 5 8 b は、 各気体静圧軸受 5 7の貫通孔 5 7 bに連通している。
また、 レチクルステージ本体 2 2の段付き開口 2 2 aの内部底面の各細孔 5 8 aが形成された場所には、 図 6に示されるように、 コネクタ 5 9 Aがそれぞ れ取り付けられている。 同様に、 レチクルステージ本体 2 2の段付き開口 2 2 の内部底面の各細孔 5 8 bが形成された場所には、 コネクタ 5 9 Bがそれぞれ 取り付けられている。
この場合、 X軸方向に並んで配置されたコネクタ 59 A、 59 B同士が、 チ ユーブ 1 61によって、それぞれ接続されている。すなわち、本実施形態では 上述のようにして、 細孔 58 aとチューブ 1 6 1の内部空間と細子 L58 bとに よって、 第 1凹部 56 aから気体静圧軸受 57に至る第 1通気路としての通気 経路が構成されている。 この通気経路は、 図 7に示される、 各細孔 58 a、 気 体静圧軸受 57に対応して、 Y軸方向に沿って所定間隔で複数(図 7では 3つ) 設けられている。
前記レチクル定盤 1 6のガイド部 1 6 cは、 図 6に示されるように、 凸部 1 6 aその他のレチクル定盤 1 6の残りの部分とは、 別部材から成り、 凸部 1 6 aの上面に固定されている。
本実施形態では、 レチクル定盤 1 6の凸部 1 6 aの上面には、 図 6に示され るように、 2つの深さの異なる断面円形の穴 6 OA、 61 Aがそれぞれ形成さ れている。 このうちの深さの浅い方の穴 6 OAには、 凸部 1 6 aの一 X側の端 面から + X方向に貫通形成された貫通孔 60 Bの + X側の端部が連通している c 深さの深い方の穴 61 Aには、 凸部 1 6 aの一 X側の端面から + X方向に貫通 形成された貫通孔 61 Bの +X側の端部が連通している。
また、 ガイド部 1 6 cの底面には、 ほぼ同じ深さの断面円形の穴 60 C、 6 1 Cがそれぞれ形成されている。 穴 60 Cは、 上記穴 6 OAと同一径を有し、 両者が同心の状態で相互連通し、 穴 60Aと穴 60Cとによって凸部 1 6 aの 内部からガイド部 1 6 cの上端面近傍に至る 1つの丸穴が形成されている。 同 様に、 穴 61 Cは、 上記穴 61 Aと同一径を有し、 両者が同心の状態で相互連 通し、 穴 61 Aと穴 61 Cとによって凸部 1 6 aの内部からガイド部 1 6 cの 上端面近傍に至る 1つの丸穴が形成されている。
また、 上記穴 60 Cには、 ガイド部 1 6 cの一 X側の端面から + X方向に貫 通形成された貫通孔 60 Dの +X側端部が連通している。 また、 貫通孔 60 D の一 X側の開口端は、栓 1 9により塞がれている。また、ガイド部 1 6 cには、 その上端面から貫通孔 6 0 Dに至る第 1の噴出口としての細孔 6 6 aが、 前述 の細孔 5 8 aに対向して形成されている。
ガイド部 1 6 cの貫通孔 6 0 Dの細孔 6 6 aに対向する位置には、 第 2の噴 出口としての細孔 6 6 bが形成されている。 この細孔 6 6 bに対向して、 前述 したアングル部材 2 7 Aには、 Y軸方向に延びる所定深さの H溝から成る第 2 の受け部としての第 3凹部 5 6 cが形成されている。 この第 3凹部 5 6 cは、 前述した第 1凹部 5 6 aとほぼ同一幅、 同一深さとなっている。
さらに、 ガイド部 1 6 cの穴 6 1 Cの上部には、 穴 6 1 Cの内部とガイド部 1 6 cの上面の外部とを連通する細孔 6 6 cが形成されている。
レチクル定盤 1 6の凸部 1 6 aに形成された前述の貫通孔 6 0 Bの一 X側の 端部には、 コネクタ 6 3 Aを介して給気管 6 5 Aの一端が接続されており、 該 給気管 6 5 Aの他端は、 図 1に示されるガス供給装置 6 7に接続されている。 本実施形態では、 前述した貫通孔 6 0 B、 穴 6 0 A、 6 0〇及び貫通孔6 0 0 によって、 ガス供給装置 6 7から配管 6 5 Aを介して供給された窒素又は希ガ スなどの低吸収性ガス、 例えばヘリウムガスを、 細孔 6 6 a、 細孔 6 6 bに導 く一連のガス供給経路が構成されている。 以下、 このガス供給経路をガス供給 経路 6 0と呼ぶ。
このガス供給経路 6 0によって細孔 6 6 a、 細孔 6 6 bに導かれた加圧気体 が、 細孔 6 6 a、 6 6 bからそれぞれ対向するレチクルステージ R S T側の第 1凹部 5 6 a、 第 3凹部 5 6 cに向けて噴出される。 細孔 6 6 aから噴出され た加圧気体は一旦第 1凹部 5 6 aで受け止められ、 さらに細孔 6 6 aから加圧 気体が噴出され続けることにより、 その加圧気体が第 1凹部 5 6 a内部の全体 に行き渡り、 その加圧気体の静圧がある程度の圧力になった段階でその加圧気 体は、第 1凹部 5 6 aに設けられた複数の細孔 5 8 a内に供給される。そして、 この送り込まれた加圧気体は、 チューブ 1 6 1、 細孔 5 8 bを介して軸受部 5 7から定盤 1 6のガイ ド部 1 6 cの上面に向けて噴出される。このようにして、 レチクルステージ本体 2 2底面とガイ ド部 1 6 cの上面との間に噴出された加 圧気体の静圧 (隙間内圧力) がある程度の圧力になると、 レチクルステージ R S Tがレチクル定盤 1 6に対して浮上支持されることとなる。
本実施形態では、 上記の如くして、 軸受部 5 7から噴出される加圧気体の静 圧によリレチクルステージ R S Tが浮上支持される際、 及びその後も細孔 6 6 bからレチクルステージ側の第 3凹部 5 6 cに対して加圧気体が噴出され続け るので、 その加圧気体の圧力がレチクルステージを下方に押圧し続けている。 従って、 細孔 6 6 a力、ら第 1凹部 5 6 aに噴出される加圧気体の圧力 (上向き の力) がその下方への押圧力によってほぼ相殺され、 レチクルステージ R S T が必要以上に浮き上がることがない。 この結果、 軸受部 5 7から噴出される加 圧気体の前述の隙間内圧力によりレチクルステージ R S Tの自重が支えられ、 レチクルステージ本体 2 2とガイド部 1 6 c上面との間に所定のクリアランス を維持した状態でレチクルステージ R S Tが非接触にて、 かつ高い剛性で支持 される。
さらに、 細孔 6 6 aと細孔 6 6 bとは、 上下に対向する位置 (略同軸上の位 置) に形成されているので、 細孔 6 6 aと細孔 6 6 bそれぞれからレチクルス テージ R S Tに向けて噴出される加圧気体の圧力が偶力としてレチクルステー ジ R S Tに作用するおそれがなく、 この点において、 レチクルステージ R S T に不要な曲げモーメン卜が作用するのが防止されている。
一方、 レチクル定盤 1 6の凸部 1 6 aに形成された前述の貫通孔 6 1 Bの一 X側の端部には、 コネクタ 6 3 Bを介して排気管 6 5 Bの一端が接続されてお リ、 該排気管 6 5 Bの他端は、 図 1に示される真空ポンプ 7 6に接続されてい る。 この真空ポンプ 7 6は、 不図示のガス回収装置に接続されている。 本実施 形態では、 細孔 6 6 c、 穴 6 1 C、 6 1 A、 及び貫通孔 6 1 Bによって、 ガイ ド部 1 6 cの上面の外部側の空間、 すなわちガイド部 1 6 cとレチクルステー ジ本体 2 2との間の隙間の気体を、 排気管 6 5 Bに導く排気経路が形成されて いる。 すなわち、 真空ポンプ 7 6が作動状態であるとき、 軸受部 5 7からガイ ド部 1 6 cに向けて噴出された加圧気体は、 軸受部 5 7周囲の第 2凹部 5 6 b の内部空間 (より正確には その第 2凹部 5 6 bとガイド部 1 6 c上面との間 の隙間) を介して、 前述の排気経路 (細孔 6 6 c、 穴 6 1 C、 6 1 A、 及び貫 通孔 6 1 B )、更には排気管路を通って外部に強制的に排気される。従って、本 実施形態では、 軸受部 5 7から噴出された加圧気体が周囲に漏れ出す可能性は 殆どなく、 加圧気体として加圧空気などを用いることも可能である。 また、 こ の場合、 排気経路 (細孔 6 6 c、 穴 6 1 C、 6 1 A、 及び貫通孔 6 1 B ) 内な どは、 負圧となっているので、 レチクルステージ R S Tには、 真空予圧力も僅 かながら付与されている。
このように、 本実施形態では、 レチクル定盤 1 6のガイ ド部 1 6 c、 及びレ チクルステージ R S Tのアングル部材 2 7 A近傍の各構成部材により、 レチク ルステージ R S Tの一 X側の部分を、 高剛性でレチクル定盤 1 6に対して非接 触で支持する第 1の支持装置が構成されている。
また、 図示は省略されているが、 図 5に示される、 レチクル定盤 1 6のガイ ド部 1 6 d、 及びレチクルステージ R S Tのアングル部材 2 7 B近傍の各構成 部材により、 前述の第 1の支持装置と同様にレチクルステージ R S Tの + X側 の部分を、 高剛性でレチクル定盤 1 6に対して非接触で支持する第 2の支持装 置が構成されている。 この場合、 第 2の支持装置の構成各部は、 第 1の支持装 置の構成各部と左右対称になっていることは言うまでもない。
本実施形態では、 上述した第 1、 第 2の支持装置により、 レチクルステージ 本体 2 2がガイド部 1 6 c、 1 6 dに対して例えば数 m程度の間隔を保つた 状態で、 レチクルステージ R S Tがレチクル定盤 1 6に対して非接触で浮上支 持されている。
図 2に戻り、 前記枠状部材 1 8の上面には、 概略環状の凹溝 8 3 , 8 5が二 重に形成されている。 このうちの内側の環状凹溝 8 3には、 その内部に複数の 給気口 (不図示) が形成され、 外側の環状凹溝 8 5には、 複数の排気口 (不図 示) が形成されている。 なお、 以下においては内側の環状凹清 8 3を 「給気溝 8 3」、 外側の環状凹溝 8 5を 「排気溝 8 5 J と呼ぶものとする。
給気溝 8 3の内部に形成された給気口は、 不図示の給気管路及ぴ給気管を介 して窒素又は希ガスなどの低吸収性ガスを供給する不図示のガス供給装置に接 続されている。 また、 排気溝 8 5の内部に形成された排気口は、 不図示の排気 管路及び排気管を介して不図示の真空ポンプに接続されている。
また、 この枠状部材 1 8の底面には、 該枠状部材 1 8を上下反転して斜視図 にて示す図 9から分かるように、 概略環状の凹溝 8 2, 8 4が二重に形成され ている。 このうちの内側の環状凹溝 8 2には、 その内部に複数の給気口 (不図 示) が形成され、 外側の環状凹溝 8 4には、 複数の排気口 (不図示) が形成さ れている。 なお、 以下においては内側の環状凹溝 8 2を「給気溝 8 2」、外側の 環状凹溝 8 4を 「排気溝 8 4」 と呼ぶものとする。
給気溝 8 2の内部に形成された給気口は、 給気管路及び給気管を介して窒素 又は希ガスなどの低吸収性ガスを供給する不図示のガス供給装置に接続されて いる。 また、 排気溝 8 4の内部に形成された排気口は、 排気管路及び排気管を 介して不図示の真空ポンプに接続されている。
従って、 ガス供給装置と真空ポンプとが作動状態にあるときは、 枠状部材 1 8の底面に形成された給気溝 8 2からレチクル定盤 1 6の上面 (凸部 1 6 aよ リー段低い部分の上面) に加圧気体 (低吸収性ガス) が噴き付けられ、 この噴 き付けられた加圧気体の静圧により枠状部材 1 8の自重が支えられ、 枠状部材 1 8がレチクル定盤 1 6の上面の上方に数 ju m程度のクリアランスを介して浮 上支持される。 この場合も、 そのクリアランス内のガスは、 排気溝 8 4を介し て真空ポンプの吸引力により外部に排気される。 この場合、 給気溝 8 2から排 気溝 8 4に向かうガスの流れが生じている。 このため、 そのクリアランスを介 して枠状部材 1 8の内部に外気が混入するのが効果的に阻止されている。 このように、 枠状部材 1 8の底面の全体により、 実質的に、 レチクル定盤 1 6の上面の上方に枠状部材 1 8を浮上支持する差動排気型の気体静圧軸受けが 構成されている。
また、 ガス供給装置と真空ポンプとが作動状態にあるときは、 枠状部材 1 8 の上面に形成された給気溝 8 3から照明系側プレート 1 4の下面に加圧気体 (低吸収性ガス) が噴き付けられるとともに、 照明系側プレート 1 4と枠状部 材 1 8との間のクリアランス内のガスは、 排気溝 8 5を介して真空ポンプの吸 引力により外部に排気される。 この場合、 給気溝 8 3から排気溝 8 5に向かう ガスの流れが生じている。 このため、 そのクリアランスを介して枠状部材 1 8 の内部に外気が混入するのが効果的に阻止されている。 また、 この場合、 噴き 付けられた加圧気体の静圧と真空吸引力とのバランスによって、 枠状部材 1 8 と照明系側プレート 1 4との間にクリアランスが維持される。 すなわち、 枠状 部材 1 8の上面の全体により、 実質的に、 枠状部材 1 8と照明系側プレート 1 4との間のクリアランスを維持する差動排気型の気体静圧軸受けが構成されて いる。
また、 本実施形態の場合、 枠状部材 1 8とレチクル定盤 1 6との間の前述の クリアランス (すなわち軸受け隙間) は、 枠状部材 1 8上下の差動排気型の気 体静圧軸受けが枠状部材 1 8に及ぼす力、 枠状部材 1 8全体の自重との総合的 なバランスによって、 実際には決定される。
このように、 枠状部材 1 8と照明系側プレート 1 4との間のクリアランス、 及びレチクル定盤 1 6と枠状部材 1 8との間のクリアランスが前述のガスの流 れによって気密化され、 更に、 前述したように、 投影光学系ユニット P Lの上 端部とレチクル定盤 1 6との間が前述のシール部材 9 8により接続されている ので (図 7 , 図 8参照)、枠状部材 1 8により囲まれた空間内は非常に気密度が 高い空間となっている。以下、枠状部材 1 8により囲まれた空間を、便宜上「気 密空間」 と呼ぶものとする。
本実施形態のように、 真空紫外の露光波長を使用する露光装置では、 酸素等 の吸収性ガスによる露光光の吸収を避けるために、 照明ュニッ卜 ί O Pから投 影光学系ユニット P Lまでの光路、 すなわち前述の気密空間内 (の光路) につ いても窒素や希ガスで置換する必要がある。
この場合、 枠状部材 1 8の側壁に給気管、 排気管をそれぞれ接続し、 給気管 を介して前述の気密空間に低吸収性ガスを供給し、 排気管を介して内部のガス を外部に排気することとすれば良い。
このようにすれば、 上記気密化に併せて、 上記気密空間、 すなわちレチクル Rが保持された空間内を露光光の吸収の少ない窒素又は希ガス等により置換す ることが可能となる。
なお、 気密空間に供給されるガスとしてヘリウムガスを用いる場合には、 ガ ス排気機構を介してヘリウムガスを回収した後、 不純物を除去後、 再利用する ことが望ましい。
前記レチクルステージ駆動系は、 図 2に示されるように、 枠状部材 1 8の内 部に、 Y軸方向にそれぞれ架設された一対の固定子ユニット 3 6、 3 8を含ん で構成され、 レチクルステージ R S Tを Y軸方向に駆動するとともに 0 z方向 ( Z軸回りの回転方向) に微小駆動する第 1駆動機構と、 枠状部材 1 8の内部 の一方の固定子ュニット 3 8の一 X側に Y軸方向に架設された固定子ュニット 4 0を含んで構成され、 レチクルステージ R S Tを X軸方向に微小駆動する第 2駆動機構と、 を備えている。
前記固定子ユニット 3 6は、 図 3の分解斜視図に示されるように、 Y軸方向 を長手方向とする一対の電機子ュニッ卜から成る Y軸リニアガイド (Y軸固定 子) 1 3 6 i, 1 3 62と、 これらの Y軸リニアガイド 1 3 6 ι, 1 3 62をその 長手方向 (Y軸方向) の一端部と他端部で保持する一対の固定部材 1 5 2とを 備えている。 この場合、 一対の固定部材 1 5 2により、 Y軸リニアガイド 1 3 61, 1 362は、 Z軸方向 (上下方向) に所定間隔をあけて相互に対向してか つ XY面にそれぞれ平行に保持されている。 一対の固定部材 1 52のそれぞれ は 前述の枠状部材 1 8の内壁面に固定されている。
前記 Y軸リニアガイド 1 36ι, 1 362は、 図 3及び図 5からも分かるよう に、断面矩形 (長方形)の非磁性材料から成るフレームを有し、その内部には、 Y軸方向に所定間隔で複数の電機子コイルが配設されている。
前記固定子ュニット 38も上記固定子ュニッ卜 36と同様に構成されている。 すなわち、 固定子ユニット 38は、 Y軸方向を長手方向とする上下一対の電機 子ユニットから成る Y軸リニアガイド (Y軸固定子) 1 38^ 1 382と、 こ れらの Y軸リニアガイド 1 38ι, 1 382を Z軸方向に所定間隔を維持した状 態でそれぞれの長手方向の両端部にて固定する一対の固定部材 1 54とを備え ている。 一対の固定部材 1 52のそれぞれは、 前述の枠状部材 1 8の内壁面に 固定されている。
前記 Y軸リニアガイド 1 38 , 1 382は、前述の Y軸リニアガイド 1 36ι, 1 362と同様に構成されている (図 5参照)。
Y軸リニアガイド 1 36ι, 1 381と、 Y軸リニアガイド 1 362, 1 382 との間には、 図 5に示されるように、 それぞれ所定のクリアランスを介して、 レチクルステージ RS Tが配設されている。 Y軸リニアガイド 1 36 1 36 2にそれぞれ対向して、 レチクルステージ RS Tの上面、 下面には、 一対の磁 極ユニット 26ι, 262がそれぞれ埋め込まれ、 Y軸リニアガイド 1 381, 1 382に対向して、 レチクルステージ RS Tの上面、 下面には、 一対の磁極ュ ニット 28ι, 282がそれぞれ埋め込まれている。
磁極ュニッ卜 26ι' 262のそれぞれは、 図 4 Βに示されるように、 前述の レチクルステージ本体 22の板状部 24 Αの段付き開口 22 aの一 X側に、 レ チクルステージ本体 22の中立面 CTを基準として対称に上下面側にそれぞれ 形成された凹部 24 ei, 24 e2内に配置されている。 この場合、 Y軸リニアガイド 1 36ι, 1 362は、 上記中立面 CTを基準と してほぼ対称な位置に位置している。
前記一対の磁極ュニット 26ι, 262は、 磁性体部材と、 該磁性体部材の表 面に Y軸方向に沿つて所定間隔で配置された複数の界磁石とを、 それぞれ備え ている。複数の界磁石は、隣り合う界磁石同士で逆極性とされている。従って、 磁極ュニット 26iの上方の空間には Y軸方向に沿って交番磁界が形成され、 磁極ュニッ卜 262の下方の空間には Y軸方向に沿って交番磁界が形成されて いる。
同様に、 前記一対の磁極ユニット 2 282のそれぞれは、 図 4 Bに示さ れるように、 前述のレチクルステージ本体 22の板状部 24 Aの段付き開口 2 2 aの +X側に、 レチクルステージ本体 22の中立面 CTを基準として対称に 上下面側にそれぞれ形成された凹部 24 f i, 24 f 2内に配置されている。 ま た、 一対の磁極ユニット 28i, 282は、 段付き開口 22 aの X軸方向の中心 位置 (レチクルステージ RS Tの重心の X軸方向位置とほぼ一致) を通る Z軸 に関して、 磁極ユニット 26 , 262とほぼ左右対称の配置となっている。 また、 前記 Y軸リニアガイド 1 38^ 1 382は、 中立面 CTを基準として ほぼ対称な位置に位置している。
前記一対の磁極ユニット 28ι, 282は、 磁性体部材と、 該磁性体部材の表 面に Y軸方向に沿って所定間隔で配置された複数の界磁石とを、 それぞれ備え ている。複数の界磁石は、隣り合う界磁石同士で逆極性とされている。従って、 磁極ュニット 28iの上方の空間には Y軸方向に沿って交番磁界が形成され、 磁極ュニット 282の下方の空間には Y軸方向に沿って交番磁界が形成されて いる。
本実施形態では、 上述した固定子ユニット 36、 38 (2対の Y軸リニアガ イド 1 36ι, 1 362、 1 381、 1 382を含む) と 2対の磁極ュニット 26 262、 28κ 282とによって第 1駆動機構が構成されている。 この第 1駆動 機構によると、 Y軸リニアガイ ド 1 36 1 362内の電機子コイルに電流が 供給されることにより、 磁極ユニット 26ι, 262の発生する磁界と電機子ュ ニット 1 36i, 1 362を流れる電流との間の電磁相互作用によって Y軸方向 の電磁力 (ローレンツ力) が発生し、 このローレンツ力の反力が磁極ユニット 26i, 262 (レチクルステージ RS T)を Y軸方向に駆動する駆動力となる。 同様に、 Y軸リニアガイ ド 1 3 1 382内の電機子コイルに電流が供給 されることにより、 磁極ユニット 28 282の発生する磁界と Y軸リニアガ イド 1 38^ 1 382を流れる電流との間の電磁相互作用によって Y軸方向の 電磁力 (ローレンツ力) が発生し、 このローレンツ力の反力が磁極ユニット 2 6ι, 262 (レチクルステージ RS T) を Y軸方向に駆動する駆動力となる。 本実施形態の場合、 レチクルステージ RS Tの中立面 CTを基準として、 磁 極ュニッ卜 26ιと 262、 磁極ュニット 28 と 282がそれぞれ対称に配置さ れ、 これらの磁極ユニットに対応する Y軸リニアガイ ド 1 36ιと 1 362、 Y 軸リニアガイ ド 1 38^ 1 382も中立面 CTを基準として対称に配置されて いる。 このため、 Y軸リニアガイ ド 1 36ι, 1 362, 1 381, 1 382の電 機子コイルそれぞれに同一の電流を供給することにより、 磁極ュニット 26 262> 28ι, 282のそれぞれに同一の駆動力が与えられ、 レチクルステージ 5丁の中立面〇丁 (図 4 B参照) 上の 2箇所に Y軸方向の駆動力 (磁極ュニ ット 26 262の駆動力の合力、 磁極ユニット 281, 282の駆動力の合力) を作用させることができ、 これにより、 レチクルステージ RS Tにはピッチン ダモーメン卜が極力作用しないようになっている。
また、 この場合、 磁極ュニット 26ιと 262、 磁極ュニッ卜 28ιと 282と は、 X軸方向に関しても、 レチクルステージ RS Tの重心近傍位置に関してほ ぼ対称に配置されているので、 レチクルステージ RS Tの重心から等距離の 2 箇所に上記の Y軸方向の駆動力が作用するので、 該 2箇所に同一の力を発生さ せることでレチクルステージ R S Tの重心位置近傍に Y軸方向の駆動力の合力 を作用させることが可能となっている。 従って、 レチクルステージ RS Tには ョーイングモーメン卜が極力作用しないようになっている。
なお、 上記と反対に、 左右の Y軸方向の駆動力を異ならせることにより、 レ チクルステージ RS丁のョーイングを制御することもできる。
これまでの説明から明らかなように、 磁極ユニット 26 262と、 対応す るリニアガイド 1 36]L, 1 362とによりレチクルステージ RS Tを Y軸方向 に駆動する一対の Y軸リニアモータが構成され、磁極ュニッ卜 28 , 282と、 対応する Y軸リニアガイド 1 38ι, 1 382とによリレチクルステージ RS T を Y軸方向に駆動する一対の厶ービングマグネット型の Y軸リニアモータが構 成されている。 なお、 以下においては、 これら Y軸リニアモータをそれぞれの 固定子を構成する Y軸リニアガイ ドと同一の符号を用いて、 「Y軸リニアモー タ 1 36ι, 1 362、 1 381, 1 382」 とも記述するものとする。
左右各一対の Y軸リニアモータ 1 36L 1 362、 及び1 38 , 1 382に よって、 前述の第 1駆動機構が構成されている。
前記固定子ユニット 40は、 図 3に示されるように、 Y軸方向を長手方向と する一対の電機子ュニッ卜 1 4 Oi, 1 402と、 これらの電機子ュニッ卜 1 4 Oi, 1 402をそれぞれの長手方向 (Y軸方向) の一端部と他端部で保持する 一対の固定部材 1 56とを備えている。 この場合、 一対の固定部材 1 56によ リ、 電機子ユニット 1 4 OL 1 402は、 Z軸方向 (上下方向) に所定間隔を あけて相互に対向してかつ XY面にそれぞれ平行に保持されている。 一対の固 定部材 1 56のそれぞれは、 前述の枠状部材 1 8の内壁面に固定されている。 電機子ユニット 1 402は、 図 5からも分かるように、 XZ断面矩 形 (長方形) の非磁性材料から成るフレームを有し、 その内部には、 電機子コ ィルが配置されている。
電機子ユニット 1 402相互間には、 図 5に示されるように、 それ ぞれ所定のクリアランスを介して、 レチクルステージ RS Tの X軸方向の端部 に固定された断面矩形 (長方形) の板状の永久磁石 3 0が配置されている。 永 久磁石 3 0に代えて、 磁性体部材とその上下面にそれぞれ固定された一対の平 板状の永久磁石とから成る磁極ュニットを用いても良い。
この場合、 永久磁石 3 0、 並びに電機子ユニット 1 4 0 ^ 1 4 02は、 中立 面 C Tを基準としてほぼ対称な形状及び配置となっている (図 4 B及び図 5参 照)。
従って、 永久磁石 3 0によって形成される Z軸方向の磁界と電機子ュニッ卜 1 4 O i, 1 4 02をそれぞれ構成する電機子コイルを Y軸方向に流れる電流と の間の電磁相互作用により X軸方向の電磁力 (ローレンツ力) が発生し、 この ローレンツ力の反力が永久磁石 3 0 (レチクルステージ R S T ) を X軸方向に 駆動する駆動力となる。
この場合、 電機子ュニット 1 4 C , 1 4 02をそれぞれ構成する電機子コィ ルに同一の電流を供給することにより、 レチクルステージ R S Tの中立面 C T (図 4 B参照) 上の位置に X軸方向の駆動力を作用させることができ、 これに よリ、 レチクルステージ R S Tにはローリングモ一メン卜が極力作用しないよ うになつている。
上述のように、 電機子ュニット 1 4 C , 1 4 02と永久磁石 3 0とにより、 レチクルステージ R S Tを X軸方向に微小駆動可能なムービングマグネット型 のボイスコイルモータが構成されている。 なお、 以下においては、 このボイス コイルモータを該ボイスコイルモータを構成する可動子、 すなわち永久磁石と 同一の符号を用いてボイスコイルモータ 3 0とも呼ぶものとする。 このボイス コイルモータ 3 0によって、 第 2駆動機構が構成されている。
本実施形態では、さらに、前述の枠状部材 1 8の + X側面及び + Y側面には、 図 3に示されるように、 磁極ユニットから成る可動子 2 6 O i, 2 6 02, 2 6 0 3が設けられている。 これらの可動子 2 6 O i, 2 6 02, 2 6 0 3に対応して レチクル定盤 1 6には、 支持台 2 6 4 2 6 42, 2 6 43を介して、 電機子ュ ニットから成る固定子 26 2 26 22, 2 6 23が設けられている。
前記可動子 2 6 2602は、 その内部に永久磁石を備えており、 Z軸方 向の磁界を形成する。 前記固定子 26 2i, 2 6 22は、 その内部に電機子コィ ルを有し、前記 Z軸方向の磁界中を電流が Y軸方向に流れるようになつている。 従って、 固定子 2 6 2ι, 2 6 22内の電機子コイルに Y軸方向の電流が供給さ れることにより、 可動子 2 60ι, 2602には X軸方向への駆動力 (ローレン ッ力の反力) が作用することとなる。 すなわち、 可動子 2 6 Oiと固定子 2 6 Ziとによリ、 ムービングマグネッ卜型のボイスコイルモータから成る X軸方 向駆動用のトリムモータが構成され、可動子 2 602と固定子 2 6 22とにより、 ムービングマグネッ卜型のボイスコイルモータから成る X軸方向駆動用のトリ ムモータが構成されている。
また、 前記可動子 2 603は、 その内部に永久磁石を備えており、 Z軸方向 の磁界を形成する。 前記固定子 26 23は、 その内部に電機子コイルを有し、 前記 Z軸方向の磁界中を電流が X軸方向に流れるようになつている。 従って、 固定子 2 6 23内の電機子コイルに Y軸方向の電流が供給されることにより、 可動子 2 6 03には X軸方向への駆動力 (ローレンツ力の反力) が作用するこ ととなる。 すなわち、 可動子 2603と固定子 2 6 23とによリムービングマグ ネット型のボイスコイルモータから成る Y軸方向駆動用の卜リムモータが構成 されている。
このように、 これら 3つのトリムモータを用いることにより、 枠状部材 1 8 を X軸方向、 Y軸方向、 及び 0 z方向の 3自由度方向に駆動することが可能で あ 。
前記枠状部材 1 8の一 X側の側壁のほぼ中央には、 図 3に示されるように、 凹部 1 8 aが形成されている。 この凹部 1 8 aには枠状部材 1 8の内部と外部 とを連通する矩形開口 1 8 bが形成され、該矩形開口 1 8 bには、窓ガラス gl が嵌め込まれている。 また、 枠状部材 1 8の一 Y側の側壁には、 枠状部材 1 8 の内部と外部とを連通する矩形開口 1 8 cが形成され、 該開口 1 8 cには、 窓 ガラス g 2が嵌め込まれている。 これらの窓ガラス g i、 g 2は、 その取り付け部 分からのガス漏れが生じないように、 取り付け部分には、 インジウムや銅等の 金属シールや、 フッ素系樹脂による封止(シーリング)が施されている。なお、 上記フッ素系樹脂としては、 8 0 °Cで 2時間、 加熱し、 脱ガス処理が施された ものを使うことが望ましい。
前記窓ガラス g iの外側 (—X側) には、 レチクルステージ装置 1 2の X Z 断面図を示す図 5から分かるように、 レチクルステージ R S Tのミラー部 2 4 Bの反射面に対向して X軸レーザ干渉計 6 9 Xが設けられている。 この X軸レ 一ザ干渉計 6 9 Xからの測長ビームが窓ガラス g lを介してミラー部 2 4 Bの 反射面に対して投射され、 その反射光が窓ガラス g iを介して X軸レーザ干渉 計 6 9 X内に戻る。 この場合、 測長ビームの光路の Z軸方向の位置は、 中立面 C Tの位置に一致している。
また、 図 5に示されるように、 投影光学系ユニット P Lの鏡筒の上端部近傍 には、 固定鏡 Mrxが取付部材 9 2を介して設けられている。 X軸レーザ干渉計 6 9 Xからの参照ビームはレチクル定盤 1 6に形成された貫通孔 (光路) 7 1 を介して、 固定鏡 Mrxに対して投射され、 その反射光が X軸レーザ干渉計 6 9 X内に戻る。 X軸レーザ干渉計 6 9 Xでは、 測長ビームの反射光、 参照ビーム の反射光を内部の光学系により同軸にかつ同一の偏光方向の光に合成し、 両反 射光の干渉光を内部のディテクタによって受光する。 そして、 その干渉光によ つてディテクタの受光面に生じる干渉縞のカウント値に基づいて、 X軸レーザ 干渉計 6 9 Xは、 レチクルステージ本体 2 2の X軸方向の位置を、 固定鏡 Mrx を基準として、 例えば 0 . 5〜1 n m程度の分解能で常時検出する。
前記窓ガラス g 2の外側 (一 Y側) には、 レチクルステージ装置 1 2近傍の Y Z断面図である図 8から分かるように、 レチクルステージ本体 2 2に設けら れた前述のレトロリフレクタ 3 2 L 3 22の反射面に対向して Y軸レーザ干渉 計 6 9 Yが設けられている。 この場合、 Υ軸レーザ干渉計 6 9 Υは、 レトロリ フレクタ 3 2 , 3 22にそれぞれ対応して一対設けられている。 各 Υ軸レーザ 干渉計 6 9 Υからの測長ビームは窓ガラス g 2を介してレトロリフレクタ 3 2. 3 22の反射面に対してそれぞれ投射され、 それぞれの反射光が窓ガラス g 2を 介して各 Y軸レーザ干渉計 6 9 Y内に戻る。 この場合、 測長ビームの照射点の Z軸方向の位置は、 中立面 C Tの位置にほぼ一致している。
また、 図 8に示されるように、 投影光学系ユニット P Lの鏡筒の上端部近傍 には、 固定鏡 Mryが取付部材 9 3を介して設けられている。 各 Y軸レーザ干渉 計 6 9 Yからの参照ビームはレチクル定盤 1 6に形成された貫通孔 (光路) 7 2を介して、 固定鏡 Mryに対してそれぞれ投射され、 それぞれの反射光が各 Y 軸レーザ干渉計 6 9 Y内に戻る。 そして、 各 Y軸レーザ干渉計 6 9 Yは、 前述 の X軸レーザ干渉計 6 9 Xと同様に、 測長ビームの反射光と参照ビームの反射 光との干渉光に基づいて、 それぞれの測長ビームの投射位置 (レトロリフレク タ 3 2 L 3 22の反射面の位置) におけるレチクルステージ本体 2 2の Y軸方 向の位置を、 固定鏡 Mryをそれぞれ基準として例えば 0 . 5〜 1 n m程度の分 解能でそれぞれ常時検出する。
この場合、 一対の Y軸レーザ干渉計 6 9 Yによって、 レチクルステージ R S Tの Z軸回りの回転量も検出することが可能となっている。
本実施形態では、 図 5に示されるように、 ミラー部 2 4 Bは、 Y軸リニアモ ータ 1 3 6 ]L, 1 3 62の外側に配置されている。 このため、 X軸レーザ干渉計 6 9 Xの測長軸が Y軸リニアモータ 1 3 6 ^ 1 3 62の固定子の上方を通過す ることがないことから、 Y軸リニアモータ 1 3 6 ι, 1 3 62の固定子を流れる 電流による発熱により、 Y軸リニアモータ 1 3 1 3 62近傍に空気揺らぎ が発生しても、 この空気揺らぎによる X軸レーザ干渉計 6 9 Xの計測値への影 響がないので、 レチクルステージ R S T、 ひいてはレチクル Rの X軸方向位置 を高精度で検出することが可能となる。 また、 この場合、 前述の如く、 X軸レ 一ザ干渉計 6 9 Xの測長ビームの光路の Z軸方向の位置は、 中立面 C Tの位置 に一致しており、 レチクル Rの載置面も中立面 C Tに一致しているので、 いわ ゆるアッベ誤差なく、 レチクルステージ R S丁、 ひいてはレチクル Rの)(軸方 向位置を精度良く計測することができる。 一対の Y軸レーザ干渉計 6 9 Yにお いても、 同様の理由により、 いわゆるアッベ誤差なく、 レチクルステージ R S T、 ひいてはレチクル Rの Y軸方向位置を精度良く計測することができるよう になっている。
また、上述の X軸レーザ干渉計 6 9 X及び一対の Y軸レーザ干渉計 6 9 Yは、 枠状部材 1 8の外部に配置されているので、 各干渉計を構成するプリズム等の 光学部材及びディテクタ等から仮に微量の吸収性ガスが発生しても、 これが露 光に対して悪影響を及ぼすことがないようになつている。
上述のように、 実際には、 移動鏡として、 ミラー部 2 4 B、 レトロリフレク タ 3 2 ^ 3 22の 3つが設けられ、 これに対応してレーザ干渉計も X軸レーザ 干渉計 6 9 Xと一対の Y軸レーザ干渉計 6 9 Yとが設けられているが、 図 1で はこれらが代表的にレチクル移動鏡 M m、 レチクル干渉計システム 6 9として 図示されている。 なお、 図 1では、 固定鏡 (固定鏡 Mrx、 固定鏡 Mry) は図示が 省略されている。
上記のレチクル干渉計システム 6 9からのレチクルステージ R S Tの位置情 報 (又は速度情報) は図 1のステージ制御系 9 0及びこれを介して主制御装置 7 0に送られ、 ステージ制御系 9 0では主制御装置 7 0からの指示に応じ、 レ チクルステージ R S Tの位置情報 (又は速度情報) に基づいてレチクルステー ジ R S Tの駆動を制御する。
図 1に戻り、 前記投影光学系ユニット P Lは、 鏡筒と、 該鏡筒に保持された 共通の Z軸方向の光軸を有する複数枚のレンズエレメントから成る投影光学系 (屈折光学系) とから構成されている。 投影光学系としては、 一例として両側 テレセントリックな縮小系が用いられている。この投影光学系ュニット P Lは、 実際には、 該投影光学系ュニッ卜 P Lの鏡筒部に設けられたフランジ部 F L G を介して、 不図示の保持部材によって保持されている。 この投影光学系ュニッ ト P Lを構成する投影光学系の投影倍率 は、 例えば 1 / 4あるいは 1 / 5で ある。 このため、 前述の如く、 照明ュニット I O Pからの照明光 I Lによリレ チクル Rが照明されると、 レチクル Rに形成された前述の照明領域内の回路パ ターンが投影光学系ュニット P Lによりウェハ W上の照明領域と共役な照明光
I しの照射領域 (露光領域) に縮小投影され、 回路パターンの縮小像 (部分等 立像) が転写形成される。
投影光学系ユニット P Lの鏡筒には、 給気管路 5 0の一端と、 排気管路 5 1 の一端とがそれぞれ接続されている。 給気管路 5 0の他端は、 不図示の低吸収 性ガスの供給装置、 例えばヘリウムガス供給装置に接続されている。 また、 排 気管路 5 1の他端は、 外部のガス回収装置に接続されている。 そして、 へリウ ムガス供給装置から高純度のヘリウムガスが給気管路 5 0を介して投影光学系 ユニット P Lの鏡筒の内部にフローされている。 この場合、 ヘリゥムガスがガ ス回収装置に回収されるようになっている。 なお、 低吸収性ガスとしてへリウ ムガスを用いているのは、 前述と同様の理由に加え、 投影光学系ユニット P L のレンズ材料として熱膨張係数の大きなホタル石が用いられていることから、 レンズが照明光 I Lを吸収することにより発生する温度上昇がレンズの結像特 性を劣化させることを考慮し、 冷却効果の大きな低吸収性ガスを用いることが 望ましいからである。
前記ウェハステージ W S Tは、 ウェハ室 8 0内に配置されている。 このゥェ ハ室 8 0は、 天井部の略中央部に円形開口 7 1 aが形成された箱状 (中空の直 方体状) の隔壁 7 1で形成されている。 この隔壁 7 1は、ステンレス (S U S ) 等の脱ガスの少ない材料で形成されている。 隔壁 7 1の天井部の開口 7 1 a内 に投影光学系ュニッ卜 P Lの鏡筒の下端部が挿入されている。 また、 隔壁 7 1 の天井壁の開口フ 1 aの周囲と投影光学系ュニット P Lのフランジ部 F L Gと の間は、 フレキシブルべローズ 9 7により隙間なく接続されている。 このよう にして、 ウェハ室 8 0の内部のガスが外部と隔離されている。
ウェハ室 8 0内には、 ステージベース B Sが 複数の防振ユニット 8 6を介 してほぼ水平に支持されている。 これらの防振ユニット 8 6は、 床面 Fからス テージベース B Sに伝達される微振動 (暗振動) を例えばマイクロ Gレベルで 絶縁する。 なお、 この防振ユニット 8 6として、 ステージベース B Sの一部に 取り付けられた半導体加速度計等の振動センサの出力に基づいてステージベー ス B Sを積極的に制振するいわゆるァクティブ防振装置を用いることも可能で ある。
前記ウェハステージ W S Tは、 ウェハホルダ 2 5を介してウェハ Wを真空吸 着等により保持し、 例えばリニアモータ等を含む不図示のウェハ駆動系によつ て前記ベース B Sの上面に沿って X Y 2次元方向に自在に駆動されるようにな つている。
本実施形態のように、 真空紫外域の露光波長を使用する露光装置では、 酸素 等の吸収性ガスによる露光光の吸収を避けるために、 投影光学系ュニット P L からウェハ Wまでの光路についても窒素や希ガスで置換する必要がある。
ウェハ室 8 0の隔壁 7 1には、 図 1に示されるように、 給気管路 4 1の一端 と、排気管路 4 3の一端とがそれぞれ接続されている。給気管路 4 1の他端は、 不図示の低吸収性ガスの供給装置、 例えばヘリゥムガス供給装置に接続されて いる。 また、 排気管路 4 3の他端は、 外部のガス回収装置に接続されている。 そして、 前述と同様にして、 ウェハ室 8 0内にヘリウムガスが常時フローされ ている。
ウェハ室 8 0の隔壁 7 1の一 Y側の側壁には光透過窓 8 5が設けられている c これと同様に、 図示は省略されているが、 隔壁 7 1の + X側 (図 1における紙 面手前側) の側壁にも光透過窓が設けられている。 これらの光透過窓は、 隔壁 7 1に形成された窓部 (開口部) に該窓部を閉塞する光透過部材、 ここでは一 般的な光学ガラスを取り付けることによって構成されている。 この場合、 光透 過窓 8 5を構成する光透過部材の取リ付け部分からのガス漏れが生じないよう に、 取り付け部には、 インジウムや銅等の金属シールや、 フッ素系樹脂による 封止(シーリング)が施されている。なお、上記フッ素系樹脂としては、 8 0 °C で 2時間、 加熱し、 脱ガス処理が施されたものを使うことが望ましい。
前記ウェハホルダ 2 5の一 Y側の端部には、 平面鏡から成る Y移動鏡 2 5 6 Yが X軸方向に延設されている。 この Y移動鏡 2 5 6 Yにほぼ垂直にウェハ室 8 0の外部に配置された Y軸レーザ干渉計 2 5 7 Yからの測長ビームが光透過 窓 8 5を介して投射され、 その反射光が光透過窓 8 5を介して Y軸レーザ干渉 計 2 5 7 Y内部のディテクタによって受光され、 Y軸レーザ干渉計 2 5 7 Y内 部の参照鏡の位置を基準として Y移動鏡 2 5 6 Yの位置、 すなわちウェハ Wの Y位置が検出される。
同様に、 図示は省略されているが、 ウェハホルダ 2 5の + X側の端部には、 平面鏡から成る X移動鏡が Y軸方向に延設されている。 そして、 この X移動鏡 を介して X軸レーザ干渉計によって上記と同様にして X移動鏡の位置、 すなわ ちウェハ Wの X位置が検出される。上記 2つのレーザ干渉計の検出値(計測値) はステージ制御系 9 0及びこれを介して主制御装置 7 0に供給されており、 ス テージ制御系 9 0では、 主制御装置 7 0の指示に基づき、 上記 2つのレーザ干 渉計の検出値をモニタしつつウェハ駆動系を介してウェハステージ W S Tの位 置制御を行うようになっている。
このように、 本実施形態では、 レーザ干渉計、 すなわちレーザ光源、 プリズ ム等の光学部材及びディテクタ等が、 ウェハ室 8 0の外部に配置されているの で、 上記ディテクタ等から仮に微量の吸収性ガスが発生しても、 これが露光に 対して悪影響を及ぼすことがないようになつている。
なお、 前述した投影光学系ュニット P Lの鏡筒に接続された給気管路 5 0の 他端、 及び排気管路 5 1の他端を不図示のヘリウムガス供給装置にそれぞれ接 続し、 ヘリウムガス供給装置から給気管路 5 0を介して常時高純度のヘリウム ガスを投影光学系ュニット P Lの鏡筒内に供給し、 該鏡筒内部のガスを排気管 路 5 1を介してヘリウムガス供給装置に戻し、 このようにして、 ヘリウムガス を循環使用する構成を採用しても良い。この場合、ヘリウムガス供給装置には、 ガス精製装置を内蔵することが望ましい。 このようにすると、 ガス精製装置の 作用により、 ヘリウムガス供給装置と投影光学系ュニット P L内部とを含む循 環経路によりヘリウムガスを長時間に渡つて循環使用しても、 投影光学系ュニ ット P L内のヘリウムガス以外の吸収性ガス (酸素、 水蒸気、 有機物等) の濃 度は数 p p m以下の濃度に維持できる。 また、 この場合、 投影光学系ユニット P L内に圧力センサ、 吸収性ガス濃度センサ等のセンサを設け、 該センサの計 測値に基づいて、 不図示の制御装置を介してヘリウムガス供給装置に内蔵され たポンプの作動、 停止を適宜制御することとしても良い。
同様に、 ウェハ室 8 0にも、 上記と同様のヘリウムガスの循環経路を採用し ても良い。
上述のようにして構成された本実施形態の露光装置 1 0によると、 半導体デ バイスの製造に際しては、 主制御装置 7 0の管理の下、 レチクルァライメン卜 系、 ウェハステージ W S T上の基準マーク板、 オファクシス 'ァライメント検 出系 (いずれも図示省略) 等を用いて、 レチクルァライメント、 ベースライン 計測 (ァライメント検出系の検出中心から投影光学系ュニット P Lの光軸との 距離の計測) 等の準備作業が所定の手順で行なわれる。
その後、 主制御装置 7 0により、 不図示のァライメント検出系を用いて E G A (ェンハンスト 'グロ一バル■ァライメント) 等のウェハァライメン卜計測 が実行される。そして、 ウェハァライメント計測の終了後、ステップ■アンド■ スキャン方式の露光動作が行なわれる。この露光動作は、通常のスキャニング' ステツパ (スキャナ) と同様なので、 詳細説明は省略するが、 走査露光に際し て、 主制御装置 7 0の指示に基づき、 ステージ制御系 9 0によりウェハステー ジ WS Tに対するレチクルステージ RS Tの追従制御が行われる際に、 レチク ルステージ RS Τの移動に伴う反力が、 枠状部材 1 8の移動によりキャンセル されている。 以下、 この点について説明する。
すなわち、 上記の追従制御の際に、 レチクルステージ RS Τが X軸方向に駆 動されると、 前述のボイスコイルモータ 30の可動子がレチクルステージ RS Τと一体で X軸方向に駆動され、 この駆動力の反力がボイスコイルモータ 30 の固定子 (電機子ュニッ 1 401; 1 02) 及び該固定子が固定された枠状 部材 1 8に作用することとなる。 この場合、 枠状部材 1 8は、 レチクル定盤 1 6及び照明系側プレート 1 4に対して所定のクリアランスを介して非接触とさ れているので、 前記反力の作用により、 枠状部材 1 8は、 運動量保存の法則に 従った距離だけその反力に応じた方向に移動する。 この枠状部材 1 8の移動に より、 前記反力が吸収される。 このとき、 レチクルステージ RS Tの Y軸方向 の位置によっては、 上記の X軸方向に駆動力の反力に起因するョーィングモー メン卜が枠状部材 1 8に作用することがある。 この場合、 枠状部材 1 8は、 そ のョーイングモーメント及び X軸方向の反力の作用によって、 運動量保存の法 則に従って反力を吸収するように 0 z回転を伴う自由運動をする。
一方、 レチクルステージ RS Tがウェハステージ WS Tとの同期をとるため に、 Y軸方向に駆動される際には、 Y軸リニアモータ 1 36 1 362、 1 3 8ι, 1 382の各可動子がレチクルステージ RS Tと一体で Y軸方向に駆動さ れ、 各可動子の駆動力の反力の合力が Y軸リニアモータ 1 36 1 362、 1 38ι, 1 382の各固定子及びこれらが固定された枠状部材 1 8に作用する。 この場合も、 上記反力の合力の作用により、 枠状部材 1 8は、 運動量保存の法 則に従って、 前記反力の合力を吸収する距離だけその反力の合力に応じた方向 に移動する。
また、 Y軸リニアモータ 1 36ι, 1 362と、 Y軸リニアモータ 1 38ι, 1 382とが発生する駆動力 (推力) を異ならせてレチクルステージ RS Tを 0 2回転させ、 その際、 ョーイングモーメントが枠状部材 1 8に作用することが あるが、 かかる場合にも、 枠状部材 1 8は、 そのョーイングモーメント及ぴ Y 軸方向の反力の作用によって、 運動量保存の法則に従つて反力を吸収するよう に 0 z回転を伴う自由運動をする。
また、 いずれの場合にも、 枠状部材 1 8及びレチクルステージ R S Tを含む 系の重心移動は生じないので、 レチクル定盤 1 6には偏荷重も作用しない。 従って、 本実施形態では、 レチクルステージ R S Tの駆動時に、 該レチクル ステージ R S Tの駆動に伴って生じる反力 (X軸方向及び Y軸方向の反力) 及 び該反力によって生じるョーイングモーメン卜を確実にキャンセルすることが 可能となり、 レチクルステージ R S Tの駆動に伴う振動を抑制することが可能 となる。 また、 上述の如く偏荷重の発生をも防止できるので、 これに起因する レチクル定盤 1 6の姿勢変化なども防止できる。
また、 本実施形態では、 上記の反力キャンセルのため、 レチクル定盤 1 6上 方を枠状部材 1 8が移動する際に、 その基準位置からのずれ量が許容値を超え ないように (すなわち、 例えば、 枠状部材 1 8の移動により、 ボイスコイルモ ータ 3 0の制御が不能となる、 あるいは、 枠状部材 1 8とレチクル定盤 1 6と の間の隙間を介して外気が枠状部材 1 8内部の気密空間内に混入するなどの事 態が発生しないように)、例えば露光に影響を与えない適宜なときに、主制御装 置 7 0がステージ制御系 9 0を介して前述の 3つのトリムモータを用いて枠状 部材 1 8を所定の基準位置に戻すようになつている。
以上詳細に説明したように、 本実施形態に係るレチクルステージ装置 1 2に よると、 図 1のガス供給装置 6 7から給気管 6 5 Aを介して供給される加圧気 体がレチクル定盤 1 6内の前述の供給経路 6 0 ( 6 0 B、 6 O A , 6 0 C及び 6 0 D ) を介してレチクル定盤 1 6に形成された細孔 6 6 a、 6 6 bから重力 方向上方、 重力方向下方にそれぞれ噴出され、 これらの加圧気体が、 レチクル ステージ駆動系によって駆動されるレチクルステージ R S Tの第 1凹部 5 6 a , 第 3凹部 5 6 cでそれぞれ受けられる。 そして、 レチクル定盤 1 6の細孔 6 6 aに対向するレチクルステージ R S Tの底面の第 1凹部 5 6 aで受けられた加 圧気体は、 細孔 5 8 a、 チューブ 1 6 1、 細孔 5 8 bを順次介して、 レチクル ステージ R S Tの底面の第 1凹部 5 6 aとは異なる位置に導かれ、 軸受部 5 7 からレチクル定盤 1 6に向けて噴出される。 これにより、 この軸受部 5 7から 噴出された加圧気体の静圧によリレチクルステージ R S Tがレチクル定盤 1 6 の上方に浮上支持される。
本実施形態では、 前述の如く、 軽量且つ高剛性の素材から成る、 小型のレチ クルステージ R S Tが用いられているが、 レチクル定盤 1 6の細孔 6 6 aから レチクルステージ R S Tの底面の第 1凹部 5 6 aに対して噴出された加圧気体 の圧力 (上向きの力) によリレチクルステージ R S Tが上方に持ち上げられる ことがない。 これは、 レチクルステージ R S Tに固定されたアングル部材 2 7 A、 2 7 Bに形成された第 3凹部 5 6 cには、 定盤の細孔 6 6 bから噴出され た加圧気体の圧力が下向きに作用しているので、 上記のレチクルステージ R S Tを上方に持ち上げようとする力がその下向きの力によって相殺されるように なっているからである。 この場合、 上記の上向きの力と下向きの力とのバラン スにより、 レチクルステージ R S Tの不要な浮き上がリを防止することができ るとともに、 良好なニューマチックハンマー安定性を確保することができる。 この結果、 軸受部 5 7の剛性を高くすることができる。 これにより、 小型軽量 のレチクルステージ R S Tを支障なく採用することができる。
この場合、 軸受部 5 7からレチクル定盤 1 6に噴出される加圧気体の静圧に よリレチクルステージ R S Tをレチクル定盤 1 6の上方にクリアランスを維持 した状態で非接触で浮上支持することが可能となっている。
従って、 本実施形態では、 移動体であるレチクルステージ R S Tに配管を接 続することなく、 レチクルステージ R S Tをレチクル定盤 1 6上に非接触で浮 上支持することができ、 レチクルステージ R S Tが配管を引きずることに起因 する、 レチクルステージ R S Tの位置制御精度 (位置決め精度を含む) の低下 を防止することができる。 この場合、 小型軽量のレチクルステージ R S Tを用 いることができるので この点においてもレチクルステージ R S Tの位置制御 性を向上させることができる。
また、 本実施形態に係るレチクルステージ装置 1 2では、 レチクルステージ R S Tの底面に形成された第 1凹部 5 6 aと第 2凹部 5 6 bとの間に、 大気開 放部 3 9が設けられているので、 第 1凹部 5 6 aと第 2凹部 5 6 bとの間の気 体の直接の流出入がなく、 前述した第 1、 第 2の支持装置の性能を一定に維持 することができる。
また、 レチクルステージ R S Tが配管を引きずった状態で移動することがな く、 レチクルステージ R S Tが等速運動を行う露光中においては、 等速運動を 保つのに必要な推力をほとんど必要としないため、 リニアモータの推カリップ ルその他の影響を受けなくなるという利点もある。
さらに、 本実施形態においては、 レチクルステージ R S Tを駆動するレチク ルステージ駆動系を構成するモータの全てがムービングマグネット型のリニア モータ又はボイスコイルモータとされているので、 軸受部 5 7に対する配管を 必要としないのに加えて、 レチクルステージ R S Tに接続される配線が皆無と なるので、 配管及び配線を引きずること等によるレチクルステージ R S Tの位 置制御性の低下を完全に回避することができる。
また、 本実施形態に係る露光装置 1 0によると、 上述の如く、 レチクルステ ージ R S Tの位置制御性を極めて良好に確保することができる結果、 走査露光 時 (同期移動時) のレチクルステージ R S Tとウェハステージ WS Tとの同期 精度の向上が可能となり、 結果的に、 レチクル Rに形成されたパターンとゥェ ハ W上の各ショット領域との重ね合わせが良好なパターンのウェハ W上への高 精度な転写が可能となる。
なお、 上記実施形態では、 第 1凹部 5 6 aと第 2凹部 5 6 bとを連通する通 気路として、 レチクルス亍ージ本体 2 2内に形成された通気管路と、 レチクル ステージ本体 2 2外に設けられたチューブ 1 6 1 とを組み合わせた構成を採用 することとしたが、 本発明がこれに限られるものではなく、 通気路の全てをレ チクルステージ本体 2 2内部に形成された通気管路とすることとしても良いし あるいは通気路の全てを第 1凹部 5 6 a、 第 2凹部 5 6 bとを連通するチュー ブとすることとしても良い。 要は、 第 1凹部 5 6 aと第 2凹部 5 6 bとを連通 するのであれば、 その構成は問わない。
また、 上記実施形態では、 軸受部 5 7を別部材にて構成し、 第 2凹部 5 6 b 内のレチクルステージ底面に埋め込む場合について説明したが、 本発明がこれ に限られるものではなく、 例えば、 軸受部 5 7をレチクルステージ本体 2 2の 底面に一体成形することとしても良い。
なお、 第 3凹部 5 6 cに供給された加圧気体をレチクルステージ本体 2 2の 浮上に用いることとしても良い。すなわち、例えば、図 1 0に示されるように、 第 3凹部 5 6 cに供給された加圧気体を軸受部 5 7に導くための通気管路 1 5 8を形成することとし、 第 3 ω部 5 6 cに対して噴き出された加圧気体を軸受 部 5 7から噴き出すようにすることができる。 これにより、 加圧気体を無駄な <利用することができるとともに、 レチクル近傍に加圧気体を漏出させること がなく、 効率が良い。
なお、 加圧気体を第 1凹部 5 6 aに供給する場合に発生する陽圧が比較的小 さい場合には、 アングル部材 2 7 A、 2 7 B及び第 3凹部 5 6 cを設けなくて も良い。
また、 ガイ ド部 1 6 c , 1 6 dには、 第 1の噴出口としての細孔 6 6 aが Y 軸方向に沿って複数形成されることとしても良く、 これに対応して第 2の噴出 口としての細孔 6 6 bについても、 Y軸方向に沿って複数形成されることとし ても良い。 この場合、 各細孔 6 6 a , 6 6 bと第 1凹部 5 6 a、 第 3凹部 5 6 cとが常時対向するように細孔の位置や凹部の Y軸方向長さを決定する必要が ある。
なお、 上記実施形態では、 第 1凹部 5 6 a及び第 3凹部 5 6 cに加圧気体を 供給するに際し、 1系統の供給経路から行うこととしたが、 本発明がこれに限 られるものではなく、 各凹部に対して別々に (2系統で) 加圧気体を供給する こととしても良い。
なお、 上記実施形態では、 第 2凹部 5 6 b内の気体を強制排気する場合につ いて説明したが、 例えば、 レチクルステージ周辺雰囲気と同一のガスを用いる 場合や、 ガスが漏れても、 露光精度に与える影響が少ない場合にあっては、 強 制排気を行わなくても良い。
なお、 レチクルホルダのレチクル保持力を高めることを目的として、 真空ポ ンプの真空吸引力をレチクル保持に利用することとすることができる。 すなわ ち、 図 1 0に示されるように、 レチクルステージ本体 2 2の段付き開口 2 2 a の段部に設けられたレチクルホルダ 3 4の上面に形成された凹所 3 4 aと、 第 2凹部 5 6 bとの間を連通する貫通経路 1 6 0を形成し、 真空ポンプの真空吸 引力をレチクルホルダ 3 4の上面に作用させることで、 レチクルの保持力を補 助することとすることができる。 これにより、 レチクル固定機構 3 7の固定力 を低く設定することができるので、 レチクル固定機構 3 7とレチクルホルダ 3 4との間の挟持によるレチクルの変形を低下させることが可能となり、 露光精 度の低下を抑制することが可能となっている。
また、 上記実施形態においては、 レチクルステージ R S Tを一体成形により 構成するものとしたが、 本発明がこれに限られるものではなく、 各部を別体に より構成することとしても良い。 また、 レチクルステージの形状についても上 記実施形態のレチクルステージの形状に限定されるものではなく、 種々の形状 を採用することができる。
なお、 上記実施形態では, 本発明に係るステージ装置が走査型の V U V露光 装置のレチクルステージ装置に適用された場合について説明したが、 これに限 らず、 本発明に係るステージ装置は, 投影光学系を用いることなくマスクと基 板とを密着させてマスクパターンを基板に転写するプロキシミ亍イタイプのァ ライナーのマスクステージ装置や 液晶用の一括転写方式の走査型露光装置な どのマスクステージ装置あるいはプレー卜ステージ装置などに好適に適用でき る。 この他、 E B P S方式の電子線露光装置、 波長 5〜3 0 n m程度の軟 X線 領域の光を露光光として用いるいわゆる E U V L等の露光装置にも本発明に係 るステージ装置は適用できる。
この他、 物体 (試料) が載置される移動体を所定の第 1軸方向に駆動可能で その第 1軸方向に直交する第 2軸方向及び回転方向にも微小駆動が必要な装置 であれば、 露光装置に限らず、 その他の精密機械などにも本発明に係るステー ジ装置は好適に適用できる。
なお、上記実施形態では、照明光 I Lとしては、 A r Fエキシマレーザ光(波 長 1 9 3 n m) あるいは F 2 レーザ光 (波長 1 5 7 n m) などの真空紫外光、 K r Fエキシマレーザ光 (波長 2 4 8 n m) などの遠紫外光、 超高圧水銀ラン プからの紫外域の輝線 (g線、 ί線等) を用いるもとしたが、 これに限らず、 A r 2 レーザ光 (波長 1 2 6 n m) などの他の真空紫外光を用いても良い。 ま た、 例えば、 真空紫外光として上記各レーザ光に限らず、 D F B半導体レーザ 又はファイバーレーザから発振される赤外域、 又は可視域の単一波長レーザ光 を、例えばエルビウム (E r ) (又はエルビウムとイッテルビウム (Y b ) の両 方) がド一プされたファイバーアンプで増幅し、 非線形光学結晶を用いて紫外 光に波長変換した高調波を用いても良い。 さらに、 照明光 I Lとして紫外光な どでなく、 X線 (E U V光を含む) 又は電子線やイオンビームなどの荷電粒子 線などを用いても良い。
また、 上記実施形態では、 投影光学系ュニッ ト Pしとして縮小系を用いる場 合について説明したが、 投影光学系は等倍系および拡大系のいずれでも良い。 また、 投影光学系としては、 照明光 I しとして、 例えば A r 2 レーザ光などの 真空紫外光を用いる場合などには、 例えぱ特開平 3— 2 8 2 5 2 7号公報及び これに対応する米国特許第 5, 2 2 0 , 4 5 4号などに開示されているような、 屈折光学素子と反射光学素子 (凹面鏡やビームスプリッタ等) とを組み合わせ たいわゆるカタディオプトリック系(反射屈折系)、あるいは反射光学素子のみ から成る反射光学系が主として用いられる。
なお、 上記実施形態では、 本発明が半導体製造用の露光装置に適用された場 合について説明したが、 これに限らず、 液晶表示素子などを含むディスプレイ の製造に用いられる、 デバイスパターンを角型のガラスプレー卜上に転写する 露光装置、 薄膜磁気へッドの製造に用いられるデバイスパターンをセラミック ウェハ上に転写する露光装置、 及び撮像素子 (C C Dなど)、 マイクロマシン、 有機 E L、 D N Aチップなどの製造に用いられる露光装置などにも本発明は広 く適用することができる。 また、 半導体素子などのマイクロデバイスだけでな く、 光露光装置、 E U V露光装置、 X線露光装置、 及び電子線露光装置などで 使用されるレチクル又はマスクを製造するために、 ガラス基板又はシリコンゥ ェハなどに回路パターンを転写する露光装置にも本発明を適用できる。ここで、 D U V (遠紫外) 光や V U V (真空紫外) 光などを用いる露光装置では一般的 に透過型レチクルが用いられ、 レチクル基板としては石英ガラス、 フッ素がド ープされた石英ガラス、 螢石、 フッ化マグネシウム、 又は水晶などが用いられ る。 また、 プロキシミティ方式の X線露光装置、 又は電子線露光装置などでは 透過型マスク (ステンシルマスク、 メンブレンマスク) が用いられ、 マスク基 板としてはシリコンウェハなどが用いられる。
なお、 例えば国際公開 WO 9 9ノ 4 9 5 0 4号などに開示される、 投影光学 系ュニット P Lとウェハとの間に液体が満たされる液浸露光装置に本発明を適 用しても良い。 液浸型露光装置は、 反射屈折型の投影光学系を用いる走査露光 方式でも良いし、 あるいは投影倍率が 1 Z 8の投影光学系を用いる静止露光方 式でも良い。 後者の液浸型露光装置では、 基板上に大きなパターンを形成する ために、 ステップ .アンド 'ス亍イッチ方式を採用することが好ましい。 さら に、 例えば特開平 1 0— 2 1 4 7 8 3号公報及び対応する米国特許第 6 , 3 4 1, 0 0 7号、 及び国際公開第 9 8 4 0 7 9 1号パンフレツト及ぴ対応する 米国特許第 6 , 2 6 2 , 7 9 6号などに開示されているように、 それぞれ独立 に可動な 2つのウェハステージを有する露光装置に本発明を適用しても良い。 なお、 複数のレンズから構成される照明光学系、 投影光学系を露光装置本体 に組み込み、 光学調整をするとともに、 多数の機械部品からなるレチクルステ ージゃウェハステージを露光装置本体に取り付けて配線や配管を接続し、 更に 総合調整 (電気調整、 動作確認等) をすることにより、 上記実施形態の露光装 置を製造することができる。 なお、 露光装置の製造は温度及びクリーン度等が 管理されたクリーンルームで行うことが望ましい。
半導体デバイスは、 デバイスの機能 '性能設計を行うステップ、 この設計ス テツプに基づいたレチクルを製作するステップ、 シリコン材料からウェハを製 作するステップ、 前述した実施形態の露光装置を用いて前述の方法によりレチ クルのパターンをウェハに転写するステップ、 デバイス組み立てステップ (ダ イシング工程、 ボンディング工程、パッケージ工程を含む)、検査ステップ等を 経て製造される。 産業上の利用可能性
以上説明したように、 本発明のステージ装置は、 ステージを所定方向に駆動 するのに適している。 また、 本発明の露光装置は、 マスクに形成されたパター ンを投影光学系を介して感光物体上に転写するのに適している。 また、 本発明 のデバイス製造方法は、 マイクロデバイスの製造に適している。

Claims

請 求 の 範 囲
1 . 外部から供給される加圧気体を重力方向上方、 重力方向下方にそれぞれ 噴出する第 1の噴出口、 第 2の噴出口がそれぞれ設けられた定盤と ;
前記定盤の前記第 1の噴出口に対向する一側の面に所定の一軸方向に沿って 形成され前記第 1の噴出口から噴出される前記加圧気体を受ける第 1の受け部 と、 該第 1の受け部で受けた加圧気体を前記一側の面の前記第 1の受け部とは 異なる位置に導く第 1通気路と、 前記一側の面に設けられ前記第 1通気路によ リ導かれた前記加圧気体を前記定盤に向けて噴出する軸受部と、 前記定盤の前 記第 2の噴出口から噴出される前記加圧気体を受ける第 2の受け部とを有する ステージと;
前記ステージを、 少なくとも前記一軸方向に駆動する駆動装置と ; を備える ステージ装置。
2 . 請求項 1に記載のステージ装置において、
前記第 1の噴出口と前記第 2の噴出口とは、 相互に対応する位置に設けられ ていることを特徴とするステージ装置。
3 . 請求項 1に記載のステージ装置において、
前記第 1通気路は、 前記ステージ内に少なくとも一部が形成されていること を特徴とするステージ装置。
4. 請求項 1に記載のステージ装置において、
前記軸受部は、 ステージの一部を加工して形成されていることを特徴とする ステージ装置。
5 . 請求項 1に記載のステージ装置 (こおいて、
前記軸受部は、 前記ステージの前記底面に埋め込まれた前記ステージとは别 体の気体静圧軸受であることを特徴とするステージ装置。
6 . 請求項 1に記載のステージ装置において、
前記軸受部と前記第 1の受け部との間には大気開放部が形成されていること を特徴とするステージ装置。
7 . 請求項 1に記載のステージ装置において、
前記定盤の内部には、 外部から供給された加圧気体を前記第 1の噴出口及び 第 2の噴出口に共通に供給する供給経路が形成されていることを特徴とするス テージ装置。
8 . 請求項 1に記載のステージ装置において、
前記定盤の内部には、 外部から供給された加圧気体を前記第 1の噴出口及び 第 2の噴出口に別々に供給する 2系統の供給経路が形成されていることを特徴 とするステージ装置。
9 . 請求項 1に記載のステージ装置において、
前記ステージは、 前記第 2の受け部で受けた加圧気体を前記第 1通気路及び 前記軸受部の少なくとも一方に導く第 2通気路を更に有することを特徴とする ステージ装置。
1 0 . 請求項 1に記載のステージ装置において、
前記定盤の内部には、 前記軸受部の周囲の気体を外部に強制排気するための 排気経路が形成されていることを特徴とするステージ装置。
1 1 . 請求項 1 0に記載のステージ装置において
前記ステージは、 物体が載置される戴置部を有し、 該載置部には前記物体を 吸引する吸引孔が形成され、 該吸引孔は、 前記軸受部の周囲に連通状態とされ ていることを特徴とするステージ装置。
1 2 . 請求項 1に記載のステージ装置において、
前記定盤には、前記第 1の噴出口が上面に複数形成され、前記ステージには、 前記複数の第 1の噴出口から噴出される前記加圧気体を受けることができるよ うな形状又は配置で前記第 1の受け部が設けられていることを特徴とするス亍 —ジ装置。
1 3 . 請求項 1に記載のステージ装置において、
前記定盤は、 セラミックス製の定盤及び表面にセラミックスが溶射された石 製の定盤のいずれかであることを特徴とするステージ装置。
1 4 . 請求項 1に記載のステージ装置において、
前記駆動装置は、 複数のモータを含み、 該全てのモータがムービングマグネ ット型のリニァモータ又はボイスコイルモータのいずれかであることを特徴と するステージ装置。
1 5 . マスクと感光物体とを同期移動して前記マスクに形成されたパターン を前記感光物体に転写する露光装置であって、
前記マスク及び前記感光物体の少なくとも一方の駆動装置として請求項 1〜 1 4のいずれか一項に記載のステージ装置を備えることを特徴とする露光装置 c
1 6 . リソグラフイエ程を含むデバイス製造方法であって、 前記リソグラフイエ程において、 請求項 1 5に記載の露光装置を用いてマス クに形成されたパターンを感光物体に転写することを特徴とするデバイス製造 方法。
PCT/JP2004/006594 2003-05-12 2004-05-11 ステージ装置及び露光装置、並びにデバイス製造方法 WO2004100237A1 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2005506056A JPWO2004100237A1 (ja) 2003-05-12 2004-05-11 ステージ装置及び露光装置、並びにデバイス製造方法

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2003-132454 2003-05-12
JP2003132454 2003-05-12

Publications (1)

Publication Number Publication Date
WO2004100237A1 true WO2004100237A1 (ja) 2004-11-18

Family

ID=33432165

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2004/006594 WO2004100237A1 (ja) 2003-05-12 2004-05-11 ステージ装置及び露光装置、並びにデバイス製造方法

Country Status (3)

Country Link
JP (1) JPWO2004100237A1 (ja)
TW (1) TWI338912B (ja)
WO (1) WO2004100237A1 (ja)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2010147245A2 (en) 2009-06-19 2010-12-23 Nikon Corporation Exposure apparatus and device manufacturing method
WO2010147244A2 (en) 2009-06-19 2010-12-23 Nikon Corporation Exposure apparatus and device manufacturing method
WO2010147241A2 (en) 2009-06-19 2010-12-23 Nikon Corporation Exposure apparatus and device manufacturing method
WO2010147243A2 (en) 2009-06-19 2010-12-23 Nikon Corporation Exposure apparatus, exposure method and device manufacturing method
US8355114B2 (en) 2009-06-19 2013-01-15 Nikon Corporation Exposure apparatus and device manufacturing method
US8472008B2 (en) 2009-06-19 2013-06-25 Nikon Corporation Movable body apparatus, exposure apparatus and device manufacturing method
JP2015179295A (ja) * 2009-08-07 2015-10-08 株式会社ニコン 露光装置及びデバイス製造方法
CN108730341A (zh) * 2018-07-26 2018-11-02 中国工程物理研究院机械制造工艺研究所 一种基于气压控制的联锁结构及联锁方法

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102007063383B4 (de) * 2007-12-18 2020-07-02 HAP Handhabungs-, Automatisierungs- und Präzisionstechnik GmbH Vorrichtung und Verfahren zur Entfernung von Pelliclen von Masken
TWI464434B (zh) * 2013-05-15 2014-12-11 Upi Semiconductor Corp 自動測試裝置及其自動測試方法

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6193623U (ja) * 1984-11-26 1986-06-17
JPH0569256A (ja) * 1991-09-12 1993-03-23 Minolta Camera Co Ltd 多軸エアスライドテ−ブル
JPH07103235A (ja) * 1993-10-07 1995-04-18 Nippon Seiko Kk 静圧直動気体軸受
JP2001020951A (ja) * 1999-07-07 2001-01-23 Toto Ltd 静圧気体軸受
JP2001258272A (ja) * 2000-03-10 2001-09-21 Toto Ltd 静圧気体軸受ユニット
JP2002082445A (ja) * 2000-07-07 2002-03-22 Nikon Corp ステージ装置及び露光装置
JP2002217082A (ja) * 2001-01-12 2002-08-02 Nikon Corp ステージ装置及び露光装置

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6193623U (ja) * 1984-11-26 1986-06-17
JPH0569256A (ja) * 1991-09-12 1993-03-23 Minolta Camera Co Ltd 多軸エアスライドテ−ブル
JPH07103235A (ja) * 1993-10-07 1995-04-18 Nippon Seiko Kk 静圧直動気体軸受
JP2001020951A (ja) * 1999-07-07 2001-01-23 Toto Ltd 静圧気体軸受
JP2001258272A (ja) * 2000-03-10 2001-09-21 Toto Ltd 静圧気体軸受ユニット
JP2002082445A (ja) * 2000-07-07 2002-03-22 Nikon Corp ステージ装置及び露光装置
JP2002217082A (ja) * 2001-01-12 2002-08-02 Nikon Corp ステージ装置及び露光装置

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8355114B2 (en) 2009-06-19 2013-01-15 Nikon Corporation Exposure apparatus and device manufacturing method
WO2010147244A2 (en) 2009-06-19 2010-12-23 Nikon Corporation Exposure apparatus and device manufacturing method
WO2010147241A2 (en) 2009-06-19 2010-12-23 Nikon Corporation Exposure apparatus and device manufacturing method
WO2010147243A2 (en) 2009-06-19 2010-12-23 Nikon Corporation Exposure apparatus, exposure method and device manufacturing method
US8294878B2 (en) 2009-06-19 2012-10-23 Nikon Corporation Exposure apparatus and device manufacturing method
US8355116B2 (en) 2009-06-19 2013-01-15 Nikon Corporation Exposure apparatus and device manufacturing method
WO2010147245A2 (en) 2009-06-19 2010-12-23 Nikon Corporation Exposure apparatus and device manufacturing method
US8446569B2 (en) 2009-06-19 2013-05-21 Nikon Corporation Exposure apparatus, exposure method and device manufacturing method
US8472008B2 (en) 2009-06-19 2013-06-25 Nikon Corporation Movable body apparatus, exposure apparatus and device manufacturing method
EP3657258A1 (en) 2009-06-19 2020-05-27 Nikon Corporation Stage device
EP3686675A1 (en) 2009-06-19 2020-07-29 Nikon Corporation Exposure apparatus and device manufacturing method
JP2015179295A (ja) * 2009-08-07 2015-10-08 株式会社ニコン 露光装置及びデバイス製造方法
CN108730341A (zh) * 2018-07-26 2018-11-02 中国工程物理研究院机械制造工艺研究所 一种基于气压控制的联锁结构及联锁方法

Also Published As

Publication number Publication date
TW200501227A (en) 2005-01-01
JPWO2004100237A1 (ja) 2006-07-13
TWI338912B (en) 2011-03-11

Similar Documents

Publication Publication Date Title
US10120288B2 (en) Stage device, exposure apparatus, and method of manufacturing devices
TWI454859B (zh) 移動體裝置、曝光裝置與曝光方法以及元件製造方法
US8325326B2 (en) Stage unit, exposure apparatus, and exposure method
JP4362862B2 (ja) ステージ装置及び露光装置
US6816232B2 (en) Support device and manufacturing method thereof, stage device, and exposure apparatus
JP2006253572A (ja) ステージ装置、露光装置、及びデバイス製造方法
WO2004100237A1 (ja) ステージ装置及び露光装置、並びにデバイス製造方法
CN100472713C (zh) 曝光设备和装置制造方法
JP2006303312A (ja) ステージ装置及び露光装置
JP2004349285A (ja) ステージ装置及び露光装置、並びにデバイス製造方法
JP4626753B2 (ja) ステージ装置及び露光装置
WO2005036618A1 (ja) ステージ装置及び露光装置
WO2003054936A1 (fr) Procede de purge de gaz et systeme d&#39;exposition, ainsi que procede de production de dispositifs
JP2005101136A (ja) ステージ装置及び露光装置
JP2004079630A (ja) 支持装置とその製造方法およびステージ装置並びに露光装置
JP2004071818A (ja) 支持装置およびステージ装置並びに露光装置
JP2010182788A (ja) ステージ装置及び露光装置
JP2004080876A (ja) ステージ装置及び露光装置
JP2006135086A (ja) 露光装置及びデバイス製造方法

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BW BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE EG ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NA NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SY TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): GM KE LS MW MZ NA SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 2005506056

Country of ref document: JP

122 Ep: pct application non-entry in european phase