WO2002046489A1 - Procede de nettoyage integre in-situ et depot subsequent de couches atomiques dans une seule et meme chambre de traitement - Google Patents
Procede de nettoyage integre in-situ et depot subsequent de couches atomiques dans une seule et meme chambre de traitement Download PDFInfo
- Publication number
- WO2002046489A1 WO2002046489A1 PCT/US2001/044300 US0144300W WO0246489A1 WO 2002046489 A1 WO2002046489 A1 WO 2002046489A1 US 0144300 W US0144300 W US 0144300W WO 0246489 A1 WO0246489 A1 WO 0246489A1
- Authority
- WO
- WIPO (PCT)
- Prior art keywords
- substrate
- chamber
- feed gas
- sequential method
- deposition
- Prior art date
Links
- 238000000034 method Methods 0.000 title claims abstract description 63
- 238000011065 in-situ storage Methods 0.000 title claims abstract description 32
- 238000000231 atomic layer deposition Methods 0.000 title claims abstract description 23
- 238000004140 cleaning Methods 0.000 title claims description 49
- 238000012545 processing Methods 0.000 title description 9
- 239000000758 substrate Substances 0.000 claims abstract description 63
- 150000002500 ions Chemical class 0.000 claims abstract description 56
- 230000004048 modification Effects 0.000 claims abstract description 18
- 238000012986 modification Methods 0.000 claims abstract description 18
- 239000000356 contaminant Substances 0.000 claims abstract description 16
- 239000010409 thin film Substances 0.000 claims abstract description 11
- 238000000151 deposition Methods 0.000 claims description 62
- 230000008021 deposition Effects 0.000 claims description 61
- 239000010408 film Substances 0.000 claims description 27
- 239000010949 copper Substances 0.000 claims description 26
- 229910052802 copper Inorganic materials 0.000 claims description 24
- 239000000463 material Substances 0.000 claims description 24
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 claims description 22
- 239000002243 precursor Substances 0.000 claims description 21
- 230000004888 barrier function Effects 0.000 claims description 14
- 230000004907 flux Effects 0.000 claims description 13
- 238000004381 surface treatment Methods 0.000 claims description 13
- 239000000376 reactant Substances 0.000 claims description 11
- 239000012535 impurity Substances 0.000 claims description 10
- 238000011109 contamination Methods 0.000 claims description 5
- 239000010410 layer Substances 0.000 claims 4
- 239000002099 adlayer Substances 0.000 claims 2
- 229910044991 metal oxide Inorganic materials 0.000 claims 2
- 150000004706 metal oxides Chemical class 0.000 claims 2
- 239000002356 single layer Substances 0.000 claims 2
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 36
- 230000008569 process Effects 0.000 description 28
- 229910052786 argon Inorganic materials 0.000 description 25
- 229910052751 metal Inorganic materials 0.000 description 18
- 239000002184 metal Substances 0.000 description 18
- YZCKVEUIGOORGS-UHFFFAOYSA-N Hydrogen atom Chemical compound [H] YZCKVEUIGOORGS-UHFFFAOYSA-N 0.000 description 15
- -1 argon ions Chemical class 0.000 description 15
- 229910052739 hydrogen Inorganic materials 0.000 description 12
- 239000001257 hydrogen Substances 0.000 description 12
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 11
- 238000004544 sputter deposition Methods 0.000 description 11
- 239000007789 gas Substances 0.000 description 10
- 229910052736 halogen Inorganic materials 0.000 description 10
- 150000002367 halogens Chemical class 0.000 description 10
- 229910052731 fluorine Inorganic materials 0.000 description 8
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 description 7
- 239000011737 fluorine Substances 0.000 description 7
- 238000001465 metallisation Methods 0.000 description 7
- QPLDLSVMHZLSFG-UHFFFAOYSA-N Copper oxide Chemical compound [Cu]=O QPLDLSVMHZLSFG-UHFFFAOYSA-N 0.000 description 6
- 239000005751 Copper oxide Substances 0.000 description 6
- 230000002411 adverse Effects 0.000 description 6
- 239000006227 byproduct Substances 0.000 description 6
- 229910000431 copper oxide Inorganic materials 0.000 description 6
- 238000012546 transfer Methods 0.000 description 6
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 5
- 229910004156 TaNx Inorganic materials 0.000 description 5
- 238000009792 diffusion process Methods 0.000 description 5
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 4
- 230000015572 biosynthetic process Effects 0.000 description 4
- 239000004020 conductor Substances 0.000 description 4
- 230000003247 decreasing effect Effects 0.000 description 4
- 238000005530 etching Methods 0.000 description 4
- 239000001301 oxygen Substances 0.000 description 4
- 229910052760 oxygen Inorganic materials 0.000 description 4
- 229910052719 titanium Inorganic materials 0.000 description 4
- 239000010936 titanium Substances 0.000 description 4
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 3
- 229910052782 aluminium Inorganic materials 0.000 description 3
- 238000009713 electroplating Methods 0.000 description 3
- 229910052710 silicon Inorganic materials 0.000 description 3
- 239000010703 silicon Substances 0.000 description 3
- 229910052715 tantalum Inorganic materials 0.000 description 3
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Chemical compound O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 3
- KRHYYFGTRYWZRS-UHFFFAOYSA-N Fluorane Chemical compound F KRHYYFGTRYWZRS-UHFFFAOYSA-N 0.000 description 2
- 229910004537 TaCl5 Inorganic materials 0.000 description 2
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 2
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 2
- 230000008901 benefit Effects 0.000 description 2
- 229910052799 carbon Inorganic materials 0.000 description 2
- 230000008859 change Effects 0.000 description 2
- 239000003638 chemical reducing agent Substances 0.000 description 2
- 230000032798 delamination Effects 0.000 description 2
- 238000010494 dissociation reaction Methods 0.000 description 2
- 230000005593 dissociations Effects 0.000 description 2
- 238000009826 distribution Methods 0.000 description 2
- 230000009977 dual effect Effects 0.000 description 2
- 230000000694 effects Effects 0.000 description 2
- 125000004435 hydrogen atom Chemical group [H]* 0.000 description 2
- 239000012212 insulator Substances 0.000 description 2
- 125000002496 methyl group Chemical group [H]C([H])([H])* 0.000 description 2
- 239000000203 mixture Substances 0.000 description 2
- NJPPVKZQTLUDBO-UHFFFAOYSA-N novaluron Chemical compound C1=C(Cl)C(OC(F)(F)C(OC(F)(F)F)F)=CC=C1NC(=O)NC(=O)C1=C(F)C=CC=C1F NJPPVKZQTLUDBO-UHFFFAOYSA-N 0.000 description 2
- 230000003647 oxidation Effects 0.000 description 2
- 238000007254 oxidation reaction Methods 0.000 description 2
- 238000001020 plasma etching Methods 0.000 description 2
- 239000004065 semiconductor Substances 0.000 description 2
- 239000000126 substance Substances 0.000 description 2
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 2
- OEIMLTQPLAGXMX-UHFFFAOYSA-I tantalum(v) chloride Chemical compound Cl[Ta](Cl)(Cl)(Cl)Cl OEIMLTQPLAGXMX-UHFFFAOYSA-I 0.000 description 2
- 238000003631 wet chemical etching Methods 0.000 description 2
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 1
- 239000004809 Teflon Substances 0.000 description 1
- 229920006362 Teflon® Polymers 0.000 description 1
- 229910003074 TiCl4 Inorganic materials 0.000 description 1
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 1
- 230000004913 activation Effects 0.000 description 1
- 125000004429 atom Chemical group 0.000 description 1
- 150000001721 carbon Chemical class 0.000 description 1
- 238000003486 chemical etching Methods 0.000 description 1
- 238000006243 chemical reaction Methods 0.000 description 1
- 229910052801 chlorine Inorganic materials 0.000 description 1
- 230000003749 cleanliness Effects 0.000 description 1
- 230000008878 coupling Effects 0.000 description 1
- 238000010168 coupling process Methods 0.000 description 1
- 238000005859 coupling reaction Methods 0.000 description 1
- 238000005336 cracking Methods 0.000 description 1
- 239000013078 crystal Substances 0.000 description 1
- 238000013500 data storage Methods 0.000 description 1
- 238000005137 deposition process Methods 0.000 description 1
- 238000013461 design Methods 0.000 description 1
- 239000003989 dielectric material Substances 0.000 description 1
- 239000003292 glue Substances 0.000 description 1
- 125000002887 hydroxy group Chemical group [H]O* 0.000 description 1
- 230000010354 integration Effects 0.000 description 1
- 238000010849 ion bombardment Methods 0.000 description 1
- 238000004519 manufacturing process Methods 0.000 description 1
- 150000002736 metal compounds Chemical class 0.000 description 1
- 150000002739 metals Chemical class 0.000 description 1
- QLOAVXSYZAJECW-UHFFFAOYSA-N methane;molecular fluorine Chemical compound C.FF QLOAVXSYZAJECW-UHFFFAOYSA-N 0.000 description 1
- 239000011368 organic material Substances 0.000 description 1
- 238000002161 passivation Methods 0.000 description 1
- 230000000737 periodic effect Effects 0.000 description 1
- 231100000572 poisoning Toxicity 0.000 description 1
- 230000000607 poisoning effect Effects 0.000 description 1
- 229920001343 polytetrafluoroethylene Polymers 0.000 description 1
- 239000004810 polytetrafluoroethylene Substances 0.000 description 1
- 238000002360 preparation method Methods 0.000 description 1
- 235000012239 silicon dioxide Nutrition 0.000 description 1
- 239000000377 silicon dioxide Substances 0.000 description 1
- LIVNPJMFVYWSIS-UHFFFAOYSA-N silicon monoxide Chemical class [Si-]#[O+] LIVNPJMFVYWSIS-UHFFFAOYSA-N 0.000 description 1
- 229910052814 silicon oxide Inorganic materials 0.000 description 1
- 238000006557 surface reaction Methods 0.000 description 1
- 230000001360 synchronised effect Effects 0.000 description 1
- GCPVYIPZZUPXPB-UHFFFAOYSA-I tantalum(v) bromide Chemical compound Br[Ta](Br)(Br)(Br)Br GCPVYIPZZUPXPB-UHFFFAOYSA-I 0.000 description 1
- 238000007736 thin film deposition technique Methods 0.000 description 1
- XJDNKRIXUMDJCW-UHFFFAOYSA-J titanium tetrachloride Chemical compound Cl[Ti](Cl)(Cl)Cl XJDNKRIXUMDJCW-UHFFFAOYSA-J 0.000 description 1
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 1
- 229910052721 tungsten Inorganic materials 0.000 description 1
- 239000010937 tungsten Substances 0.000 description 1
- 229910052720 vanadium Inorganic materials 0.000 description 1
- 239000011800 void material Substances 0.000 description 1
- 238000001039 wet etching Methods 0.000 description 1
Classifications
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/02—Pretreatment of the material to be coated
- C23C16/0227—Pretreatment of the material to be coated by cleaning or etching
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45527—Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
- C23C16/45536—Use of plasma, radiation or electromagnetic fields
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45527—Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
- C23C16/45536—Use of plasma, radiation or electromagnetic fields
- C23C16/45542—Plasma being used non-continuously during the ALD reactions
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45544—Atomic layer deposition [ALD] characterized by the apparatus
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/46—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/46—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
- C23C16/463—Cooling of the substrate
- C23C16/466—Cooling of the substrate using thermal contact gas
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/48—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
- C23C16/481—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation by radiant heating of the substrate
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/50—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
- C23C16/511—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using microwave discharges
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/3244—Gas supply means
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/3244—Gas supply means
- H01J37/32449—Gas control, e.g. control of the gas flow
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32798—Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
- H01J37/32853—Hygiene
- H01J37/32862—In situ cleaning of vessels and/or internal parts
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/283—Deposition of conductive or insulating materials for electrodes conducting electric current
- H01L21/285—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
- H01L21/28506—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
- H01L21/28512—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
- H01L21/28556—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
- H01L21/28562—Selective deposition
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76814—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
Definitions
- the present invention relates generally to the field of advanced thin film deposition methods commonly used in the semiconductor, data storage, flat panel
- the present invention relates to an in-situ preclean apparatus and technique suitable for cleaning and
- MII-ALD modulated ion-induced atomic layer deposition
- IC manufacturing is a layer-by-layer process utilizing a series of deposition and etching steps. Lines ("trenches”) and/ or
- vias are used to connect one portion of a circuit to another portion, or one layer to another layer.
- Al alurninum
- a blanket Al film is patterned by means of etch removal processes into metal lines and subsequently isolated using dielectric gap fill processes.
- the dielectric serves as an insulator.
- a metal conductor e.g., tungsten
- trenches and vias can be
- single damascene patterned and filled with metal separately (referred to as “single damascene") or concurrently (referred to as “dual damascene”).
- oxides e.g., silicon dioxide, silicon nitride, silicon nitride, silicon nitride, silicon nitride, silicon nitride, silicon nitride, silicon nitride, silicon nitride, silicon nitride, silicon nitride, silicon nitride, silicon nitride, silicon nitride, silicon nitride, silicon nitride, silicon nitride, silicon nitride, silicon nitride, silicon nitride, silicon nitride, silicon nitride, silicon nitride, silicon nitride, silicon nitride, silicon nitride, silicon nitride, silicon nitride, silicon nitride, silicon nitride, silicon nitride, silicon nitride, silicon nitride, silicon nitride, silicon nitride, silicon nitrid
- oxides of Al, Cu, or Si and residue (e.g., carbonaceous residue from the resist mask used during the etching process) cause poor electrical connection (i.e., high via or
- the aspect ratio (defined as the via depth to diameter
- Argon plasma etching is essentially a physical sputtering process whereby energetic argon ions (Ar + ) are used to sputter (i.e., physically knock-off) unwanted material such as oxides, carbonaceous residue, and other impurities.
- Argon sputtering is more efficient at cleaning the bottoms of vias than wet chemical etching.
- aspect ratios are high (e.g., greater than 4:1)
- unwanted material sputtered from the bottoms of vias can redeposit onto the via sidewalls. This effect will lead to poor mechanical adhesion between subsequently deposited metal film(s) and the contaminated dielectric via sidewalls.
- a reactive preclean (U.S. Patent No. 6,110,836) has been proposed to solve the aforementioned issues with pure argon sputtering.
- a halogen or hydrogen containing plasma is used to create a process dominated by chemical etching instead of physical sputtering.
- the halogen or hydrogen containing species reacts readily with oxides to form oxygen-containing byproducts, which can then be pumped away.
- the substrate Following the cleaning process, whether it be wet chemical, argon sputtering, or reactive preclean, the substrate must not be exposed to any oxygen or impurity-containing ambients (e.g., C, Cl, F, etc.). If such exposure does occur, then the undesired native oxide and /or contamination will again form on the freshly cleaned substrate interfaces thus defeating the purpose of the preceding step as a preparation for subsequent deposition. Yet this is often the case when such cleaning methods and deposition processes are employed on separate machines or tools (e.g., in the case of wet cleaning) or at separate stations on the same cluster tool (e.g., in the case of argon sputtering or reactive preclean) with wafer transfers occurring between steps.
- any oxygen or impurity-containing ambients e.g., C, Cl, F, etc.
- low-k low dielectric constant
- dielectric constant is desired because it reduces cross-talk between closely spaced signal
- low-k dielectric films exhibit weaker adhesion properties than
- fluorine-doped Si0 2 suffers from poor
- a diffusion liner material is deposited into trenches and vias prior to copper seed layer
- the diffusion layer serves to prevent copper diffusion and also acts as a
- the integrated in-situ cleaning method should be able to clean and treat the bottoms and sidewalls of high aspect ratio vias and trenches without redeposition or significant change in feature profiles.
- the present invention relates to an in-situ preclean apparatus and technique suitable for cleaning and treatment of high aspect ratio features prior to the deposition of conductive, semi-conductive, and non-conductive thin films.
- Surface contaminants to be removed may be in the form of organic materials, physical particulate contamination, oxides, or any unwanted material deposited, grown, or otherwise formed on the surface of the substrate.
- Surface treatment may be in the form of passivation (or removal) of weakly bonded surface species and unsatisfied surface bonding sites, and/ or modification in texture, crystallographic orientation, morphology, or adhesion properties of the underlying film relative to the to-be deposited overlying film(s).
- the present invention employs a halogen containing, or preferably hydrogen containing, radical and argon ions to clean and treat the bottoms and sidewalls of high aspect ratio features without redeposition of the removed contaminants or adverse changes in feature profiles.
- the method is in- situ, without air break, without substrate transfer under vacuum, and without significant change in hardware by utilizing the apparatus outlined in copending Application No. 09/812,352 titled “System and Method for Modulated Ion- induced Atomic Layer Deposition (MII-ALD)", filed March 19, 2001 (filed provisionally on December 6, 2000 as Application No. 60/254,280) referred to herein as the "MII-ALD application” and which is hereby incorporated by reference in its entirety.
- MII-ALD System and Method for Modulated Ion- induced Atomic Layer Deposition
- the cleaning and surface treatment step is performed with either a halogen or hydrogen containing radical alone or preferably with simultaneous halogen or hydrogen containing radical and low energy ion bombardment of the substrate.
- An integrated in-situ, modulated ion-induced atomic layer deposition step (or series of deposition steps) can immediately follow with the application of a suitable precursor containing the principal element(s) desired in the end film (or tailored film stack of varying compositions or materials).
- the cleaning /surface treatment step may be performed periodically in between individual layers of either the same film or of films of varying composition or materials to enhance overall stack performance.
- Modulation of the cleaning/surface treatment and the deposition steps can be controlled via modulation of at least one of the following parameters: 1) the precursor flux, 2) the halogen or hydrogen containing radical flux, 3) the ion flux; or, 4) the ion energy.
- the cleaning /surface treatment and subsequent deposition steps can be performed at low substrate temperatures (i.e., generally less than or
- FIG. 1 is a deposition system suitable for modulated ion-induced atomic layer deposition (MII-ALD).
- MII-ALD modulated ion-induced atomic layer deposition
- FIG. 2A is a typical sequential modulated ion-induced atomic layer deposition (MII-ALD) process sequence.
- FIG. 2B is an alternative typical sequential modulated ion-induced atomic layer deposition (MII-ALD) process sequence.
- MII-ALD sequential modulated ion-induced atomic layer deposition
- FIG. 2C is a typical non-sequential (continuous) modulated ion- induced atomic layer deposition (MII-ALD) process sequence.
- FIG. 3A is a high aspect ratio via containing an oxidized copper underlayer prior to preclean.
- FIG. 3B is a high aspect ratio via showing sidewall redeposition of the sputtered copper oxide and tapering of via corners.
- FIG. 3C is a high aspect ratio via showing the present invention where the copper oxide has been removed without sidewall redeposition and without adverse tapering of the via corners.
- FIG. 4A is a schematic of the present invention illustrating a wafer processing system comprised of integrated in-situ cleaning and deposition chamber(s).
- FIG. 4B is a schematic of the prior art illustrating a wafer processing system with separate cleaning and deposition chambers. Detailed Description of the Preferred Embodiments
- FIG. 1 illustrates an apparatus suitable for in-situ preclean/ surface
- the MII-ALD process should be preceded by a
- Radio frequency (RF) (e.g., 400 KHz, 2 MHz, 13.56 MHz, 20 MHz,
- microwave power 160 e.g., 2.45 GHz or higher frequencies
- microwave power 160 e.g., 2.45 GHz or higher frequencies
- a plasma 172 to form argon ions 177 and halogen or hydrogen containing radicals 176 (i.e., second precursor or reactant) via dissociation of the feed gases 130, 110.
- Atomic hydrogen or halogen-containing radicals 176 will react with surface contaminants such as oxides to form oxygen containing gaseous byproducts, which can then easily be pumped 184 away.
- atomic hydrogen 176 can be used to remove carbonaceous impurities via the formation of gaseous CH X species, which can then easily be pumped 184 away.
- microwave power 160 is coupled to the plasma source chamber 170 via a waveguide, coaxial cable, or other suitable means with a suitable impedance matching device 150.
- Microwave energy can be more efficiently transferred to ionizing electrons, leading to improved ion 177 and radical 176 densities. This is especially true for atomic hydrogen 176 generation.
- Both the argon ions 177 and the H atoms 176 are delivered into the deposition chamber 180 and directed at the surface of the substrate 181 through the series of apertures 175 defined in the distribution showerhead 171, as is shown in FIG. 1.
- the deposition chamber 180 process pressure can be maintained in the range of 10 2 - 10 "7 torr, more preferably in the range from 10 1 - 10 "4 torr.
- the distribution showerhead 171 contains a series or array of apertures 175 through which ions 177 and radicals 176 are delivered to the substrate 181 and isolates the main process chamber 180 from the plasma source chamber 170 as is explained in the MII-ALD application.
- the argon ions 177 aid in the removal of oxides, carbonaceous impurities, and other contaminants. Low energy ion 177 bombardment promotes surface reactions between the atomic hydrogen and oxides (and other contaminants) to form gaseous byproducts, which can then easily be pumped 184 away.
- the substrate 181 is biased to define the energy of the impinging ions 177. Direct current (DC) or RF (e.g., 400 KHz, 2 MHz, 13.56 MHz, etc.) power is used to bias the substrate pedestal 182.
- the substrate pedestal 182 is an electrostatic chuck (ESC) to provide efficient coupling of bias voltage to the substrate 181.
- Typical substrate 181 bias voltages V in FIGs.
- 2A and 2C, 242, 282) can range from -10 V to -250 V, but preferably from -10 N to -100 V, and more preferably from -10 N to -50 N. Additionally, the magnitude and duty- cycle of any bias voltage may be modulated.
- the argon 130 to hydrogen 110 feed gas ratio is typically chosen to be less than or equal to 1, preferably less than or equal to 0.5. Both lower argon ion 177 fluxes and lower argon ion 177 energies reduce undesired faceting 315 of feature corners due to sputtering (see FIG. 3B).
- the substrate 181 is preferably maintained at less than or equal to 350 °C, but is
- Low energy ion 177 bombardment enables effective cleaning/surface treatment at low substrate 181 temperatures.
- the cleaning/surface treatment can also be performed in the absence of argon ions 177 by not flowing the argon feed gas 130. However, this may result in a lower dissociation fraction and hence reduced efficiency of atomic hydrogen 176 generation. In addition, the kinetics and hence efficiency of oxide and other contaminant removal may be decreased due to the absence of low energy ion 177 bombardment.
- the first precursor 100 is introduced and subsequent film deposition is performed using, for example, the teachings of the MII-ALD application.
- FIG. 2A shows a typical sequential modulated ion induced atomic layer deposition (MII-ALD) process sequence including an integrated in-situ cleaning step performed in the absence of a first precursor 100.
- the cleaning step terminates at t 0 206 after which deposition begins.
- the dotted line 243, 245 indicates that the bias voltage doesn't necessarily have to be synchronized with the second precursor exposure 212, 214, but rather can remain at a constant value 242 until it needs to be changed to a different value, N, 244.
- the in-situ preclean is followed by sequential MII-ALD.
- the first and second precursors 202, 214 are sequentially introduced during each deposition cycle.
- the second precursor 214 (e.g., H atoms 176) exposure coincides with ion exposure 234 (e.g., Ar + 177) and the application of a substrate bias N 2 244.
- the magnitude of the substrate bias I N j I 242 during cleaning is typically chosen to be lower than the magnitude of the substrate bias
- the argon ion 177 energies are determined by the applied substrate
- Typical substrate voltages N 2 244 during deposition can range from -20
- a modulated DC or RF bias 185 is preferably in the -25 N to -500 N range, and more preferably in the -50 V to -350 N range during deposition.
- cleaning pulse T 1 212 is typically less than or equal to 180 seconds, preferably
- the desired film thickness is obtained by repeating the deposition cycle the required number of times.
- T j 212 may or may not be equal to T j 212.
- FIG. 2B A variant of the method shown in FIG. 2A is illustrated in FIG. 2B
- FIG. 2B depicts a sequence for an improved ALD method incorporating periodic
- FIG. 2C illustrates a preferred embodiment of the present invention
- first 252 and second 262 reactant i.e., precursors
- the ion flux 270 during cleaning, F t 272, is typically chosen to be lower than the ion flux during
- modulation can vary generally from 0.1 Hz to 20 MHz. Additionally, a
- the ion flux 270 can be
- a lower argon feed-gas 130 flow rate into the plasma source chamber 170 will result in a lower argon ion flux 270 at a
- the plasma source 160 power can also be increased or decreased to vary the ion flux 270, with higher source powers resulting in greater ion fluxes 270.
- the plasma source 160 power may be further varied in frequency, magnitude, duty-cycle, or any combination thereof.
- the in-situ cleaning cycle is performed once, prior to the first deposition cycle.
- multiple cleaning cycles can also be used, each preceding one or more deposition cycle(s).
- One example is during the deposition of multilayer (e.g., TaN x /Ta or Ti/TiN, etc.) or multifilm (e.g., TaN x /Ta/Cu or Ti/TiN/W, etc.) stacks.
- a deposition sequence can contain any number of interspersed cleaning steps, even for the deposition of a single film material.
- the in-situ preclean process can be used to remove copper oxide from the bottoms of high aspect ratio single or dual damascene structures (e.g., trenches, vias, or stacked trenches over vias, etc.) used in copper metallization. Simultaneous exposure of the substrate to atomic hydrogen 176 and low energy argon ions 177 will lead to the removal of speed-limiting copper oxide via the formation of OH byproducts which can then easily be pumped 184 away.
- high aspect ratio single or dual damascene structures e.g., trenches, vias, or stacked trenches over vias, etc.
- FIG. 3A illustrates a high aspect ratio via containing an oxidized 300 copper underlayer 305 prior to preclean.
- FIG. 3B shows a high aspect ratio via showing sidewall redeposition 320 of the sputtered copper oxide 300 removed from the bottom of the via and tapering of the via corners 315 due to excessive argon ion 177 sputtering (illustrating the issues associated with problems in the prior art).
- FIG. 3C shows a high aspect ratio via showing the
- the copper oxide 300 has been removed without sidewall redeposition 320 and without adverse tapering of the via corners 315.
- This same process can also remove carbonaceous impurities left behind during
- barrier layer e.g.,.Ta, TaN x , etc.
- tantalum containing precursor e.g., TaCl 5 , TaBr 5 , etc.
- MII- ALD the same atomic hydrogen 176 is now used as the reducing agent to form
- the in-situ preclean step can be repeated during the deposition of a barrier stack (e.g., TaN x /Ta) using the following sequence:
- steps 1 and 2 can be carried out in the first integrated cleaning/deposition chamber 400 and steps 3 and 4 can be carried out
- chamber 475 is separate from both the barrier 450 and the seed layer 455
- a similar integrated in-situ cleaning process can be used to remove any contaminants, such as aluminum and silicon oxides, from the bottoms of high aspect ratio features followed by the in-situ deposition of liner materials such as titanium, titanium nitride, etc. using a suitable titanium containing precursor (e.g., TiCl 4 , etc.), atomic hydrogen 176, and modulated ion 177 exposure via MII- ALD (see FIG. 1).
- a suitable titanium containing precursor e.g., TiCl 4 , etc.
- atomic hydrogen 176 atomic hydrogen
- modulated ion 177 exposure via MII- ALD (see FIG. 1).
- Atomic hydrogen 176 is effective at removing weakly bonded species and passivating unsatisfied surface bonding sites. Halogens are not used in this instance. As an example, atomic hydrogen 176 will getter loose interfacial fluorine to form HF, which can then be easily pumped 184 away. Fluorine can attack most metals, especially Ta containing metal or metal compounds. This is useful in the case of fluorinated low-k materials such as FSG.
- organosilicates (carbon-doped Si0 2 ) low-k materials use methyl (e.g., CH 3 ) groups to reduce the dielectric constant of standard Si0 2 .
- surface CH X groups may be weakly bonded and/or possess unsatisfied bonds.
- Atomic hydrogen 176 can satisfy these bonding sites through the formation of hydrogen bonds, or remove weakly bonded species by forming CH 4 , which can then easily be pumped 184 away.
- Weakly bonded interfacial species degrade the adhesion strength of the to-be deposited overlying film (e.g., a barrier layer(s)) to the dielectric, which can lead to barrier cracking, copper voiding during electroplating, and delamination during CMP.
- Atomic hydrogen 176 can remove weakly bonded surface species and/or passivate unsatisfied surface bonding sites of other types of low-k films containing carbon, fluorine, and hydrogen (e.g., hydrogenated carbon, fluorinated carbon, PTFE, or Teflon).
- hydrogen e.g., hydrogenated carbon, fluorinated carbon, PTFE, or Teflon.
- low-k materials absorb moisture (i.e., OH species) easily which deleteriously increases their effective dielectric constant, and, hence, the capacitance between conductive features surrounded by the dielectric material.
- surface OH groups lead to poor interfacial adhesion.
- Atomic hydrogen 176 can improve adhesion by reacting with OH surface species to form water (H 2 0) vapor, which can then be pumped 184 away.
- an appropriate precursor e.g., TaCl 5 for a Ta containing barrier material. Since the surface treatment of the patterned low-k dielectric feature was performed in-situ, the subsequently deposited barrier material will have superior interfacial properties (e.g., adhesion, conductivity, reliability, etc.) as compared to prior art.
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- General Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Materials Engineering (AREA)
- Mechanical Engineering (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- Physics & Mathematics (AREA)
- Plasma & Fusion (AREA)
- Computer Hardware Design (AREA)
- Analytical Chemistry (AREA)
- General Physics & Mathematics (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Manufacturing & Machinery (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Electromagnetism (AREA)
- Health & Medical Sciences (AREA)
- Epidemiology (AREA)
- Public Health (AREA)
- Toxicology (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
- Electrodes Of Semiconductors (AREA)
Abstract
Priority Applications (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
AU2002226982A AU2002226982A1 (en) | 2000-12-06 | 2001-11-26 | Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber |
Applications Claiming Priority (6)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US25428000P | 2000-12-06 | 2000-12-06 | |
US60/254,280 | 2000-12-06 | ||
US25581200P | 2000-12-15 | 2000-12-15 | |
US60/255,812 | 2000-12-15 | ||
US09/812,352 US20020104481A1 (en) | 2000-12-06 | 2001-03-19 | System and method for modulated ion-induced atomic layer deposition (MII-ALD) |
US09/812,352 | 2001-03-19 |
Publications (1)
Publication Number | Publication Date |
---|---|
WO2002046489A1 true WO2002046489A1 (fr) | 2002-06-13 |
Family
ID=27400767
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
PCT/US2001/044300 WO2002046489A1 (fr) | 2000-12-06 | 2001-11-26 | Procede de nettoyage integre in-situ et depot subsequent de couches atomiques dans une seule et meme chambre de traitement |
Country Status (3)
Country | Link |
---|---|
AU (1) | AU2002226982A1 (fr) |
TW (1) | TW511135B (fr) |
WO (1) | WO2002046489A1 (fr) |
Cited By (6)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
WO2004059708A2 (fr) * | 2002-12-20 | 2004-07-15 | Agere Systems Inc. | Structure et procede de connexion avec des structures d'interconnexion en cuivre |
WO2004113585A2 (fr) * | 2003-06-18 | 2004-12-29 | Applied Materials, Inc. | Depot de couches atomiques de matieres barrieres |
WO2006105467A1 (fr) * | 2005-03-31 | 2006-10-05 | Caterpillar Inc. | Systeme et procede de traitement de surface |
US9032906B2 (en) | 2005-11-04 | 2015-05-19 | Applied Materials, Inc. | Apparatus and process for plasma-enhanced atomic layer deposition |
US9051641B2 (en) | 2001-07-25 | 2015-06-09 | Applied Materials, Inc. | Cobalt deposition on barrier surfaces |
US9418890B2 (en) | 2008-09-08 | 2016-08-16 | Applied Materials, Inc. | Method for tuning a deposition rate during an atomic layer deposition process |
Families Citing this family (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP5280861B2 (ja) * | 2006-01-19 | 2013-09-04 | エーエスエム アメリカ インコーポレイテッド | 高温aldインレットマニホールド |
US9682398B2 (en) * | 2012-03-30 | 2017-06-20 | Applied Materials, Inc. | Substrate processing system having susceptorless substrate support with enhanced substrate heating control |
Citations (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5702530A (en) * | 1995-06-23 | 1997-12-30 | Applied Materials, Inc. | Distributed microwave plasma reactor for semiconductor processing |
US5834371A (en) * | 1997-01-31 | 1998-11-10 | Tokyo Electron Limited | Method and apparatus for preparing and metallizing high aspect ratio silicon semiconductor device contacts to reduce the resistivity thereof |
US5916365A (en) * | 1996-08-16 | 1999-06-29 | Sherman; Arthur | Sequential chemical vapor deposition |
US6110836A (en) * | 1999-04-22 | 2000-08-29 | Applied Materials, Inc. | Reactive plasma etch cleaning of high aspect ratio openings |
-
2001
- 2001-11-16 TW TW90128452A patent/TW511135B/zh not_active IP Right Cessation
- 2001-11-26 WO PCT/US2001/044300 patent/WO2002046489A1/fr not_active Application Discontinuation
- 2001-11-26 AU AU2002226982A patent/AU2002226982A1/en not_active Abandoned
Patent Citations (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5702530A (en) * | 1995-06-23 | 1997-12-30 | Applied Materials, Inc. | Distributed microwave plasma reactor for semiconductor processing |
US5916365A (en) * | 1996-08-16 | 1999-06-29 | Sherman; Arthur | Sequential chemical vapor deposition |
US5834371A (en) * | 1997-01-31 | 1998-11-10 | Tokyo Electron Limited | Method and apparatus for preparing and metallizing high aspect ratio silicon semiconductor device contacts to reduce the resistivity thereof |
US6110836A (en) * | 1999-04-22 | 2000-08-29 | Applied Materials, Inc. | Reactive plasma etch cleaning of high aspect ratio openings |
Cited By (12)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US9051641B2 (en) | 2001-07-25 | 2015-06-09 | Applied Materials, Inc. | Cobalt deposition on barrier surfaces |
US9209074B2 (en) | 2001-07-25 | 2015-12-08 | Applied Materials, Inc. | Cobalt deposition on barrier surfaces |
WO2004059708A2 (fr) * | 2002-12-20 | 2004-07-15 | Agere Systems Inc. | Structure et procede de connexion avec des structures d'interconnexion en cuivre |
WO2004059708A3 (fr) * | 2002-12-20 | 2004-09-30 | Agere Systems Inc | Structure et procede de connexion avec des structures d'interconnexion en cuivre |
GB2411767A (en) * | 2002-12-20 | 2005-09-07 | Agere Systems Inc | Structure and method for bonding to copper interconnect structures |
GB2411767B (en) * | 2002-12-20 | 2006-11-01 | Agere Systems Inc | Structure and method for bonding to copper interconnect structures |
US7328830B2 (en) | 2002-12-20 | 2008-02-12 | Agere Systems Inc. | Structure and method for bonding to copper interconnect structures |
WO2004113585A2 (fr) * | 2003-06-18 | 2004-12-29 | Applied Materials, Inc. | Depot de couches atomiques de matieres barrieres |
WO2004113585A3 (fr) * | 2003-06-18 | 2005-05-06 | Applied Materials Inc | Depot de couches atomiques de matieres barrieres |
WO2006105467A1 (fr) * | 2005-03-31 | 2006-10-05 | Caterpillar Inc. | Systeme et procede de traitement de surface |
US9032906B2 (en) | 2005-11-04 | 2015-05-19 | Applied Materials, Inc. | Apparatus and process for plasma-enhanced atomic layer deposition |
US9418890B2 (en) | 2008-09-08 | 2016-08-16 | Applied Materials, Inc. | Method for tuning a deposition rate during an atomic layer deposition process |
Also Published As
Publication number | Publication date |
---|---|
AU2002226982A1 (en) | 2002-06-18 |
TW511135B (en) | 2002-11-21 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US6949450B2 (en) | Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber | |
US6734102B2 (en) | Plasma treatment for copper oxide reduction | |
US6946401B2 (en) | Plasma treatment for copper oxide reduction | |
US7799693B2 (en) | Method for manufacturing a semiconductor device | |
US6949461B2 (en) | Method for depositing a metal layer on a semiconductor interconnect structure | |
JP4681117B2 (ja) | サブクオーターミクロン適用のための、メタライゼーションに先立つ予備洗浄方法 | |
EP1081751A2 (fr) | Procédé de pré-nettoyage de couches diélectriques sur des substrats | |
KR20020072996A (ko) | 금속 플러그 형성방법 | |
US5918150A (en) | Method for a chemical vapor deposition of copper on an ion prepared conductive surface | |
US20040166676A1 (en) | Method and apparatus for forming damascene structure, and damascene structure | |
US7014887B1 (en) | Sequential sputter and reactive precleans of vias and contacts | |
WO2002046489A1 (fr) | Procede de nettoyage integre in-situ et depot subsequent de couches atomiques dans une seule et meme chambre de traitement | |
JP3737366B2 (ja) | 半導体装置及びその製造方法 | |
KR100670618B1 (ko) | 비아 및 컨택트의 순차적인 스퍼터 및 반응적 예비세정 | |
US7517802B2 (en) | Method for reducing foreign material concentrations in etch chambers | |
JP3780204B2 (ja) | バリアメタル膜又は密着層形成方法及び配線形成方法 | |
TWI505360B (zh) | 用於氟碳化物膜之金屬碳化物阻障層的形成方法 | |
JP2003179133A5 (fr) | ||
JPH05315458A (ja) | 半導体装置の製造方法 | |
KR19980045921A (ko) | 원소 티타늄이 없는 라이너 및 금속간 연결을 위한 제조 공정 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
AK | Designated states |
Kind code of ref document: A1 Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NO NZ PH PL PT RO RU SD SE SG SI SK SL TJ TM TR TT TZ UA UG UZ VN YU ZA ZW |
|
AL | Designated countries for regional patents |
Kind code of ref document: A1 Designated state(s): GH GM KE LS MW MZ SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG |
|
121 | Ep: the epo has been informed by wipo that ep was designated in this application | ||
DFPE | Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101) | ||
REG | Reference to national code |
Ref country code: DE Ref legal event code: 8642 |
|
122 | Ep: pct application non-entry in european phase | ||
NENP | Non-entry into the national phase |
Ref country code: JP |
|
WWW | Wipo information: withdrawn in national office |
Country of ref document: JP |