WO2001008207A1 - Method and apparatus for anisotropic etching - Google Patents

Method and apparatus for anisotropic etching Download PDF

Info

Publication number
WO2001008207A1
WO2001008207A1 PCT/GB2000/002786 GB0002786W WO0108207A1 WO 2001008207 A1 WO2001008207 A1 WO 2001008207A1 GB 0002786 W GB0002786 W GB 0002786W WO 0108207 A1 WO0108207 A1 WO 0108207A1
Authority
WO
WIPO (PCT)
Prior art keywords
etching
substrate
passivation layer
plasma
chamber
Prior art date
Application number
PCT/GB2000/002786
Other languages
French (fr)
Other versions
WO2001008207A8 (en
Inventor
Jyoti Kiron Bhardwaj
Original Assignee
Surface Technology Systems Plc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Surface Technology Systems Plc filed Critical Surface Technology Systems Plc
Publication of WO2001008207A1 publication Critical patent/WO2001008207A1/en
Publication of WO2001008207A8 publication Critical patent/WO2001008207A8/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching

Definitions

  • This invention relates to a method and apparatus for etching a substrate in an anisotropic manner.
  • the method and apparatus relate to treatment of a semiconductor wafer.
  • a method of anisotropically etching silicon by cyclic etch and deposition in a plasma environment is known from US-A- 4579623 and WO-A-9414187.
  • This anisotropic plasma etch method has been applied to other materials such as Ge, SiGe and GaAs, to result in high etch rates (relative to conventional plasma etching where the anisotropy is controlled by simultaneous etching and passivation during the process) .
  • US 4529475 discloses a dry etching apparatus which uses reactive gases and which is capable of achieving anisotropic etching without causing radiation damage to a workpiece .
  • etching of silicon dioxide is used.
  • other methods may also be appropriate. Indeed when etching other materials such alternative methods may be preferred.
  • the invention may be used in silicon etching.
  • MEMS Micro-electro-mechanical systems
  • the invention may be applied to anisotropic etching of oxides.
  • Oxide in a broad sense refers to oxides of silicon, quartz, glasses, pyrex, Si0 2 deposited by CVD, and Si0 2 grown by thermal, plasma or other means where the Si surface is oxidised.
  • the oxides may be doped or undoped. Oxides of some other materials such as Ge, for example, will also be etched in the same way .
  • Si0 2 + CFnote plasma spendingSiF,, + CO x The plasma provides energy which dissociates the CF X to liberate fluorine radicals and produce CF y + ions.
  • the ions are accelerated across the plasma sheath by the self bias potential and impact onto the Si0 2 surface. This ion bombardment is required for the anisotropic etching of the oxide. Indeed high etch rates (of the order of l ⁇ m/min) are only achieved using high ion energies (at least several hundred eV) .
  • High density plasma sources eg ICP, ECR, MORI
  • ICP ICP, ECR, MORI
  • etch rates at somewhat lower ion energies, but this energy is still large (up to a few hundred eV) relative to the conditions used for etching other materials (such as silicon) at comparable rates.
  • ion bombardment plays a key role in the oxide etch process, determining etching rates, and influencing profile and mask selectivity.
  • photoresist is used as the mask material and selectivity to the mask is typically less than 10:1.
  • Selectivity to silicon (which may be the underlayer or indeed mask) is typically up to 20:1.
  • Oxide can also be etched isotropically in a plasma, usually in a "downstream" mode, that is either when the plasma is “decoupled” from the workpiece by means of a grounded or biased metallic plate or simply out of line of sight.
  • etching is primarily carried out by radicals generated in the plasma.
  • Etch rates up to of the order of a micron per minute have been achieved using NF 3 .
  • Another well known means of isotropically etching the oxide is to use HF solution or vapour.
  • HF solution usually buffered with NH 4 OH to control reaction rates
  • condition (i) of the above model is very difficult to meet as isotropic etch rates and anisotropic etch rates are comparable (about l ⁇ m/min) .
  • a method of treating a substrate material or film present on the material surface comprising a first step of plasma etching wherein ions are accelerated at voltages exceeding 50eV onto the material surface being etched to disrupt the surface bonding, with or without additional radiation, and a second step of vapour etching the damaged surface and repeating these two steps cyclically until a required etch depth is attained.
  • the ions may be formed using either an inert gas (for example Ar) or a reactive gas or any appropriate gas mixture . Gases may be switched between the two steps if the precursor gas chemistry differs between the two steps.
  • non-plasma etch step switched cyclically with a surface ion bombardment step.
  • a passivation step (and its subsequent removal from the base of the feature being etched) may or may not be necessary in this case. Instead anisotropy relies upon the ion directionality during the initial plasma-based step.
  • a vapour may be condensed on the wafer to form a surface layer. This liquid surface layer then reacts directly with the oxide, or the reaction is enhanced by additional means such as plasma and/or radiation.
  • the etch by-products are then removed by volatilisation or by the influence of additional means such as plasma and/or irradiation.
  • the material or film may be a dielectric. Possibly the material or film will be etched with HF.
  • a passivation layer being formed on a surface that is resistant to chemical etch.
  • the passivation layer could be deposited as a polymer.
  • the polymer could be of formula n(C x F y ) , or of formula n(C x F y H z ) , where x, y and z are any suitable values .
  • the irradiation could be directional or collimated parallel to the direction of etch front propagation.
  • the etch product has to be removed from the surface.
  • an apparatus for performing the method described above comprising a chamber having a chemical inlet and a chemical outlet in which is positioned a support for receiving a substrate, the apparatus further comprising means for etching a substrate material or a film present on the material surface with one or more appropriate chemicals and, if appropriate, means for depositing a passivation layer on the surfaces of an etched feature and, if appropriate, means for selectively disrupting the surface bonding and removing the passivation layer, if present, from the etched feature in the direction of etch propagation.
  • the substrate may be masked with a suitable pattern defining the areas to be etched.
  • the support may be in the form of a first electrode, and the apparatus may further comprise a second electrode spaced therefrom.
  • the apparatus may further comprise means for providing RF energy (inductively and/or capacitively coupled via the electrodes) or microwave energy to a plasma in the chamber for at least part of the cycle.
  • Means may be provided for providing an electrical bias on to the substrate electrode to accelerate ions onto the material surface or film for at least part of the cycle.
  • the apparatus may further comprise means for providing radiation energy into the chamber.
  • the apparatus may further comprise means for controlling the temperature of the substrate or workpiece in the chamber to enhance the deposition of the passivant and/or the etching rate of the substrate and/or the etch rate of the passivant.
  • the apparatus may further comprise means for substrate rotation for enhancing the process homogeneity across the substrate .
  • the means for etching the substrate, means for depositing the passivation layer (when appropriate) and means for selectively disrupting the surface bonding and, if present, removing the passivation layer may be associated with a single chamber. This is preferred for reasons of throughput, because there are then no additional substrate handling times . However, alternatively, a separate chamber may be provided for any of the steps as necessary.
  • an apparatus for performing the method described above comprising means for etching the material or film with one or more appropriate chemicals, means for depositing a passivation layer if appropriate on the surfaces of an etched feature and, if appropriate, means for selectively disrupting the surface bonding and, if present, removing the passivation layer from the etched feature in the direction of etch propagation, wherein each of the means may be associated with the same or a separate chamber in which the substrate is positioned.
  • any gases employed may be delivered from a point of use delivery system positioned locally to a chamber within which the method is performed.
  • “Locally” means either that the delivery system is associated with that chamber rather than being a central ring mains supply and/or the gas is generated at the point of use.
  • the apparatus of this invention may incorporate a delivery system for the gases used which is positioned locally to the reaction chamber of the apparatus .
  • the plasma may comprise a precursor gas or mixture of precursor gases.
  • the local delivery system may utilise molten electrolyte gas generators, particularly for fluorine, nitrogen trifluoride or chlorotrifluoride or inter-halogen gases, such as mixtures thereof.
  • the gas generators contain a solid when cold which allows for safe transportation and storage of the generators. They are able to generate the process gases to high purity and at a reasonable cost and risk.
  • Figure 1 shows an enlarged schematic cross-sectional view of an anisotropically etched trench in a cyclic etch and passivation method
  • Figure 2 is an enlarged schematic cross-sectional view of an etched trench formed by way of conventional anisotropic etching;
  • Figure 3 shows a diagrammatic view of an apparatus for carrying out the etching step of the present invention.
  • FIGS 4A and 4B show diagrammatic views of an apparatus for carrying out the final two steps of the process or all of the process steps of the present invention.
  • FIG. 1 there is shown a schematic view of a trench formed as described in WO-A-9414187.
  • the process described in that document uses sequential and discrete etch and deposition steps so that after the first etch step the side walls are undercut as shown at 1 and this undercut is then protected by a deposited passivation layer 2.
  • this arrangement produces a rough sidewall and as the etched steps increase, or indeed the aspect ratio increases, there can be bowing or re-entrant notching in the profile.
  • the method transfers the pattern made in the mask 3 into the surface of the substrate.
  • Figure 2 shows a schematic view of an etched substrate which has been etched by means of conventional anisotropic etching. Again, a mask 4 is present at the top of the trench 5.
  • FIG. 3 shows one embodiment of a specific apparatus used in the invention shown generally at 12 for carrying out chemical etching with HF based chemistry.
  • the anhydrous HF and other chemicals may enter at inlet port 13 and exit at outlet port 14 which are connected to a chamber 15.
  • the chamber 15 further comprises therein an electrode 16 on which is positioned an oxide (eg silicon dioxide) substrate 17.
  • the chamber 15 is at atmospheric or sub-atmospheric pressure and the total gas flow is between 15 and 30 SLM.
  • the anhydrous HF, together with either an alcohol or H 2 0 and N 2 carrier gas is passed into the chamber 15 through inlet port 13 and etches substrate 17 to form an etched feature therein.
  • Alternative apparatus for carrying out this step is disclosed in DE 19704454 and DE 4317274.
  • the chamber 15 can be supplied with the process gases through the inlet port 13 from a local delivery system 15A which is able to generate the required gas or gases from available molten electrolyte gas generators.
  • Figures 4A and 4B show alternative schematic apparatuses which can be used either for all steps of the invention, or for the passivation and, if appropriate, directional disruption of surface bonding and, if present, removal of the passivation layer.
  • Figure 4A illustrates a vacuum chamber 18 incorporating a support electrode 19 for receiving the oxide substrate 17 which may be in the form of a semiconductor wafer. Also shown is a further spaced electrode 20.
  • the chamber 18 is surrounded by a coil 22 fed by an RF source 23 which is used to induce a plasma in the chamber 11 between the electrodes 19 and 20, for example when this is required in any of the plasma based steps of the invention.
  • Bias means 21 is associated with electrode 19.
  • the chamber is provided with a gas inlet port 24 through which deposition or etch gases can be introduced and an exhaust port 25 for the removal of gaseous process products and any excess process gas .
  • the bias means 21 shown in Figures 4A and 4B bias power onto the substrate electrode to achieve step 3. Bias is typically capacitatively coupled rf, but dc is possible when conducting substrates are used.
  • Figure 4B shows a schematic view of an alternative apparatus to that shown in Figure 4A.
  • the apparatus works in a similar way to that shown in Figure 4A and like reference numerals show like parts.
  • the difference between the apparatus shown in Figure 4B and that shown in Figure 4A is the mode of RF energy (inductive and capacitive) coupled into the plasma for any of the plasma based steps.
  • Figure 4A illustrates an inductive method of coupling rf power
  • Figure 4B shows a capacitive method for applying the rf power.
  • other types of plasma source such as MORI, ECR and the like can equally be used.
  • the first RF power and matching unit may act on the top electrode, and an additional second RF source and matching unit may act on the lower electrode to act as the biasing supply.
  • the directional disruption of the surface bonding and, if present, the selective removal of the passivation layer, is carried out in the chamber 18 illustrated in Figures 4A and 4B.
  • a low pressure plasma preferably less than several hundred mTorr and ideally less than or equal to 10 mTorr depending upon the type of reactor, is used, and the total gas flow is in the range 5-100 seem when argon is used for the selective removal.

Abstract

A method is provided for treating a substrate material or a film present on the material surface. A first treatment step comprises plasma etching wherein ions are accelerated at voltages exceeding 50eV onto the material surface being etched to disrupt the surface bonding, with or without additional radiation. A second step of vapour etching the damaged surface is then performed. These two steps are repeated cyclically until a required etch depth is attained.

Description

Method and Apparatus for Anisotropic Etching
This invention relates to a method and apparatus for etching a substrate in an anisotropic manner. In particular, although not exclusively, the method and apparatus relate to treatment of a semiconductor wafer.
A method of anisotropically etching silicon by cyclic etch and deposition in a plasma environment is known from US-A- 4579623 and WO-A-9414187. This anisotropic plasma etch method has been applied to other materials such as Ge, SiGe and GaAs, to result in high etch rates (relative to conventional plasma etching where the anisotropy is controlled by simultaneous etching and passivation during the process) .
Proceedings of the IEEE, 1998, Vol. 86, No .8 , pp 1536 - 1551 discloses bulk silicon etching techniques applied in the fabrication of micromachined sensors, actuators, and structures. The available etching methods fall into three categories in terms of state of the etchant: wet, vapour and plasma. Journal of Microelectromechanical Systems, 1996, Vol.5, No .4 , pp 256-269 discloses the etch rate for various materials used in the fabrication of microelectromechanical systems and integrated circuits in a variety of wet, plasma, and gas-phase etches and details of etch preparation, use and chemical reactions are given. Journal of the Electrochemical Society, 1993, Vol.140, No.2, pp 567-570 discloses gas phase etching of single and polycrystalline silicon using a mixture of HF/H20 and 03. The chemistries disclosed in each of these references can be equally well used in the present invention described below.
US 4529475 discloses a dry etching apparatus which uses reactive gases and which is capable of achieving anisotropic etching without causing radiation damage to a workpiece .
However, the document does not disclose a cyclic or alternating process .
In order to illustrate the invention, the example of etching of silicon dioxide is used. However it is understood that while this defines the most appropriate methods for carrying out the process, other methods may also be appropriate. Indeed when etching other materials such alternative methods may be preferred. In particular, the invention may be used in silicon etching.
Deep etching of quartz, glass or silicon dioxide is useful in a range of applications eg: Micro-electro-mechanical systems (MEMS) (such as fluid channels, ' lab-on-a-chip1 cavity) , and opto-electronics optical waveguides defined in (doped and undoped) Si02.
The invention may be applied to anisotropic etching of oxides. Oxide in a broad sense refers to oxides of silicon, quartz, glasses, pyrex, Si02 deposited by CVD, and Si02 grown by thermal, plasma or other means where the Si surface is oxidised. The oxides may be doped or undoped. Oxides of some other materials such as Ge, for example, will also be etched in the same way .
Plasma etching of oxide is well known. Prior art such as Flamm and Mucha (Chapter on Plasma Etching in Chemistry of the Semiconductor Industry, Eds Moss and Ledwith, ISBN 0-216-92005- 1, 1987) reveals that oxide etching by fluorine (predominantly in the form of fluoro-carbon) chemistry results in the following reaction:
Si02 + CF„ plasma „SiF,, + COx The plasma provides energy which dissociates the CFX to liberate fluorine radicals and produce CFy + ions. The ions are accelerated across the plasma sheath by the self bias potential and impact onto the Si02 surface. This ion bombardment is required for the anisotropic etching of the oxide. Indeed high etch rates (of the order of lμm/min) are only achieved using high ion energies (at least several hundred eV) . High density plasma sources (eg ICP, ECR, MORI) can result in high etch rates at somewhat lower ion energies, but this energy is still large (up to a few hundred eV) relative to the conditions used for etching other materials (such as silicon) at comparable rates. Thus, ion bombardment plays a key role in the oxide etch process, determining etching rates, and influencing profile and mask selectivity. Typically, photoresist is used as the mask material and selectivity to the mask is typically less than 10:1. Selectivity to silicon (which may be the underlayer or indeed mask) is typically up to 20:1.
Oxide can also be etched isotropically in a plasma, usually in a "downstream" mode, that is either when the plasma is "decoupled" from the workpiece by means of a grounded or biased metallic plate or simply out of line of sight. Here the ion bombardment is negligible, and etching is primarily carried out by radicals generated in the plasma. Etch rates up to of the order of a micron per minute have been achieved using NF3. Another well known means of isotropically etching the oxide is to use HF solution or vapour. HF solution (usually buffered with NH4OH to control reaction rates) can etch oxide at rates below a micron per minute, but control of etch parameters becomes difficult with chemical solutions in general as the aspect ratio increases.
Another known process is to use HF vapour chemistry. This is disclosed in Semiconductor International, November 1987, and US Patents Nos . 4,749,440 and 4,857,142 and DE 4317274 and DE 19704454. Here etch rates up to 2.4 μ.m/min have been reported. The reaction is:
Si02 + 4HF → SiF4 + 2H20 The reaction is initiated using H20 and indeed water vapour can be introduced with the anhydrous HF gas stream during the reaction to enhance the HF adsorption onto the wafer surface (reacting with the Si02 to form the hydroxide Si(OH)4) . Selectivity to either photoresist or silicon is high (much greater than 100:1) . Other suitable chemistries that have been reported in the literature include alcohols (such as iso-propyl alcohol and methanol) replacing the water addition to the HF; refer to Butterbaugh (Proc. Electrochem. Soc . 1994, part 94-7), Lee (J. Electrochem. Soc. Vol.143, No .3 , 1996) and Torek (J. Electrochem. Soc. Vol.142, No.4, 1995) .
Considering plasma etching of oxide, condition (i) of the above model is very difficult to meet as isotropic etch rates and anisotropic etch rates are comparable (about lμm/min) .
There is therefore a need for a method of reliably etching a substrate (for example an oxide) anisotropically, particularly deep etching an oxide. This can be achieved using the present invention.
According to the present invention there is provided, from one aspect, a method of treating a substrate material or film present on the material surface comprising a first step of plasma etching wherein ions are accelerated at voltages exceeding 50eV onto the material surface being etched to disrupt the surface bonding, with or without additional radiation, and a second step of vapour etching the damaged surface and repeating these two steps cyclically until a required etch depth is attained. The ions may be formed using either an inert gas (for example Ar) or a reactive gas or any appropriate gas mixture . Gases may be switched between the two steps if the precursor gas chemistry differs between the two steps.
For this invention there is therefore a non-plasma etch step, switched cyclically with a surface ion bombardment step. A passivation step (and its subsequent removal from the base of the feature being etched) may or may not be necessary in this case. Instead anisotropy relies upon the ion directionality during the initial plasma-based step.
In a particular embodiment of the invention, which is applicable to the etching of oxides, a vapour may be condensed on the wafer to form a surface layer. This liquid surface layer then reacts directly with the oxide, or the reaction is enhanced by additional means such as plasma and/or radiation.
The etch by-products are then removed by volatilisation or by the influence of additional means such as plasma and/or irradiation.
The material or film may be a dielectric. Possibly the material or film will be etched with HF.
It may be preferred to use an additional deposition step in the cyclic process, with a passivation layer being formed on a surface that is resistant to chemical etch. The passivation layer could be deposited as a polymer. The polymer could be of formula n(CxFy) , or of formula n(CxFyHz) , where x, y and z are any suitable values . The irradiation could be directional or collimated parallel to the direction of etch front propagation.
It should be noted that in order for the material to be etched, the etch product has to be removed from the surface.
This can either be achieved by ensuring that the products are volatilized under the prevalent process conditions, or by other means including solvent rinsing. According to a further aspect of the present invention, there is provided an apparatus for performing the method described above, comprising a chamber having a chemical inlet and a chemical outlet in which is positioned a support for receiving a substrate, the apparatus further comprising means for etching a substrate material or a film present on the material surface with one or more appropriate chemicals and, if appropriate, means for depositing a passivation layer on the surfaces of an etched feature and, if appropriate, means for selectively disrupting the surface bonding and removing the passivation layer, if present, from the etched feature in the direction of etch propagation.
The substrate may be masked with a suitable pattern defining the areas to be etched. The support may be in the form of a first electrode, and the apparatus may further comprise a second electrode spaced therefrom.
The apparatus may further comprise means for providing RF energy (inductively and/or capacitively coupled via the electrodes) or microwave energy to a plasma in the chamber for at least part of the cycle. Means may be provided for providing an electrical bias on to the substrate electrode to accelerate ions onto the material surface or film for at least part of the cycle. The apparatus may further comprise means for providing radiation energy into the chamber. The apparatus may further comprise means for controlling the temperature of the substrate or workpiece in the chamber to enhance the deposition of the passivant and/or the etching rate of the substrate and/or the etch rate of the passivant.
The apparatus may further comprise means for substrate rotation for enhancing the process homogeneity across the substrate .
Thus, the means for etching the substrate, means for depositing the passivation layer (when appropriate) and means for selectively disrupting the surface bonding and, if present, removing the passivation layer may be associated with a single chamber. This is preferred for reasons of throughput, because there are then no additional substrate handling times . However, alternatively, a separate chamber may be provided for any of the steps as necessary. Thus, according to a further aspect of the present invention, there is provided an apparatus for performing the method described above, comprising means for etching the material or film with one or more appropriate chemicals, means for depositing a passivation layer if appropriate on the surfaces of an etched feature and, if appropriate, means for selectively disrupting the surface bonding and, if present, removing the passivation layer from the etched feature in the direction of etch propagation, wherein each of the means may be associated with the same or a separate chamber in which the substrate is positioned.
In carrying out the process of this invention any gases employed may be delivered from a point of use delivery system positioned locally to a chamber within which the method is performed. "Locally" means either that the delivery system is associated with that chamber rather than being a central ring mains supply and/or the gas is generated at the point of use. Equally the apparatus of this invention may incorporate a delivery system for the gases used which is positioned locally to the reaction chamber of the apparatus . The plasma may comprise a precursor gas or mixture of precursor gases. Thus the local delivery system may utilise molten electrolyte gas generators, particularly for fluorine, nitrogen trifluoride or chlorotrifluoride or inter-halogen gases, such as mixtures thereof. The gas generators contain a solid when cold which allows for safe transportation and storage of the generators. They are able to generate the process gases to high purity and at a reasonable cost and risk. The ability to generate gases or combine gas mixtures, which either require a plasma to produce the reactive species or spontaneously react with the substrate, allows the capability to introduce a process schedule which may only require a plasma for one or other of the process steps in the overall process schedule.
The choice of chemistry employed will depend specifically on the materials being etched. US Patents US5221366, US5213621 and US5213622 disclose the use of diketones, ketoimines, halogenated-carboxylic acid, acetic acid and formic acid chemistries to etch various metals in the vapor phase. Such chemistries (and extensions including hexafluoro-2 , 4- pentanedione and other fluorinated acetyl -acetone groups) may be used for the vapor etching of various metallic and magnetic materials. The prior art discloses the use of elevated temperatures to enhance the etching; indeed in some cases several hundred degrees are necessary in order to achieve any etching. The process of the present invention can be operated at pressures above atmosphere and/or at elevated temperatures
(depending upon temperature restrictions imposed by the device being etched) to enhance the etch rate for such metallic and magnetic materials. Reference may also be made to the proposals set out in our co-pending International Patent
Application No. PCT/BG99/02368.
Although the invention has been defined above, it is to be understood that it includes any inventive combination of the features set out above or in the following description.
The invention may be performed in various ways and specific examples thereof will now be described, by way of example, with reference to the accompanying drawings, and in which:
Figure 1 shows an enlarged schematic cross-sectional view of an anisotropically etched trench in a cyclic etch and passivation method;
Figure 2 is an enlarged schematic cross-sectional view of an etched trench formed by way of conventional anisotropic etching; Figure 3 shows a diagrammatic view of an apparatus for carrying out the etching step of the present invention; and
Figures 4A and 4B show diagrammatic views of an apparatus for carrying out the final two steps of the process or all of the process steps of the present invention.
Referring to Figure 1, there is shown a schematic view of a trench formed as described in WO-A-9414187. The process described in that document uses sequential and discrete etch and deposition steps so that after the first etch step the side walls are undercut as shown at 1 and this undercut is then protected by a deposited passivation layer 2. As can be seen from Figure 1, this arrangement produces a rough sidewall and as the etched steps increase, or indeed the aspect ratio increases, there can be bowing or re-entrant notching in the profile. It is to be noted that the method transfers the pattern made in the mask 3 into the surface of the substrate.
Figure 2 shows a schematic view of an etched substrate which has been etched by means of conventional anisotropic etching. Again, a mask 4 is present at the top of the trench 5.
As mentioned above, a model can be developed for a cyclic anisotropic high rate etching process. Thus, the technique can be applied to enhance etch rates and maintain anisotropy for any material . Figure 3 shows one embodiment of a specific apparatus used in the invention shown generally at 12 for carrying out chemical etching with HF based chemistry. The anhydrous HF and other chemicals may enter at inlet port 13 and exit at outlet port 14 which are connected to a chamber 15. The chamber 15 further comprises therein an electrode 16 on which is positioned an oxide (eg silicon dioxide) substrate 17. The chamber 15 is at atmospheric or sub-atmospheric pressure and the total gas flow is between 15 and 30 SLM. In use, the anhydrous HF, together with either an alcohol or H20 and N2 carrier gas is passed into the chamber 15 through inlet port 13 and etches substrate 17 to form an etched feature therein. Alternative apparatus for carrying out this step is disclosed in DE 19704454 and DE 4317274.
As shown in Figure 3, the chamber 15 can be supplied with the process gases through the inlet port 13 from a local delivery system 15A which is able to generate the required gas or gases from available molten electrolyte gas generators.
Figures 4A and 4B show alternative schematic apparatuses which can be used either for all steps of the invention, or for the passivation and, if appropriate, directional disruption of surface bonding and, if present, removal of the passivation layer. Figure 4A illustrates a vacuum chamber 18 incorporating a support electrode 19 for receiving the oxide substrate 17 which may be in the form of a semiconductor wafer. Also shown is a further spaced electrode 20. The chamber 18 is surrounded by a coil 22 fed by an RF source 23 which is used to induce a plasma in the chamber 11 between the electrodes 19 and 20, for example when this is required in any of the plasma based steps of the invention. Bias means 21 is associated with electrode 19. The chamber is provided with a gas inlet port 24 through which deposition or etch gases can be introduced and an exhaust port 25 for the removal of gaseous process products and any excess process gas . The bias means 21 shown in Figures 4A and 4B bias power onto the substrate electrode to achieve step 3. Bias is typically capacitatively coupled rf, but dc is possible when conducting substrates are used.
Figure 4B shows a schematic view of an alternative apparatus to that shown in Figure 4A. The apparatus works in a similar way to that shown in Figure 4A and like reference numerals show like parts. The difference between the apparatus shown in Figure 4B and that shown in Figure 4A is the mode of RF energy (inductive and capacitive) coupled into the plasma for any of the plasma based steps. Figure 4A illustrates an inductive method of coupling rf power and Figure 4B shows a capacitive method for applying the rf power. Although they are not presented, other types of plasma source such as MORI, ECR and the like can equally be used. Thus, in Figure 4B, there is shown a first RF source and impedance matching unit 21 acting on electrode 19. The first RF power and matching unit may act on the top electrode, and an additional second RF source and matching unit may act on the lower electrode to act as the biasing supply. The directional disruption of the surface bonding and, if present, the selective removal of the passivation layer, is carried out in the chamber 18 illustrated in Figures 4A and 4B. A low pressure plasma, preferably less than several hundred mTorr and ideally less than or equal to 10 mTorr depending upon the type of reactor, is used, and the total gas flow is in the range 5-100 seem when argon is used for the selective removal.

Claims

Claims
1. A method of treating a substrate material or film present on the material surface comprising a first step of plasma etching wherein ions are accelerated at voltages exceeding 50eV onto the material surface being etched to disrupt the surface bonding, with or without additional radiation, and a second step of vapour etching the damaged surface and repeating these two steps cyclically until a required etch depth is attained.
2. A method according to claim 1, wherein the material surface has previously had a mask pattern defined thereon.
3. A method according to claim 1 or claim 2, wherein the material or film is a dielectric.
4. A method according to claim 3 , wherein the material or film is an oxide, preferably of silicon, quartz, glass, pyrex, Si02 deposited by CVD, or Si02 grown by thermal, plasma or other means to deposit or grow the oxide.
5. A method according to any one of claims 1 to 4 , wherein the material or film is etched with HF .
6. A method according to any one of claims 1 to 5 , wherein an additional deposition step is used in the cyclic process and a passivation layer is formed on a surface that is resistant to chemical etch.
7. A method according to any one of claims 1 to 6, wherein the passivation layer is deposited as a polymer.
8. A method according to claim 7, wherein the polymer is of formula n(CxFy), where x and y are any suitable values.
9. A method according to claim 7, wherein the polymer is of formula n(CxFyH2), where x, y and z are any suitable values.
10. A method according to any one of claims 1 to 9, wherein the irradiation is directional or collimated parallel to the direction of etch front propagation.
11. A method according to any one of claims 1 to 10, wherein the plasma comprises a precursor gas or mixture of precursor gases .
12. A method according to any one of claims 1 to 11, wherein any gases employed are delivered from a point of use delivery system positioned locally to a chamber within which the method is performed.
13. An apparatus for performing the method of any one of claims 1 to 12, the apparatus comprising a chamber having a chemical inlet and a chemical outlet in which is positioned a support for receiving a substrate, the apparatus further comprising means for etching a substrate material or a film present on the material surface with one or more appropriate chemicals and, if appropriate, means for depositing a passivation layer on the surfaces of an etched feature and, if appropriate, means for selectively removing the passivation layer from the etched feature in order that the etching proceeds in a direction substantially perpendicular to the material or film surface.
14. An apparatus according to claim 12, wherein the support is in the form of a first electrode and preferably also a second electrode is spaced from the first electrode.
15. An apparatus according to claim 14, further comprising means for providing RF energy or microwave energy to a plasma in the chamber.
16. An apparatus according to any one of claims 13 to 15, further comprising means for providing an electrical bias on to the support to accelerate ions onto the substrate for at least part of the cycle.
17. An apparatus according to any one of claims 13 to 16, further comprising means for providing radiation energy into the chamber, and/or means for controlling the substrate temperature, and/or means for rotation of the substrate for enhancing the homogeneity of the etching.
18. An apparatus according to any one of claims 13 to 17, wherein the means for etching the substrate, means for depositing the passivation layer and means for selectively removing the passivation layer are associated with a single chamber .
19. An apparatus for performing the method of any one of claims 1 to 12, the apparatus comprising means for etching a substrate material or a film present on the material surface with one or more appropriate chemicals and, if appropriate, means for depositing a passivation layer on the surfaces of an etched feature and, if appropriate, means for selectively removing the passivation layer from the etched feature, ion or ion and radiation means to disrupt the surface of the substrate in order that the etching proceeds in a direction substantially perpendicular to the material or film surface wherein each of the means for etching, means for disrupting the surface bonding, means for depositing the passivation layer and means for selectively removing the passivation layer are associated with the same or separate chambers in which the substrate is positioned.
20. A method of treating a substrate according to claim 1 and substantially as hereinbefore described with reference to the accompanying drawings .
21. An apparatus for performing the method of claim 1 and substantially as hereinbefore described with reference to, and as illustrated in, the accompanying drawings.
PCT/GB2000/002786 1999-07-23 2000-07-24 Method and apparatus for anisotropic etching WO2001008207A1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
GB9917305.6 1999-07-23
GBGB9917305.6A GB9917305D0 (en) 1999-07-23 1999-07-23 Method and apparatus for anisotropic etching

Publications (2)

Publication Number Publication Date
WO2001008207A1 true WO2001008207A1 (en) 2001-02-01
WO2001008207A8 WO2001008207A8 (en) 2001-07-12

Family

ID=10857802

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/GB2000/002786 WO2001008207A1 (en) 1999-07-23 2000-07-24 Method and apparatus for anisotropic etching

Country Status (2)

Country Link
GB (1) GB9917305D0 (en)
WO (1) WO2001008207A1 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2001093323A2 (en) * 2000-05-31 2001-12-06 Infineon Technologies North America Corp. Method of removing rie lag in a deep trench silicon etching step
WO2010105585A1 (en) * 2009-03-17 2010-09-23 Roth & Rau Ag Substrate processing system and substrate processing method
CN113614045A (en) * 2019-02-08 2021-11-05 康宁股份有限公司 Method for laser processing of transparent workpiece by pulse laser beam focusing line and gas phase etching

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4749440A (en) * 1985-08-28 1988-06-07 Fsi Corporation Gaseous process and apparatus for removing films from substrates
US5501893A (en) * 1992-12-05 1996-03-26 Robert Bosch Gmbh Method of anisotropically etching silicon
EP0822582A2 (en) * 1996-08-01 1998-02-04 Surface Technology Systems Limited Method of surface treatment of semiconductor substrates
US5899741A (en) * 1998-03-18 1999-05-04 Taiwan Semiconductor Manufacturing Company Ltd. Method of manufacturing low resistance and low junction leakage contact

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4749440A (en) * 1985-08-28 1988-06-07 Fsi Corporation Gaseous process and apparatus for removing films from substrates
US5501893A (en) * 1992-12-05 1996-03-26 Robert Bosch Gmbh Method of anisotropically etching silicon
EP0822582A2 (en) * 1996-08-01 1998-02-04 Surface Technology Systems Limited Method of surface treatment of semiconductor substrates
US5899741A (en) * 1998-03-18 1999-05-04 Taiwan Semiconductor Manufacturing Company Ltd. Method of manufacturing low resistance and low junction leakage contact

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2001093323A2 (en) * 2000-05-31 2001-12-06 Infineon Technologies North America Corp. Method of removing rie lag in a deep trench silicon etching step
WO2001093323A3 (en) * 2000-05-31 2002-06-27 Infineon Technologies Corp Method of removing rie lag in a deep trench silicon etching step
WO2010105585A1 (en) * 2009-03-17 2010-09-23 Roth & Rau Ag Substrate processing system and substrate processing method
US20110124144A1 (en) * 2009-03-17 2011-05-26 Roth & Rau Ag Substrate processing system and substrate processing method
CN113614045A (en) * 2019-02-08 2021-11-05 康宁股份有限公司 Method for laser processing of transparent workpiece by pulse laser beam focusing line and gas phase etching
CN113614045B (en) * 2019-02-08 2023-11-21 康宁股份有限公司 Method for laser processing transparent workpiece by adopting pulse laser beam focusing line and gas phase etching

Also Published As

Publication number Publication date
GB9917305D0 (en) 1999-09-22
WO2001008207A8 (en) 2001-07-12

Similar Documents

Publication Publication Date Title
EP1099244B1 (en) Method for anisotropic etching
EP1676302B1 (en) Notch-free etching of high aspect soi structures using a time division multiplex process and rf bias modulation
JP4763235B2 (en) Apparatus and method for plasma processing
US5505816A (en) Etching of silicon dioxide selectively to silicon nitride and polysilicon
US5354417A (en) Etching MoSi2 using SF6, HBr and O2
US7368394B2 (en) Etch methods to form anisotropic features for high aspect ratio applications
US6849554B2 (en) Method of etching a deep trench having a tapered profile in silicon
US6380095B1 (en) Silicon trench etch using silicon-containing precursors to reduce or avoid mask erosion
EP1439900B1 (en) Method of etching high aspect ratio features
EP1420438A2 (en) Method and apparatus for etching a deep trench
CA1260365A (en) Anisotropic silicon etching in fluorinated plasma
US20020016080A1 (en) Two etchant etch method
US20070202700A1 (en) Etch methods to form anisotropic features for high aspect ratio applications
WO2003096392A2 (en) Method of etching a trench in a silicon-on-insulator (soi) structure
EP1259979A2 (en) Method of etching a shaped cavity
US20040077178A1 (en) Method for laterally etching a semiconductor structure
US6756314B2 (en) Method for etching a hard mask layer and a metal layer
US20030003748A1 (en) Method of eliminating notching when anisotropically etching small linewidth openings in silicon on insulator
WO2001008207A1 (en) Method and apparatus for anisotropic etching
US6579802B1 (en) Method of forming smooth morphologies in InP-based semiconductors
US7192875B1 (en) Processes for treating morphologically-modified silicon electrode surfaces using gas-phase interhalogens
US20230197412A1 (en) Apparatus and method for processing substrate using plasma
Buie et al. The Role of N 2 in Aspect‐Ratio‐Dependent Etching of SiO2
Lehmann Applications of plasma etching
KR19990031223A (en) Dry etching method using plasma

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): JP KR US

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE

121 Ep: the epo has been informed by wipo that ep was designated in this application
DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
AK Designated states

Kind code of ref document: C1

Designated state(s): JP KR US

AL Designated countries for regional patents

Kind code of ref document: C1

Designated state(s): AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE

WR Later publication of a revised version of an international search report
122 Ep: pct application non-entry in european phase
NENP Non-entry into the national phase

Ref country code: JP