WO2000003061A1 - Method and apparatus for forming amorphous and polycrystalline silicon germanium alloy films - Google Patents

Method and apparatus for forming amorphous and polycrystalline silicon germanium alloy films Download PDF

Info

Publication number
WO2000003061A1
WO2000003061A1 PCT/US1999/014773 US9914773W WO0003061A1 WO 2000003061 A1 WO2000003061 A1 WO 2000003061A1 US 9914773 W US9914773 W US 9914773W WO 0003061 A1 WO0003061 A1 WO 0003061A1
Authority
WO
WIPO (PCT)
Prior art keywords
silicon
germanium
chamber
gas
film
Prior art date
Application number
PCT/US1999/014773
Other languages
French (fr)
Inventor
Shulin Wang
Johanes F. N. Swenberg
Cory M. Czarnik
Original Assignee
Applied Materials, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials, Inc. filed Critical Applied Materials, Inc.
Priority to EP99932079A priority Critical patent/EP1100978A1/en
Priority to KR1020017000352A priority patent/KR20010053459A/en
Priority to JP2000559275A priority patent/JP2002520487A/en
Publication of WO2000003061A1 publication Critical patent/WO2000003061A1/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material

Definitions

  • the present invention relates to the field of thin film formation, and more particularly to a method and apparatus for depositing an amorphous or polycrystalline silicon germanium film at a reduced temperature and at a high deposition rate.
  • LPCVD low pressure chemical vapor deposition
  • reaction vessels are evacuated to relatively low pressures of between 100-1000 m torr.
  • the low pressures associated with LPCVD processes cause silicon films to be deposited at low rates (about 100 angstroms (A) /minute for undoped films and about 2 ⁇ A/minute for doped films).
  • the low deposition rates enable the films to be deposited with good step coverage.
  • step coverage decreases. A further reduction in the deposition rate is necessary for good step coverage.
  • LPCVD processes can form high quality films, their low deposition rates necessitate the processing of multiple wafers (i.e. up to 100) at one time in a batch type reaction vessel.
  • a problem with processing a plurality of wafers in a single machine at a single time is that it is difficult to obtain uniform thickness film and dopant concentration from wafer to wafer and from batch to batch. Nonuniformity in film thickness and doping profiles can drastically affect the electrical characteristics of the fabricated film and therefore, the performance and reliability of the fabricated device. Controlling film thickness and sheet resistance uniformity will be an even greater challenge for LPCVD batch systems when wafer size is increased to 300mm and above.
  • a single wafer CVD process for producing a silicon layer on a silicon wafer is described in U.S. Serial No. 07/742,954, filed August 9, 1991, entitled Low Temperature High Pressure Silicon Deposition Method and is assigned to the present assignee.
  • a pressure between 10-350 torr is achieved and maintained in a reaction chamber.
  • Hydrogen gas at about 10 liters /minutes is fed into the chamber along with less than 500 seem of silane (SiH4) (silane partial pressure is less than 4 torr) while the substrate is heated to a temperature of between 600-750 »C.
  • a problem with the above referenced single wafer CVD processes is that step coverage is poor and so cannot be used to fill high aspect ratio openings without causing the formation of voids. Voids can cause reliability problems and failures in the fabricated integrated circuits. Additionally, if dopants are included into the gas mix to form a low resistivity insitu doped silicon film, step coverage becomes even worse.
  • Another problem, with the above referenced process is that the growth rate is thermally activated with a relatively high activation energy of between 1.5 to 2.0eV.
  • the deposition temperature must be relatively high between 600-750 # C Industry trend, however, is for reduced temperature processing in order to reduce the thermal budget of the manufacturing process. Additionally, many processes utilize films and substrates such as glass substrates which are incompatible with high temperature processing.
  • a method and apparatus for depositing a polycrystalline or amorphous silicon/germanium alloy thin film on a substrate According to the present invention, a substrate is placed in a deposition chamber. A reactant gas mix including a silicon source gas and germane (GeH4) is then provided into the deposition chamber. The germane and silicon source gas are provided into the deposition chamber at a ratio so that the amount of germane in the chamber is less than or equal to 3% of the amount of silicon source gas in the chamber. The silicon source gas is then thermally decomposed to form silicon atoms and the germane is thermally decomposed to form germanium atoms. A polycrystalline or amorphous silicon film is then formed on the substrate from the germanium atoms and silicon atoms.
  • a reactant gas mix including a silicon source gas and germane (GeH4) is then provided into the deposition chamber.
  • the germane and silicon source gas are provided into the deposition chamber at a ratio so that the amount of germane in the chamber is less than or equal to 3% of
  • Figure 1 A is an illustration of a cross sectional view of a substrate on which the silicon-germanium alloy film of the present invention can be formed.
  • Figure IB is an illustration of a cross-sectional view showing the formation of a silicon-germanium alloy film on the substrate of Figure 1A.
  • Figure 2 is a flowchart which illustrates a method of forming an amo ⁇ hous or polycrystalline silicon germanium film in accordance with the present invention.
  • Figure 3A is an illustration of a single wafer thermal chemical vapor deposition apparatus which can be used to deposit the amo ⁇ hous or polycrystalline silicon germanium alloy film of the present invention.
  • Figure 3B is an illustration of a system control computer program which can be used to control apparatus of Figure 3B.
  • the present invention describes a novel method and apparatus for depositing a polycrystalline or amo ⁇ hous silicon germanium film.
  • numerous specific details are set forth such as specific process parameters and implementation in order to provide a thorough understanding of the present invention. It will be obvious, however, to one skilled in the art, that the present invention may be practiced without the specific details. In other instances well known chemical vapor deposition (CVD) equipment and semiconductor methodology have not been described in particular detail in order to not necessarily obscure the present invention.
  • CVD chemical vapor deposition
  • the present invention describes a method and apparatus for depositing at reduced temperature and high deposition rate a high quality uniform amo ⁇ hous or polycrystalline silicon germanium film with good step coverage.
  • a substrate or wafer
  • CVD thermal chemical vapor deposition
  • the pressure in the deposition chamber is then reduced to between 20-300 torrs and the substrate heated to a deposition temperature of between 420-650°C.
  • a reactant gas mix comprising a silicon containing gas, and germane (GeH4) is then fed into the deposition chamber.
  • the silicon source gas and germane are fed into the chamber at a ratio so that the amount of germane in the deposition chamber is less than or equal to 3% of the amount of silicon containing gas in the chamber.
  • Heat from the substrate causes the silicon containing gas to disassociate and provide silicon atoms and causes the germane to disassociate and provide germanium atoms.
  • a polycrystalline or amo ⁇ hous silicon germanium alloy having a very small percentage of germanium (less than 10 atomic percent) is then deposited on to the substrate from the germanium atoms and the silicon atoms.
  • a dopant gas, such as phosphine, arsenine, or diborane can be included in the reacting gas mix, if desired, to produce a doped silicon germanium film of the desired concentration density and conduction type.
  • the deposition temperature can be reduced by approximately 50°C and still obtain the same deposition rate as compared to when no germane is included in the reactive gas mix.
  • the deposited amo ⁇ hous or polycrystalline film is a silicon germanium alloy, the percentage of germanium in the film is low, preferably less than 5 atomic percent, so that the film has electrical and physical characteristics similar to polycrystalline or amo ⁇ hous silicon. Because the addition of germane reduces the temperature required to deposit a film, the present invention is able to deposit a silicon germanium film at temperatures as low as 520°C when silane is used as the silicon containing gas and as low as 420°C when disiline is used.
  • the present invention enables amo ⁇ hous and /or polycrystalline silicon germanium film to be formed at temperatures less than 550°C which allows a silicon germanium film to be formed on a substrate having low thermal stability, such as glass substrates, or substrates having films with low thermal stability. Additionally, the low deposition temperature makes the present invention compatible with low thermal budget processes. Still further, the low deposition temperature of the present invention improves the film step coverage which enables the silicon- germanium film to be deposited into high aspect ratio openings (openings greater than 2:1) such as found on substrates used for trench capacitor dynamic random access memories (DRAM).
  • DRAM trench capacitor dynamic random access memories
  • the silicon-germanium alloy film of the present invention will typically be formed on a substrate, such as semiconductor substrate 100 shown in Figure 1.
  • Substrate 100 is preferably a monocrystalline silicon wafer.
  • Substrate 100 need not necessarily be a silicon wafer, and may be other types of substrates such as gallium arsenide substrates, and glass (quartz) substrates used for flat panel displays.
  • Substrate 100 typically will include a plurality of spaced apart features or holes 102.
  • Features 102 can be due to, but not limited to, trenches formed in a substrate, field oxide regions grown on a substrate, and contact and via openings formed in an inner layer dielectric (ILD).
  • ILD inner layer dielectric
  • the process of the present invention is ideally suited for depositing a silicon germanium film into at high aspect ratio openings (greater than 2:1) during the formation of capacitors and contacts in the manufacture of modern high density dynamic random access memories (DRAMs) and other integrated circuits.
  • DRAMs high density dynamic random access memories
  • the present invention is ideally suited for use in the manufacturing of integrated circuits, the present invention is equally applicable to the fabrication of other products such as, but not limited to, flat panel displays.
  • substrate 100 can include films or be made of materials having low thermal stability such as commercial glass substrates used in the manufacturer of flat panel displays.
  • substrate 100 is defined as the material onto which a silicon germanium film of the present invention is deposited.
  • a substrate such as substrate 100
  • a thermal chemical vapor deposition apparatus such as single substrate reactor 300 shown in Figure 3.
  • the single substrate reactor 300 shown in Figure 3 has a top 312, sidewalk 314 and a bottom 318 that define a chamber 319 into which a single wafer or substrate 100 can be located.
  • Chamber 319 is designed to handle wafers up to 200mm and has a volume of approximately 10 liters such as used in the Applied Materials Centura Single Wafer Chamber Tool. It is to be appreciated that larger volume chambers for handling larger wafers such as 300mm, may be used if desired.
  • all flow rates provided herein are with respect to a 10 liter chamber and one skilled in the art will recognize the ability to scale flow rates for different volume chambers. What is important is to utilize the partial pressures of the gases provided herein.
  • Substrate 100 is mounted on a pedestal or susceptor 322 that is rotated by a motor (not shown) to provide a time average environment for substrate 100 that is cylindrically symmetric.
  • a susceptor circumscribing preheat ring 324 supported by sidewall 314 and surrounds susceptor 322 and substrate 100.
  • Lifting fingers 323 pass through holes (not shown) formed through susceptor 322 to engage the underside of substrate 100 to lift it off susceptor 322.
  • Substrate 100, preheat ring 324, and susceptor 322 are heated by light from a plurality of high intensity lamps 326 mounted outside of reactor 310.
  • High intensity lamps 326 were preferably tungsten halogen lamps which produce infrared (IR) light have a wavelength of approximately 1.1 microns.
  • the top 312 and bottom 318 of reactor 310 are substantially transparent to light to enable light from external lamps 326 to enter reactor 310 and heat susceptor 322, substrate 100 and preheat ring 324. Quartz is used for the top 312 and bottom 318 because it is transparent to light of a visible and IR frequency; because it is relatively high strength material that can support a large pressure difference across; and because it has a low rate of outgassing.
  • a suitable top temperature sensor 340 and a suitable bottom temperature sensor 342 such as pyrometers are positioned to measure the temperature of substrate 100 and a temperature of susceptor 322, respectively.
  • Apparatus 300 includes a system controller 350 which controls various operations, of apparatus 300 such as controlling gas flows, substrate temperature, and chamber pressure.
  • substrate 100 preheat ring 324 and susceptor 322 are heated by lamps 326 to a deposition temperature.
  • silane SSH4
  • disiline Si2H6
  • the deposition temperature is between 420-600°C.
  • the deposition rate decreases and step coverage improves.
  • the exact crystal structure of the deposited silicon germanium alloy depends upon the deposition temperature.
  • a reactant gas mix is fed into reaction chamber 319.
  • the deposition pressure and temperature are maintained within the specified ranges while reactant gas mix flows into reaction chamber 319 to deposit a silicon germanium alloy film 104 on substrate 100 as shown in Figure lb and setforth in block 210 of flow chart 200.
  • the reactant gas stream flows from gas input port 328, across preheat ring 324 where the gases are heated, across the substrate 100 in the direction of arrows 330 to deposit a silicon germanium film 104 thereon and out through exhaust port 332.
  • the gas input port 328 is connected, via conduit 334 to a gas supply represented by tanks 336 that provides one or a mixture of gases.
  • the reactant gas mix comprises a silicon containing gas, such as but not limited to silane (SiH4) and disiline (Si2H6), and germane (GeH4).
  • the silicon containing gas and germane (GeH4) are fed into the deposition chamber to produce an ambient which contains an amount of germane which is less than or equal to 3% of the amount of silicon containing gas.
  • Heat from the substrate, preheat ring, and susceptor causes the germane (GeH4) to disassociate and provide germanium atoms and causes the silicon source gas to disassociate and provide silicon atoms.
  • the silicon atoms and germanium atoms then combine to blanket deposit a silicon germanium alloy 104 on substrate 100.
  • germane (GeH4) The small amount of germane (GeH4) provided in the deposition chamber acts as a catalyst for the disassociation of the silicon source gas and thereby enables lower deposition temperatures to be achieved. That is, because germane (GeH4) decomposes easier than silane or disiline, it decomposes at a lower temperature than silane or disiline. When germane decomposes, it releases energy which transfers to the silicon source gas and assists in the disassociation of the silicon source gas.
  • a polycrystalline or amo ⁇ hous silicon germanium alloy film can be deposited at the same deposition rate, but at a deposition temperature of 50°C lower than as with an amo ⁇ hous or polycrystalline silicon film formed under the same conditions and reactant gases but without germane (GeH4).
  • a goal of the present invention is to provide an amo ⁇ hous or polycrystalline silicon germanium film which electrically and physically closely resembles an amo ⁇ hous or polycrystalline silicon film.
  • it is important to utilize a concentration ratio of silicon and germanium which will produce a silicon germanium film which inco ⁇ orates less than 10 percent atomic germanium, and preferably less than 5% and ideally less than 3% therein.
  • Inco ⁇ orating less than 10% of germanium into the silicon germanium alloy ensures that the silicon structure is not destroyed.
  • deposition temperatures less than 550°C can be used to form high quality amo ⁇ hous and polycrystalline silicon germanium films.
  • a relatively low deposition temperature can be achieved without the use of additional excitation sources such as plasma enhancement.
  • hydrogen incorporation into the silicon germanium film 104 is less than .1 atomic percent and less than .01 atomic percent after an anneal.
  • the silicon containing gas is provided into deposition chamber 319 at a flow rate of between 100 to 2000 seem (standard cubic centimeters per minute) to generate a silicon containing gas partial pressure of between 1.5 to 30 torr.
  • Germane is provided into the deposition chamber at a rate of between 1.0 to 20 seem to produce a germane partial pressure of between 0.015 to 0.30 torr.
  • a carrier gas such as, but not limited to, H2, or N2 can be used to provide germane into the reaction chamber.
  • the diluted germane can then be fed into the reaction chamber at a rate of between 100- 2000 seem to produce a germane partial pressure between 0.015 to 0.3 torr.
  • a dopant gas is preferably included into the reactant gas mix in order to produce an insitu dopant silicon germanium film.
  • a dopant gas is fed into reaction chamber 319 to produce a dopant gas partial pressure of between 0 to 0.30 torr with 0.15 torr being preferred.
  • the reactant gas mix has a dopant gas concentration which is less than or equal to 1% of the silicon source gas concentration.
  • the dopant gas is preferably diluted in the carrier gas such as hydrogen to form a 1% diluted dopant gas (i.e. diluted dopant gas equals 1% dopant gas and 99% carrier gas).
  • the diluted dopant gas is fed into the reaction chamber 319 at a rate between 0-2000 seem and preferably at a rate of 100-300 seem.
  • Phosphine (PH3) is the preferred doping gas but other doping gases such as, but not limited to, arsenine (AsH3) may be used if desired.
  • the silicon containing gas, the diluted germane, and the diluted doping gas are preferably fed into the reaction chamber 319 with the carrier gas such as, but not limited to, hydrogen, helium, argon or nitrogen.
  • the silicon containing gas, the diluted germane, and the dopent gas are added to a carrier gas which flows into reacting chamber 319 at a rate of between 4-12 SLM (standard liters per minute) and preferably at a rate of approximately 10 SLM.
  • Reactant gas is fed into reaction chamber 319 until a silicon germanium film of a desired thickness (T) is deposited over substrate 100.
  • Hydrogen (H, ) is preferred as the carrier gas and as the dilution gas in the present invention because an ambient comprising a large amount of H 2 can withstand a large thermal gradient.
  • the temperature of quartz windows 312 and 318 and sidewall 314 can be maintained at a temperature significantly lower then the temperature of substrate 100 during film deposition.
  • film deposition or coating on the windows and sidewall is substantially reduced. It is to be appreciated that film deposition on windows 312 can interfere with light transmission and thereby cause nonuniformity in substrate temperatures from substrate to substrate. Additionally by reducing film deposition on sidewalls 314 and windows 312 and 318 more wafers can be processed before cleaning is required.
  • a rapid thermal anneal at temperature about 1000°C for less than 15 seconds in a nitrogen /oxygen ambient can be used.
  • annealing substrate 100 requires an additional step many integrated circuit manufacturing processes, such as DRAM processes, require subsequent anneals for other pu ⁇ oses such as suicide formation and so the anneal step can be included without affecting throughput. Utilizing the anneal step of the present invention allows a low resistance polycrystalline silicon germanium film to be formed in high aspect ratio openings without void formation.
  • the process of the present invention can form a high quality polycrystalline or amo ⁇ hous silicon germanium film with a high dopant
  • the present invention can be reliably used to fill openings in a substrate 100 having a width less than .28 microns and an aspect ratio greater than 2.0 at a high deposition rate without creating voids therein.
  • the process of the present invention forms a silicon- germanium polycrystalline film with an average grain length of less than 1000 A or approximately 100 atoms.
  • the system controller 350 includes a hard disk drive (memory 352), a floppy disk drive and a processor 354.
  • the processor contains a single board computer (SBC), analog and digital input/output boards, interface boards and stepper motor controller board.
  • SBC single board computer
  • Various parts of CVD system 300 conform to the Versa Modular Europeans (VME) standard which defines board, card cage, and connector dimensions and types.
  • VME Versa Modular Europeans
  • the VME standard also defines the bus structure having a 16-bit data bus and 24-bit address bus.
  • System controller 350 controls all of the activities of the CVD machine.
  • the system controller executes system control software, which is a computer program stored in a computer-readable medium such as a memory 138.
  • memory 352 is a hard disk drive, but memory 352 may also be other kinds of memory.
  • the computer program includes sets of instructions that dictate the timing, mixture of gases, chamber pressure, chamber temperature, lamp power levels, susceptor position, and other parameters of a particular process.
  • other computer programs such as one stored on another memory device including, for example, a floppy disk or other another appropriate drive, may also be used to operate controller 350.
  • An input /output device 356 such as a CRT monitor and a keyboard is used to interface between a user and controller 350.
  • Figure 3B illustrates an example of the hierarchy of the system control computer program stored in memory 356.
  • the system control program includes a chamber manager subroutine 370.
  • the chamber manager subroutine 370 also controls execution of various chamber component subroutines which control operation of the chamber components necessary to carry out the selected process set. Examples of chamber component subroutines are process gas control subroutine 372, pressure control subroutine 374 and a lamp control subroutine 376. Those having ordinary skill in the art would readily recognize that other chamber control subroutines can be included depending on what processes are desired to be performed in the process chamber 319.
  • the chamber manager subroutine 370 selectively schedules or calls the process component subroutines in accordance with the particular process set being executed.
  • the chamber manager subroutine 370 includes steps of monitoring the various chamber components, determining which components needs to be operated based on the process parameters for the process set to be executed and causing execution of a chamber component subroutine responsive to the monitoring and determining steps.
  • the process gas control subroutine 372 has program code for controlling process gas composition and flow rates.
  • the process gas control subroutine 372 controls the open/close position of the safety shut-off valves, and also ramps up /down the mass flow controllers to obtain the desired gas flow rate.
  • the process gas control subroutine 372 is invoked by the chamber manager subroutine 370, as are all chamber component subroutines and receives from the chamber manager subroutine process parameters related to the desired gas flow rates.
  • the process gas control subroutine 372 operates by opening the gas supply lines, and repeatedly (i) reading the necessary mass flow controllers, (ii) comparing the readings to the desired flow rates received from the chamber manager subroutine 370, and (iii) adjusting the flow rates of the gas supply lines as necessary. Furthermore, the process gas control subroutine 372 includes steps for monitoring the gas flow rates for unsafe rates, and activating the safety shut-off valves when an unsafe condition is detected.
  • the lamp control subroutine 376 comprises program code for controlling the power provided to lamps 326 is used to heat the substrate 120.
  • the lamp control subroutine 376 is also invoked by the chamber manager subroutine 370 and receives a target, or setpoint, temperature parameter.
  • the lamp control subroutine 376 measures the temperature by measuring voltage output of the temperature measurement devices directed at the susceptor 322 compares the measured temperature to the setpoint temperature, and increases or decreases power applied to the lamps obtain the setpoint temperature.

Abstract

A method and apparatus for depositing a polycrystalline or amorphous silicon/germanium alloy thin film on a substrate. According to the present invention, the substrate is placed in a deposition chamber. A reacting gas mix including a silicon source gas and germane (GeH4) is then provided into the deposition chamber. The germane and silicon source gas are provided into the deposition chamber at a ratio so that the amount of germane in the chamber is less than or equal to 3 % of the amount of silicon source gas in the chamber. The silicon source gas is then thermally decomposed to form silicon atoms and the germane is thermally decomposed to form germanium atoms. A polycrystalline or amorphous silicon film is then formed on a substrate from the germanium atoms and silicon atoms.

Description

METHOD AND APPARATUS FOR FORMING AMORPHOUS AND POLYCRYSTALLINE SILICON GERMANIUM ALLOY FILMS
BACKGROUND OF THE INVENTION
1. FIELD OF THE INVENTION
The present invention relates to the field of thin film formation, and more particularly to a method and apparatus for depositing an amorphous or polycrystalline silicon germanium film at a reduced temperature and at a high deposition rate.
2. DISCUSSION OF RELATED ART
Polysilicon crystalline (polysilicon) and amorphous silicon thin films are used throughout the many semiconductor integrated circuit manufacturing processes. These films are used, for example, in the fabrication of gate electrodes, stack or trench capacitors, emitters, contacts, fuses, and antifuses. As device dimensions decrease to below 0.25 microns in order to increase packing density, aspect ratios (aspect ratio = depth/width) of holes, vias, and trenches in the integrated circuit are also increasing. In order to fill high aspect ratio openings (aspect ratios • to 2.5), deposition processes which are capable of good step coverage (step coverage % = film thickness on a step surface /film thickness on a flat surface x 100%) are required to ensure complete hole filling without the creation of voids.
One current method which can provide adequate step coverage is low pressure chemical vapor deposition (LPCVD). In LPCVD processes, reaction vessels are evacuated to relatively low pressures of between 100-1000 m torr. The low pressures associated with LPCVD processes cause silicon films to be deposited at low rates (about 100 angstroms (A) /minute for undoped films and about 2θA/minute for doped films). The low deposition rates enable the films to be deposited with good step coverage. When n-type dopants are introduced in a LPCVD batch system to produce an insitu doped film, step coverage decreases. A further reduction in the deposition rate is necessary for good step coverage. Although LPCVD processes can form high quality films, their low deposition rates necessitate the processing of multiple wafers (i.e. up to 100) at one time in a batch type reaction vessel. A problem with processing a plurality of wafers in a single machine at a single time is that it is difficult to obtain uniform thickness film and dopant concentration from wafer to wafer and from batch to batch. Nonuniformity in film thickness and doping profiles can drastically affect the electrical characteristics of the fabricated film and therefore, the performance and reliability of the fabricated device. Controlling film thickness and sheet resistance uniformity will be an even greater challenge for LPCVD batch systems when wafer size is increased to 300mm and above.
To fabricate polysilicon and amorphous silicon films with precise thickness and doping uniformity across a wafer and from wafer to wafer, single wafer CVD processes are used. A single wafer CVD process for producing a silicon layer on a silicon wafer is described in U.S. Serial No. 07/742,954, filed August 9, 1991, entitled Low Temperature High Pressure Silicon Deposition Method and is assigned to the present assignee. In such a process, a pressure between 10-350 torr is achieved and maintained in a reaction chamber. Hydrogen gas at about 10 liters /minutes is fed into the chamber along with less than 500 seem of silane (SiH4) (silane partial pressure is less than 4 torr) while the substrate is heated to a temperature of between 600-750 »C. An undoped polysilicon film is deposited under these conditions at a rate of up to about 2000 A/ minute. The higher pressure used in the single wafer method increases deposition rate of the polysilicon film. A phosphorous insitu doped polysilicon film can be deposited by including 300 seem of 1% phosphine (PH3) in hydrogen (PH3 partial pressure of about 0.023 torr) into the gas mix and by heating the substrate to a temperature of about 650 «C. With such a process, a polycrystalline silicon film containing about 1.5xlθ21/cm3 0f phosphorous can be deposited at a rate of up to about 150θA/minute.
A problem with the above referenced single wafer CVD processes is that step coverage is poor and so cannot be used to fill high aspect ratio openings without causing the formation of voids. Voids can cause reliability problems and failures in the fabricated integrated circuits. Additionally, if dopants are included into the gas mix to form a low resistivity insitu doped silicon film, step coverage becomes even worse. Another problem, with the above referenced process is that the growth rate is thermally activated with a relatively high activation energy of between 1.5 to 2.0eV. Thus, in order to deposit silicon films at an acceptable deposition rate for a single wafer reactor the deposition temperature must be relatively high between 600-750 #C Industry trend, however, is for reduced temperature processing in order to reduce the thermal budget of the manufacturing process. Additionally, many processes utilize films and substrates such as glass substrates which are incompatible with high temperature processing.
Thus, what is desired is a method for depositing a silicon film at a high deposition rate and low deposition temperature.
SUMMARY OF THE INVENTION
A method and apparatus for depositing a polycrystalline or amorphous silicon/germanium alloy thin film on a substrate. According to the present invention, a substrate is placed in a deposition chamber. A reactant gas mix including a silicon source gas and germane (GeH4) is then provided into the deposition chamber. The germane and silicon source gas are provided into the deposition chamber at a ratio so that the amount of germane in the chamber is less than or equal to 3% of the amount of silicon source gas in the chamber. The silicon source gas is then thermally decomposed to form silicon atoms and the germane is thermally decomposed to form germanium atoms. A polycrystalline or amorphous silicon film is then formed on the substrate from the germanium atoms and silicon atoms.
BRIEF DESCRIPTION OF THE DRAWINGS
Figure 1 A is an illustration of a cross sectional view of a substrate on which the silicon-germanium alloy film of the present invention can be formed.
Figure IB is an illustration of a cross-sectional view showing the formation of a silicon-germanium alloy film on the substrate of Figure 1A.
Figure 2 is a flowchart which illustrates a method of forming an amoφhous or polycrystalline silicon germanium film in accordance with the present invention.
Figure 3A is an illustration of a single wafer thermal chemical vapor deposition apparatus which can be used to deposit the amoφhous or polycrystalline silicon germanium alloy film of the present invention.
Figure 3B is an illustration of a system control computer program which can be used to control apparatus of Figure 3B.
DETAILED DESCRIPTION OF THE PRESENT INVENTION
The present invention describes a novel method and apparatus for depositing a polycrystalline or amoφhous silicon germanium film. In the following description, numerous specific details are set forth such as specific process parameters and implementation in order to provide a thorough understanding of the present invention. It will be obvious, however, to one skilled in the art, that the present invention may be practiced without the specific details. In other instances well known chemical vapor deposition (CVD) equipment and semiconductor methodology have not been described in particular detail in order to not necessarily obscure the present invention.
The present invention describes a method and apparatus for depositing at reduced temperature and high deposition rate a high quality uniform amoφhous or polycrystalline silicon germanium film with good step coverage. According to the present invention, a substrate (or wafer) is placed into a deposition chamber of a thermal chemical vapor deposition (CVD) apparatus. The pressure in the deposition chamber is then reduced to between 20-300 torrs and the substrate heated to a deposition temperature of between 420-650°C. A reactant gas mix comprising a silicon containing gas, and germane (GeH4) is then fed into the deposition chamber. The silicon source gas and germane (GeH4) are fed into the chamber at a ratio so that the amount of germane in the deposition chamber is less than or equal to 3% of the amount of silicon containing gas in the chamber. Heat from the substrate causes the silicon containing gas to disassociate and provide silicon atoms and causes the germane to disassociate and provide germanium atoms. A polycrystalline or amoφhous silicon germanium alloy having a very small percentage of germanium (less than 10 atomic percent) is then deposited on to the substrate from the germanium atoms and the silicon atoms. A dopant gas, such as phosphine, arsenine, or diborane can be included in the reacting gas mix, if desired, to produce a doped silicon germanium film of the desired concentration density and conduction type.
By including a small amount of germane (GeH4) into the reactant gas mix, the deposition temperature can be reduced by approximately 50°C and still obtain the same deposition rate as compared to when no germane is included in the reactive gas mix. Although the deposited amoφhous or polycrystalline film is a silicon germanium alloy, the percentage of germanium in the film is low, preferably less than 5 atomic percent, so that the film has electrical and physical characteristics similar to polycrystalline or amoφhous silicon. Because the addition of germane reduces the temperature required to deposit a film, the present invention is able to deposit a silicon germanium film at temperatures as low as 520°C when silane is used as the silicon containing gas and as low as 420°C when disiline is used. The present invention enables amoφhous and /or polycrystalline silicon germanium film to be formed at temperatures less than 550°C which allows a silicon germanium film to be formed on a substrate having low thermal stability, such as glass substrates, or substrates having films with low thermal stability. Additionally, the low deposition temperature makes the present invention compatible with low thermal budget processes. Still further, the low deposition temperature of the present invention improves the film step coverage which enables the silicon- germanium film to be deposited into high aspect ratio openings (openings greater than 2:1) such as found on substrates used for trench capacitor dynamic random access memories (DRAM).
The silicon-germanium alloy film of the present invention will typically be formed on a substrate, such as semiconductor substrate 100 shown in Figure 1. Substrate 100 is preferably a monocrystalline silicon wafer. Substrate 100, however, need not necessarily be a silicon wafer, and may be other types of substrates such as gallium arsenide substrates, and glass (quartz) substrates used for flat panel displays. Substrate 100 typically will include a plurality of spaced apart features or holes 102. Features 102 can be due to, but not limited to, trenches formed in a substrate, field oxide regions grown on a substrate, and contact and via openings formed in an inner layer dielectric (ILD). The process of the present invention is ideally suited for depositing a silicon germanium film into at high aspect ratio openings (greater than 2:1) during the formation of capacitors and contacts in the manufacture of modern high density dynamic random access memories (DRAMs) and other integrated circuits. Although the present invention is ideally suited for use in the manufacturing of integrated circuits, the present invention is equally applicable to the fabrication of other products such as, but not limited to, flat panel displays. It is to be appreciated that because the present invention is able to form polycrystalline or amoφhous silicon germanium film at temperatures less than 550°C, substrate 100 can include films or be made of materials having low thermal stability such as commercial glass substrates used in the manufacturer of flat panel displays. For the puφoses of the present invention, substrate 100 is defined as the material onto which a silicon germanium film of the present invention is deposited.
The method of the present invention will be described and illustrated with respect to the flowchart 200 of Figure 2. According to the first step of the present invention, as shown in block 202, a substrate, such as substrate 100, is placed into a deposition chamber of a thermal chemical vapor deposition apparatus, such as single substrate reactor 300 shown in Figure 3. The single substrate reactor 300 shown in Figure 3 has a top 312, sidewalk 314 and a bottom 318 that define a chamber 319 into which a single wafer or substrate 100 can be located. Chamber 319 is designed to handle wafers up to 200mm and has a volume of approximately 10 liters such as used in the Applied Materials Centura Single Wafer Chamber Tool. It is to be appreciated that larger volume chambers for handling larger wafers such as 300mm, may be used if desired. Additionally, all flow rates provided herein are with respect to a 10 liter chamber and one skilled in the art will recognize the ability to scale flow rates for different volume chambers. What is important is to utilize the partial pressures of the gases provided herein.
Substrate 100 is mounted on a pedestal or susceptor 322 that is rotated by a motor (not shown) to provide a time average environment for substrate 100 that is cylindrically symmetric. A susceptor circumscribing preheat ring 324 supported by sidewall 314 and surrounds susceptor 322 and substrate 100. Lifting fingers 323 pass through holes (not shown) formed through susceptor 322 to engage the underside of substrate 100 to lift it off susceptor 322. Substrate 100, preheat ring 324, and susceptor 322 are heated by light from a plurality of high intensity lamps 326 mounted outside of reactor 310. High intensity lamps 326 were preferably tungsten halogen lamps which produce infrared (IR) light have a wavelength of approximately 1.1 microns. The top 312 and bottom 318 of reactor 310 are substantially transparent to light to enable light from external lamps 326 to enter reactor 310 and heat susceptor 322, substrate 100 and preheat ring 324. Quartz is used for the top 312 and bottom 318 because it is transparent to light of a visible and IR frequency; because it is relatively high strength material that can support a large pressure difference across; and because it has a low rate of outgassing. A suitable top temperature sensor 340 and a suitable bottom temperature sensor 342 such as pyrometers are positioned to measure the temperature of substrate 100 and a temperature of susceptor 322, respectively. Although a lamp heated chamber is desired, the present invention can be carried out in other types of thermal CVD chambers such as resistively heated chambers. Apparatus 300 includes a system controller 350 which controls various operations, of apparatus 300 such as controlling gas flows, substrate temperature, and chamber pressure.
Next, according to block 204 of Figure 2, chamber 319 is evacuated through exhaust port 332 by a pump 344 to reduce the pressure in chamber 319 from atmospheric pressure to deposition pressure. The deposition pressure is the total pressure within chamber 319 when a silicon germanium film of the present invention is deposited. The deposition pressure of the present invention is between 20-300 torrs. The higher the deposition pressure, the higher will be the deposition rate. An increase in deposition pressure, increases the step coverage of the process at a fixed growth rate.
Next, as set forth in block 206, substrate 100 preheat ring 324 and susceptor 322 are heated by lamps 326 to a deposition temperature. When silane (SLH4) is used as a source of silicon, the deposition temperature is between 520-650°C. When disiline (Si2H6) is used as a source of silicon, the deposition temperature is between 420-600°C. As deposition temperature decreases, the deposition rate decreases and step coverage improves. The exact crystal structure of the deposited silicon germanium alloy depends upon the deposition temperature. Next, as set forth in block 208 of Figure 2, a reactant gas mix is fed into reaction chamber 319. The deposition pressure and temperature are maintained within the specified ranges while reactant gas mix flows into reaction chamber 319 to deposit a silicon germanium alloy film 104 on substrate 100 as shown in Figure lb and setforth in block 210 of flow chart 200. During deposition the reactant gas stream flows from gas input port 328, across preheat ring 324 where the gases are heated, across the substrate 100 in the direction of arrows 330 to deposit a silicon germanium film 104 thereon and out through exhaust port 332. The gas input port 328 is connected, via conduit 334 to a gas supply represented by tanks 336 that provides one or a mixture of gases. The gas concentrations and /or flow rate through conduit 334 and each of the ports 328 and 332 are selected to produce processing gas flows and concentration profiles that optimize processing uniformity. Although the rotation of the substrate and the thermal gradients caused by the heat from lamp 326 can significantly affect the flow of gases in reactor 300, the dominant shape of flow profile is laminar flow from gas input port 328 and across the preheat ring 324 and the substrate 320 to exhaust port 332.
According to the present invention, the reactant gas mix comprises a silicon containing gas, such as but not limited to silane (SiH4) and disiline (Si2H6), and germane (GeH4). The silicon containing gas and germane (GeH4) are fed into the deposition chamber to produce an ambient which contains an amount of germane which is less than or equal to 3% of the amount of silicon containing gas. Heat from the substrate, preheat ring, and susceptor causes the germane (GeH4) to disassociate and provide germanium atoms and causes the silicon source gas to disassociate and provide silicon atoms. The silicon atoms and germanium atoms then combine to blanket deposit a silicon germanium alloy 104 on substrate 100.
The small amount of germane (GeH4) provided in the deposition chamber acts as a catalyst for the disassociation of the silicon source gas and thereby enables lower deposition temperatures to be achieved. That is, because germane (GeH4) decomposes easier than silane or disiline, it decomposes at a lower temperature than silane or disiline. When germane decomposes, it releases energy which transfers to the silicon source gas and assists in the disassociation of the silicon source gas. By including a small amount of germane in the reactant gas mix, a polycrystalline or amoφhous silicon germanium alloy film can be deposited at the same deposition rate, but at a deposition temperature of 50°C lower than as with an amoφhous or polycrystalline silicon film formed under the same conditions and reactant gases but without germane (GeH4).
It is to be appreciated that a goal of the present invention is to provide an amoφhous or polycrystalline silicon germanium film which electrically and physically closely resembles an amoφhous or polycrystalline silicon film. As such, it is important to utilize a concentration ratio of silicon and germanium which will produce a silicon germanium film which incoφorates less than 10 percent atomic germanium, and preferably less than 5% and ideally less than 3% therein. Incoφorating less than 10% of germanium into the silicon germanium alloy ensures that the silicon structure is not destroyed. By utilizing a germane catalyst, deposition temperatures less than 550°C can be used to form high quality amoφhous and polycrystalline silicon germanium films. By utilizing the germane catalyst in the process of the present invention a relatively low deposition temperature can be achieved without the use of additional excitation sources such as plasma enhancement. By utilizing only thermal energy to disassociate the reactant gases, hydrogen incorporation into the silicon germanium film 104 is less than .1 atomic percent and less than .01 atomic percent after an anneal.
In an embodiment of the present invention, the silicon containing gas is provided into deposition chamber 319 at a flow rate of between 100 to 2000 seem (standard cubic centimeters per minute) to generate a silicon containing gas partial pressure of between 1.5 to 30 torr. Germane is provided into the deposition chamber at a rate of between 1.0 to 20 seem to produce a germane partial pressure of between 0.015 to 0.30 torr. Because such a small amount of germane is used in the present invention, a carrier gas such as, but not limited to, H2, or N2 can be used to provide germane into the reaction chamber. In such a case, germane can be diluted to form a one percent diluted germane gas (i.e., diluted germane = 1% germane and 99% carrier gas). The diluted germane can then be fed into the reaction chamber at a rate of between 100- 2000 seem to produce a germane partial pressure between 0.015 to 0.3 torr.
According to the present invention, a dopant gas is preferably included into the reactant gas mix in order to produce an insitu dopant silicon germanium film. A dopant gas is fed into reaction chamber 319 to produce a dopant gas partial pressure of between 0 to 0.30 torr with 0.15 torr being preferred. In one embodiment of the present invention, when a dopen silicon germanium film is desired the reactant gas mix has a dopant gas concentration which is less than or equal to 1% of the silicon source gas concentration. (It is to be appreciated that the resistivity of the deposited silicon germanium film is inversely proportional to the dopant gas/silicon gas concentration ratio. The higher the dopant gas /silicon gas concentration ratio, the lower the resistivity of the film). A relatively low dopant gas partial pressure (less than 0.20 torr) can be used in the present invention because the relatively low process temperature and high deposition pressure employed in the present invention increases the incoφoration of dopant in the silicon film. It is to be appreciated that the addition of dopant gas generally decreases the step coverage of the film. By keeping the deposition temperature relatively low in the present invention, less dopant gas is necessary to produce a lower resistivity film than is necessary to produce a similar resistivity film at higher temperatures. In this way, step coverage of a doped silicon germanium film is improved. The present invention preferably utilizes a dopant gas flow of between 0 to 20sccm, with 1.0 - 3.0 seem being preferred. The dopant gas is preferably diluted in the carrier gas such as hydrogen to form a 1% diluted dopant gas (i.e. diluted dopant gas equals 1% dopant gas and 99% carrier gas). The diluted dopant gas is fed into the reaction chamber 319 at a rate between 0-2000 seem and preferably at a rate of 100-300 seem. Phosphine (PH3) is the preferred doping gas but other doping gases such as, but not limited to, arsenine (AsH3) may be used if desired.
The silicon containing gas, the diluted germane, and the diluted doping gas are preferably fed into the reaction chamber 319 with the carrier gas such as, but not limited to, hydrogen, helium, argon or nitrogen. The silicon containing gas, the diluted germane, and the dopent gas are added to a carrier gas which flows into reacting chamber 319 at a rate of between 4-12 SLM (standard liters per minute) and preferably at a rate of approximately 10 SLM. Reactant gas is fed into reaction chamber 319 until a silicon germanium film of a desired thickness (T) is deposited over substrate 100.
Hydrogen (H, ) is preferred as the carrier gas and as the dilution gas in the present invention because an ambient comprising a large amount of H2 can withstand a large thermal gradient. In this way, the temperature of quartz windows 312 and 318 and sidewall 314 can be maintained at a temperature significantly lower then the temperature of substrate 100 during film deposition. By keeping the temperature of windows 312 and 318 and sidewall 314 low, film deposition or coating on the windows and sidewall is substantially reduced. It is to be appreciated that film deposition on windows 312 can interfere with light transmission and thereby cause nonuniformity in substrate temperatures from substrate to substrate. Additionally by reducing film deposition on sidewalls 314 and windows 312 and 318 more wafers can be processed before cleaning is required.
Next as shown in block 212, substrate 100 can be annealed if desired. Substrate 100 can be annealed in order to convert the as deposited amoφhous silicon germanium or amoφohous /polycrystalline silicon germanium film into a low resistance polycrystalline silicon germanium film. In this way an amoφhous silicon film can be deposited at a relatively low temperature in order to improve step coverage of the film and ensure complete hole filling and subsequently be converted by annealing into a low resistance polycrystalline silicon germanium film. Any well known method and equipment can be utilized to anneal substrate 100. For example, substrate 100 can be annealed in a furnace at a temperature greater than or equal to 800°C for 30 minutes in a nitrogen /oxygen ambient. Alternatively, a rapid thermal anneal (RTA) at temperature about 1000°C for less than 15 seconds in a nitrogen /oxygen ambient can be used. Although annealing substrate 100 requires an additional step many integrated circuit manufacturing processes, such as DRAM processes, require subsequent anneals for other puφoses such as suicide formation and so the anneal step can be included without affecting throughput. Utilizing the anneal step of the present invention allows a low resistance polycrystalline silicon germanium film to be formed in high aspect ratio openings without void formation.
The process of the present invention can form a high quality polycrystalline or amoφhous silicon germanium film with a high dopant
20 density (> 2 x 10 atoms/cm3) and therefore a low resistivity (less than 0.7 mohm.cm) at a high deposition rate (between 60θA/min - l,20θA/min) and with excellent step coverage (above 90%). The present invention can be reliably used to fill openings in a substrate 100 having a width less than .28 microns and an aspect ratio greater than 2.0 at a high deposition rate without creating voids therein. The process of the present invention forms a silicon- germanium polycrystalline film with an average grain length of less than 1000 A or approximately 100 atoms.
In a embodiment of the present invention the system controller 350 includes a hard disk drive (memory 352), a floppy disk drive and a processor 354. The processor contains a single board computer (SBC), analog and digital input/output boards, interface boards and stepper motor controller board. Various parts of CVD system 300 conform to the Versa Modular Europeans (VME) standard which defines board, card cage, and connector dimensions and types. The VME standard also defines the bus structure having a 16-bit data bus and 24-bit address bus.
System controller 350 controls all of the activities of the CVD machine. The system controller executes system control software, which is a computer program stored in a computer-readable medium such as a memory 138. Preferably, memory 352 is a hard disk drive, but memory 352 may also be other kinds of memory. The computer program includes sets of instructions that dictate the timing, mixture of gases, chamber pressure, chamber temperature, lamp power levels, susceptor position, and other parameters of a particular process. Of course, other computer programs such as one stored on another memory device including, for example, a floppy disk or other another appropriate drive, may also be used to operate controller 350. An input /output device 356 such as a CRT monitor and a keyboard is used to interface between a user and controller 350.
The process for depositing the film can be implemented using a computer program product which is stored in memory 352 and is executed by controller 350. The computer program code can be written in any conventional computer readable programming language, such as, 68000 assembly language, C, C + +, Pascal, Fortran, or others. Suitable program code is entered into a single file, or multiple files, using a conventional text editor, and stored or embodied in a computer usable medium, such as a memory system of the computer. If the entered code text is in a high level language, the code is compiled, and the resultant compiler code is then linked with an object code of precompiled windows library routines. To execute the linked compiled object code, the system user invokes the object code, causing the computer system to load the code in memory, from which the CPU reads and executes the code to perform the tasks identified in the program. Also stored in memory 352 are process parameters such as process gas flow rates and composition, temperatures and pressure necessary to carry out the deposition of amoφhous and polycrystalline silicon germanium alloys in accordance with the present invention.
Figure 3B illustrates an example of the hierarchy of the system control computer program stored in memory 356. The system control program includes a chamber manager subroutine 370. The chamber manager subroutine 370 also controls execution of various chamber component subroutines which control operation of the chamber components necessary to carry out the selected process set. Examples of chamber component subroutines are process gas control subroutine 372, pressure control subroutine 374 and a lamp control subroutine 376. Those having ordinary skill in the art would readily recognize that other chamber control subroutines can be included depending on what processes are desired to be performed in the process chamber 319. In operation, the chamber manager subroutine 370 selectively schedules or calls the process component subroutines in accordance with the particular process set being executed. Typically, the chamber manager subroutine 370 includes steps of monitoring the various chamber components, determining which components needs to be operated based on the process parameters for the process set to be executed and causing execution of a chamber component subroutine responsive to the monitoring and determining steps.
The process gas control subroutine 372 has program code for controlling process gas composition and flow rates. The process gas control subroutine 372 controls the open/close position of the safety shut-off valves, and also ramps up /down the mass flow controllers to obtain the desired gas flow rate. The process gas control subroutine 372 is invoked by the chamber manager subroutine 370, as are all chamber component subroutines and receives from the chamber manager subroutine process parameters related to the desired gas flow rates. Typically, the process gas control subroutine 372 operates by opening the gas supply lines, and repeatedly (i) reading the necessary mass flow controllers, (ii) comparing the readings to the desired flow rates received from the chamber manager subroutine 370, and (iii) adjusting the flow rates of the gas supply lines as necessary. Furthermore, the process gas control subroutine 372 includes steps for monitoring the gas flow rates for unsafe rates, and activating the safety shut-off valves when an unsafe condition is detected.
The pressure control subroutine 376 comprises program code for controlling the pressure in the chamber 319 by regulating the size of the opening of the throttle valve is set to control the chamber pressure to the desired level in relation to the total process gas flow, size of the process chamber, and pumping setpoint pressure for the exhaust system. When the pressure control subroutine 374 operates to measure the pressure in the chamber 319 by reading one or more conventional pressure nanometers connected to the chamber, compare the measure value(s) to the target pressure, obtain PID (proportional, integral, and differential) values from a stored pressure table corresponding to the target pressure, and adjust the throttle valve according to the PID values obtained from the pressure table. Alternatively, the pressure control subroutine 319 can be written to open or close the throttle valve to a particular opening size to regulate the chamber 115 to the desired pressure.
The lamp control subroutine 376 comprises program code for controlling the power provided to lamps 326 is used to heat the substrate 120. The lamp control subroutine 376 is also invoked by the chamber manager subroutine 370 and receives a target, or setpoint, temperature parameter. The lamp control subroutine 376 measures the temperature by measuring voltage output of the temperature measurement devices directed at the susceptor 322 compares the measured temperature to the setpoint temperature, and increases or decreases power applied to the lamps obtain the setpoint temperature.
Thus a method on apparatus for forming a uniform and high quality amoφhous or polycrystalline silicon-germanium film has been described.

Claims

IN THE CLAIMSWe claim:
1. A method of depositing a polycrystalline or amoφhous thin film on a substrate, said method comprising the steps of: placing a substrate in a deposition chamber; providing a silicon source gas into said deposition chamber; providing a germane gas into said deposition chamber, wherein the amount of germane in said chamber is less than or equal to 3% of the amount of said silicon source gas in said chamber; thermally decomposing said silicon source gas to form silicon atoms and said germane to form germanium atoms; and depositing a polycrystalline or amoφhous silicon germanium alloy film from said silicon atoms and said germanium atoms.
2. The method of claim 1 wherein the partial pressure of said silicon source gas in said deposition chamber is between 1.5 to 30 torrs.
3. The method of claim 2 wherein the partial pressure of said germanium source gas is between 0.015 to 0.3 torrs.
4. The method of claim 3 further comprising the step of generating a deposition pressure of between 20 to 300 torrs in said deposition chamber.
5. The method of claim 1 wherein said silicon germanium film has a average grain length less than 1000 A.
6. The method of claim 1 further comprising the step of heating said substrate to a temperature of less than 550┬░C while depositing said silicon germanium alloy.
7. The method of claim 1 wherein said silicon-germanium alloy film has less than 10 atomic percent germanium.
8. The method of claim 7 wherein said silicon-germanium alloy film has less than 5 atomic percent germanium.
9. The method of claim 1 further comprising the step of providing a dopant gas into said deposition chamber wherein the amount of dopant gas in said deposition chamber is less than 1% of the amount of silicon source gas in said deposition chamber.
10. The method of claim 9 wherein said dopant gas has a partial pressure between 0.015-0.3 torrs in said deposition chamber.
11. The method of claim 1 further comprising the steps of annealing said silicon-germanium alloy.
12. A method of depositing a polycrystalline or amoφhous thin film on a substrate, said method comprising the steps of: placing a substrate in a deposition chamber; providing a silicon source gas into said chamber, wherein the partial pressure of said silicon source gas is between 1.5 to 30 torrs; providing a germanium source gas into said deposition chamber, wherein said germanium source gas has a partial pressure of between 0.015 to 0.3 torrs; thermally decomposing said silicon source gas to form silicon atoms and said germanium source gas to form germanium atoms; and depositing polycrystalline or amoφhous silicon-germanium alloy film from said silicon atoms and said germanium atoms.
13. The method of claim 12 wherein the amount of said germanium source gas in said chamber is less than or equal to 3% of the amount of said silicon source gas in said chamber.
14. The method of claim 13 wherein said silicon-germanium alloy film comprises less than 10 atomic percent germanium.
15. The method of claim 14 wherein said silicon-germanium alloy comprises less than 5 atomic percent germanium.
16. The method of claim 12 further comprising the step of generating a deposition pressure of between 20-300 torrs in said deposition chamber.
17. The method of claim 12 further comprising the step of providing a carrier gas into said deposition chamber.
18. The method of claim 15 wherein said carrier gas is hydrogen (H2).
19. The method of claim 12 further comprising the step of providing a dopant gas into said chamber.
20. The method of claim 19 wherein said dopant gas has a partial pressure of between 0.015 to 0.3 torrs.
21. The method of claim 20 wherein the amount of dopant gas in said chamber is less than or equal to 1% of the amount of silicon source gas in said chamber.
22. The method of claim 12 wherein said silicon source gas is silane (SiH4) and wherein said substrate is heated to a temperature of less than 550┬░C during said silicon germanium alloy film deposition.
23. The method of claim 12 wherein said silicon source gas is disiline (Si2H6) and wherein said substrate is heated to a temperature of less than 450┬░C during said silicon germanium alloy film deposition.
24. The method of claim 12 wherein said silicon germanium film has an average grain length of less than lOOOA or approximately 100 atoms.
25. The method of claim 24 further comprising the step of annealing said silicon germanium film.
26. The method of claim 25 wherein said annealing occurs in a chamber of a rapid thermal processor having a nitrogen /oxygen ambient at a temperature of greater than or equal to 800┬░C.
27. A method of forming a thin film on a substrate, said method comprising the steps of: placing a substrate in a deposition chamber; generating a deposition pressure of between 20-300 torrs in said deposition chamber; heating said substrate to a temperature between 420-650┬░C; providing silane (SLH4) into said chamber, wherein the partial pressure of said silane (SiH4) is between 1.5 to 30 torrs; providing germane gas (GeH4) into said deposition chamber, wherein the partial pressure of said germane is between 0.015 to 0.3 torrs wherein the amount of germane in said chamber is less than or equal to 3% of the amount of silane in said chamber; thermally decomposing said silane to form silicon atoms and said germane (GeH4) to form germane atoms; and depositing a silicon germanium alloy film from said silicon atoms and said germanium atoms.
28. The method of claim 27 further comprising the steps of providing a dopant gas into said deposition chamber, wherein said dopant gas has a partial pressure of between 0.015 to 0.3 torrs.
29. A method of depositing a thin film on a substrate, said method comprising the steps of: placing the substrate in a deposition chamber; generating a deposition pressure of between 20 to 300 torrs in said deposition chamber; heating said substrate to a temperature between 420┬░ to 600┬░C; providing disiline (Si2H6) into said chamber wherein the partial pressure of said disiline is between 1.5 to 30 torrs; providing germane (GeH4) into said deposition chamber, wherein the partial pressure of said germane (GeH4) is between 0.015 to 0.3 torrs and wherein the amount of germane (GeH4) in said chamber is less than or equal to 3% of the amount of disiline in said chamber; thermally decomposing said disiline (Si2H6) gas to form silicon atoms and said germane to form germanium atoms; and depositing a silicon germanium alloy from said silicon atoms and said germanium atoms.
30. The method of claim 29 further comprising the steps providing a dopant gas into said chamber, wherein said dopant gas has a partial pressure of between 0.015 to 0.3 torrs.
31. A silicon germanium film, said silicon germanium film comprising: silicon atoms and germanium atoms wherein the number of germanium atoms in said silicon germanium film is less than 10% of the number of silicon atoms in said film.
32. The silicon germanium film of claim 31 wherein said silicon germanium film has an average grain length of less than 1000 A.
33. The silicon germanium film of claim 31 wherein said silicon germanium film comprises less than 0.1 atomic percent hydrogen.
34. A silicon germanium film, said silicon germanium film comprising: silicon atoms and germanium atoms wherein the number of germanium atoms in said silicon germanium film is less than 10% of the number of silicon atoms in said silicon germanium film; and wherein said silicon germanium film has less than 0.1 atomic percent hydrogen and wherein said silicon germanium film has an average grain length of less than 1000 A.
35. A substrate processing system comprising: a housing that forms a vacuum chamber; a substrate holder, located within said housing, that holds a substrate during substrate processing; a gas delivery system for introducing a process gas into said vacuum chamber to deposit a layer over said substrate; a controller for controlling said gas delivery system; and a memory coupled to said controller comprising a computer-readable medium having a computer-readable program embodied therein for directing operation of said chemical vapor deposition reactor system, said computer- readable program comprising: instructions for controlling said gas delivery system to introduce a deposition gas including a silicon source and germane, into said vacuum chamber to deposit a silicon germanium alloy over a substrate positioned on said substrate holder, said instructions introducing said germane into said chamber at a first rate and said silicon source at a second rate wherein said first and second rates being selected to provide an amount of germane gas into said deposition which is less than or equal to 3 percent of the amount of silicon source gas provided into said chamber.
PCT/US1999/014773 1998-07-09 1999-06-29 Method and apparatus for forming amorphous and polycrystalline silicon germanium alloy films WO2000003061A1 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
EP99932079A EP1100978A1 (en) 1998-07-09 1999-06-29 Method and apparatus for forming amorphous and polycrystalline silicon germanium alloy films
KR1020017000352A KR20010053459A (en) 1998-07-09 1999-06-29 Method and apparatus for forming amorphous and polycrystalline silicon germanium alloy films
JP2000559275A JP2002520487A (en) 1998-07-09 1999-06-29 Method and apparatus for forming an alloy film of amorphous silicon, polycrystalline silicon and germanium

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11352998A 1998-07-09 1998-07-09
US09/113,529 1998-07-09

Publications (1)

Publication Number Publication Date
WO2000003061A1 true WO2000003061A1 (en) 2000-01-20

Family

ID=22349958

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US1999/014773 WO2000003061A1 (en) 1998-07-09 1999-06-29 Method and apparatus for forming amorphous and polycrystalline silicon germanium alloy films

Country Status (4)

Country Link
EP (1) EP1100978A1 (en)
JP (1) JP2002520487A (en)
KR (1) KR20010053459A (en)
WO (1) WO2000003061A1 (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003197535A (en) * 2001-12-21 2003-07-11 Sumitomo Mitsubishi Silicon Corp Vapor growth device, method for detecting temperature of vapor growth device, and method for controlling temperature of vapor growth device
CN103515224A (en) * 2012-06-29 2014-01-15 无锡华润上华科技有限公司 Rapid annealing method for polysilicon after ion implantation
US8921205B2 (en) 2002-08-14 2014-12-30 Asm America, Inc. Deposition of amorphous silicon-containing films
CN111968909A (en) * 2020-10-22 2020-11-20 晶芯成(北京)科技有限公司 Method for manufacturing semiconductor structure
WO2022108868A1 (en) * 2020-11-20 2022-05-27 Applied Materials, Inc. Conformal silicon-germanium film deposition

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101106480B1 (en) * 2009-06-12 2012-01-20 한국철강 주식회사 Method for Manufacturing Photovoltaic Device
US8450221B2 (en) * 2010-08-04 2013-05-28 Texas Instruments Incorporated Method of forming MOS transistors including SiON gate dielectric with enhanced nitrogen concentration at its sidewalls

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4344984A (en) * 1980-06-24 1982-08-17 Thomson-Csf Process for producing a layer containing silicon
US5700520A (en) * 1991-08-09 1997-12-23 Applied Materials, Inc. Low temperature, high pressure silicon deposition method
EP0843348A2 (en) * 1996-11-13 1998-05-20 Applied Materials, Inc. Method and apparatus for processing a semiconductor substrate

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4344984A (en) * 1980-06-24 1982-08-17 Thomson-Csf Process for producing a layer containing silicon
US5700520A (en) * 1991-08-09 1997-12-23 Applied Materials, Inc. Low temperature, high pressure silicon deposition method
EP0843348A2 (en) * 1996-11-13 1998-05-20 Applied Materials, Inc. Method and apparatus for processing a semiconductor substrate

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
KI-JOON KIM ET AL: "EFFECTS OF MIXING GERMANE IN SILANE GAS-SOURCE MOLECULAR BEAM EPITAXY", APPLIED PHYSICS LETTERS, vol. 62, no. 26, 28 June 1993 (1993-06-28), pages 3461 - 3463, XP000382501, ISSN: 0003-6951 *
KOLODZEY ET AL.: "Properties of a-Si,Ge:H,F alloys prepared by rf glow discharge in an ultrahigh vacuum reactor", JOURNAL OF VACUUM SCIENCE AND TECHNOLOGY: PART A., vol. 4, no. 6, November 1986 (1986-11-01) - December 1986 (1986-12-01), AMERICAN INSTITUTE OF PHYSICS. NEW YORK., US, pages 2499 - 2504, XP002121307, ISSN: 0734-2101 *

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003197535A (en) * 2001-12-21 2003-07-11 Sumitomo Mitsubishi Silicon Corp Vapor growth device, method for detecting temperature of vapor growth device, and method for controlling temperature of vapor growth device
US8921205B2 (en) 2002-08-14 2014-12-30 Asm America, Inc. Deposition of amorphous silicon-containing films
CN103515224A (en) * 2012-06-29 2014-01-15 无锡华润上华科技有限公司 Rapid annealing method for polysilicon after ion implantation
CN111968909A (en) * 2020-10-22 2020-11-20 晶芯成(北京)科技有限公司 Method for manufacturing semiconductor structure
WO2022108868A1 (en) * 2020-11-20 2022-05-27 Applied Materials, Inc. Conformal silicon-germanium film deposition

Also Published As

Publication number Publication date
KR20010053459A (en) 2001-06-25
EP1100978A1 (en) 2001-05-23
JP2002520487A (en) 2002-07-09

Similar Documents

Publication Publication Date Title
EP1117854B1 (en) Method and apparatus for forming polycrystalline and amorphous silicon films
US7253084B2 (en) Deposition from liquid sources
US6297152B1 (en) CVD process for DCS-based tungsten silicide
US6162715A (en) Method of forming gate electrode connection structure by in situ chemical vapor deposition of tungsten and tungsten nitride
US6489241B1 (en) Apparatus and method for surface finishing a silicon film
US7651953B2 (en) Method to form ultra high quality silicon-containing compound layers
US6099904A (en) Low resistivity W using B2 H6 nucleation step
US6774040B2 (en) Apparatus and method for surface finishing a silicon film
EP0704551B1 (en) Method of processing a substrate in a vacuum processing chamber
US5863598A (en) Method of forming doped silicon in high aspect ratio openings
WO2004082003A2 (en) Apparatuses and methods for forming a substantially facet-free epitaxial film
US6017144A (en) Method and apparatus for depositing highly oriented and reflective crystalline layers using a low temperature seeding layer
US7273818B2 (en) Film formation method and apparatus for semiconductor process
US6559052B2 (en) Deposition of amorphous silicon films by high density plasma HDP-CVD at low temperatures
EP1100978A1 (en) Method and apparatus for forming amorphous and polycrystalline silicon germanium alloy films
US6573180B2 (en) PECVD method of forming a tungsten silicide layer on a polysilicon layer
Regolini et al. Aspects of the selective deposition of TiSi2 by LRP-CVD for use in ULSI submicron technology
Goulding The selective epitaxial growth of silicon
EP1887617A2 (en) Deposition method over mixed substrates using trisilane
Fitch et al. Morphology and Step Coverage of In-Situ Doped Polysilicon Films Deposited by Single Wafer CVD
Lee et al. Growth of Thin Epitaxial Silicon Layers on Heavily Doped Substrates by RTP-CVD

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): JP KR

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE

121 Ep: the epo has been informed by wipo that ep was designated in this application
DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
ENP Entry into the national phase

Ref country code: JP

Ref document number: 2000 559275

Kind code of ref document: A

Format of ref document f/p: F

WWE Wipo information: entry into national phase

Ref document number: 1020017000352

Country of ref document: KR

WWE Wipo information: entry into national phase

Ref document number: 1999932079

Country of ref document: EP

WWP Wipo information: published in national office

Ref document number: 1999932079

Country of ref document: EP

WWP Wipo information: published in national office

Ref document number: 1020017000352

Country of ref document: KR

WWW Wipo information: withdrawn in national office

Ref document number: 1999932079

Country of ref document: EP

WWW Wipo information: withdrawn in national office

Ref document number: 1020017000352

Country of ref document: KR