KR20010053459A - Method and apparatus for forming amorphous and polycrystalline silicon germanium alloy films - Google Patents

Method and apparatus for forming amorphous and polycrystalline silicon germanium alloy films Download PDF

Info

Publication number
KR20010053459A
KR20010053459A KR1020017000352A KR20017000352A KR20010053459A KR 20010053459 A KR20010053459 A KR 20010053459A KR 1020017000352 A KR1020017000352 A KR 1020017000352A KR 20017000352 A KR20017000352 A KR 20017000352A KR 20010053459 A KR20010053459 A KR 20010053459A
Authority
KR
South Korea
Prior art keywords
silicon
germanium
thin film
deposition chamber
substrate
Prior art date
Application number
KR1020017000352A
Other languages
Korean (ko)
Inventor
슐린 왕
요하네스 에프. 엔. 스웬버그
코리 엠. 크자닉
Original Assignee
조셉 제이. 스위니
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 조셉 제이. 스위니, 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 조셉 제이. 스위니
Publication of KR20010053459A publication Critical patent/KR20010053459A/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material

Landscapes

  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

본 발명은 기판 상에 다결정 또는 비정질 실리콘/게르마늄 합금 박막을 증착하기 위한 방법 및 장치에 관한 것이다. 본 발명에 따르면, 기판은 증착 챔버 내에 위치된다. 이후, 실리콘 소오스 가스 및 게르마인(GeH4)을 포함하는 반응 가스 혼합물이 증착 챔버 내로 제공된다. 게르마인 및 실리콘 소오스 가스는 챔버 내의 게르마인의 양이 챔버 내의 실리콘 소오스 가스의 양의 3% 또는 그 이하가 되는 비율로 증착 챔버 내로 제공된다. 이후, 실리콘 소오스 가스는 실리콘 원자를 형성하도록 열적으로 분해되며, 게르마인은 게르마늄 원자를 형성하도록 열적으로 분해된다. 이후, 다결정 또는 비정질 실리콘 박막이 게르마늄 원자 및 실리콘 원자로부터 기판 상에 형성된다.The present invention relates to a method and apparatus for depositing polycrystalline or amorphous silicon / germanium alloy thin films on a substrate. According to the invention, the substrate is located in a deposition chamber. Thereafter, a reactant gas mixture comprising silicon source gas and germane (GeH 4 ) is provided into the deposition chamber. Germanes and silicon source gases are provided into the deposition chamber at a rate such that the amount of germanes in the chamber is 3% or less of the amount of silicon source gas in the chamber. The silicon source gas is then thermally decomposed to form silicon atoms, and the germanium is thermally decomposed to form germanium atoms. A polycrystalline or amorphous silicon thin film is then formed on the substrate from germanium atoms and silicon atoms.

Description

비정질 및 다결정 실리콘 게르마늄 합금 박막 형성 방법 및 장치 {METHOD AND APPARATUS FOR FORMING AMORPHOUS AND POLYCRYSTALLINE SILICON GERMANIUM ALLOY FILMS}Amorphous and polycrystalline silicon germanium alloy thin film formation method and apparatus {METHOD AND APPARATUS FOR FORMING AMORPHOUS AND POLYCRYSTALLINE SILICON GERMANIUM ALLOY FILMS}

다결정 폴리실리콘(polysilicon) 및 비정질 실리콘 박막은 여러 반도체 집적 회로 제조 공정에서 사용된다. 이들 박막은 예컨대 게이트 전극, 스택 또는 트렌치 캐패시터, 이미터(emitter), 콘택, 퓨즈, 및 안티퓨즈(antifuze)의 제조에 사용된다. 팩킹 밀도가 증가시키기 위해 소자의 칫수가 0.25미크론 아래로 감소됨에 따라, 집적 회로 내의 홀, 비아, 및 트렌치의 종횡비(깊이/폭)가 또한 증가된다. 높은 종횡비(2.5까지의 종횡비)를 갖는 홀을 충진하기 위해, 양호한 스텝 커버리지(스텝 커버리지 % = 스텝 표면의 박막 두께/편평한 표면 상의 박막 두께 ×100%)를 달성할 수 있는 증착 공정이 공극 없이 홀을 완전히 충진할 수 있도록 요구된다.Polycrystalline polysilicon and amorphous silicon thin films are used in many semiconductor integrated circuit manufacturing processes. These thin films are used, for example, in the manufacture of gate electrodes, stacks or trench capacitors, emitters, contacts, fuses, and antifuzes. As the dimensions of the device are reduced below 0.25 microns to increase the packing density, the aspect ratio (depth / width) of the holes, vias, and trenches in the integrated circuit also increases. In order to fill holes with high aspect ratios (aspect ratio up to 2.5), a deposition process that can achieve good step coverage (step coverage% = thin film thickness on the step surface / thin film thickness on a flat surface x 100%) has no holes without voids. It is required to fully fill it.

적절한 스텝 커버리지를 제공할 수 있는 최근의 일 방법은 저압 화학 기상 증착(LPCVD)이다. 이러한 LPCVD 공정에서, 반응 용기는 100 내지 1000mTorr의 비교적 저압으로 배출된다. LPCVD 공정과 관련된 저압은 실리콘 박막이 저속(비도핑된 박막에 대해서는 약 100Å/분 및 도핑된 박막에 대해서는 약 20Å/분)으로 증착되도록 한다. 낮은 증착 속도는 박막이 양호한 스텝 커버리지로 증착될 수 있도록 한다. n형 도판트가 인시츄 도핑된 박막을 형성하도록 LPCVD 배치 시스템 내로 도입될 때, 스텝 커버리지가 감소된다. 양호한 스텝 커버리지를 위해서는 증착 속도의 추가적인 감소가 필수적이다. 비록 LPCVD 공정이 높은 품질의 박막을 형성할 수 있지만, 낮은 증착 속도는 배치형 반응 용기에서 동시에 다수의 웨이퍼(즉, 100개까지)의 처리를 필요로 한다. 단일 장치에서 다수의 웨이퍼를 동시에 처리할 때의 문제점은 균일한 박막 두께 및 웨이퍼로부터 웨이퍼로 그리고 배치로부터 배치로의 균일한 도판트 농도를 얻기가 난해하다는 점이다. 불균일한 박막 두께 및 도핑 프로파일은 제조된 박막의 전기적 특성에 전기적 특성에 악영향을 미쳐서, 제조된 소자의 성능 및 신뢰성에 악영향을 미친다. 박막 두께 및 시이트 저항 균일성의 제어는 웨이퍼 크기가 300mm 이상으로 증가되는 경우에 LPCVD 배치 시스템에 대한 보다 많이 시도될 것이다.One recent method that can provide adequate step coverage is low pressure chemical vapor deposition (LPCVD). In this LPCVD process, the reaction vessel is discharged at a relatively low pressure of 100 to 1000 mTorr. The low pressure associated with the LPCVD process allows the silicon thin film to be deposited at low speed (about 100 kW / min for undoped thin film and about 20 kW / min for doped thin film). Low deposition rates allow thin films to be deposited with good step coverage. When the n-type dopant is introduced into the LPCVD batch system to form an in-situ doped thin film, step coverage is reduced. Further reduction of deposition rate is necessary for good step coverage. Although LPCVD processes can form high quality thin films, low deposition rates require the processing of multiple wafers (ie up to 100) simultaneously in a batch reaction vessel. The problem with processing multiple wafers simultaneously in a single device is that it is difficult to obtain uniform thin film thicknesses and uniform dopant concentrations from wafer to wafer and from batch to batch. Uneven thin film thickness and doping profile adversely affect the electrical properties of the produced thin film, and thus adversely affect the performance and reliability of the manufactured device. Control of thin film thickness and sheet resistance uniformity will be more attempted for LPCVD batch systems when wafer size is increased to over 300 mm.

웨이퍼를 가로질러 그리고 웨이퍼로부터 웨이퍼로 정확한 두께 및 도핑 균일성을 갖는 다결정 및 비정질 실리콘 박막을 제조하기 위해, 단일 웨이퍼 CVD 공정이 사용된다. 실리콘 웨이퍼 상에 실리콘층을 제조하기 위한 단일 웨이퍼 CVD 공정은 발명의 명칭이 "저온 고압 실리콘 증착 방법(Low Temperature High Pressure Silicon Deposition Method"이고 1991년 8월 9일자로 출원되고 본 출원인에게 양도된 미국 특허 출원 제 07/742,954호에 개시되어 있다. 이러한 공정에서, 10 내지 350torr의 압력이 달성되고 반응 챔버 내에서 유지된다. 기판이 600 내지 750℃의 온도로 가열되는 동안, 약 10ℓ/분의 수소 가스가 500sccm 이하의 실라인(SiH4)(실라인의 부분 압력은 4torr 이하이다)과 함께 챔버 내로 공급된다. 비도핑된 폴리실리콘 박막은 이러한 조건들 하에서 약 2000Å/분의 속도로 증착된다. 단일 웨이퍼 처리 방법에서 사용된 고압은 폴리실리콘 박막의 증착 속도를 증가시킨다. 포스포로스(phosphorous) 비정질 인시츄 도핑된 폴리실리콘 박막은 수소 내의 1%의 포스핀(PH3)(약 0.023torr의 PH3부분압)의 300sccm을 가스 혼합물 내로 포함시키고, 약 650℃의 온도로 기판을 가열함으로써 증착될 수 있다. 이러한 공정에서, 약 1.5×1021/cm3의 포스포로스를 포함하는 다결정 폴리실리콘 박막은 약 1500Å/분 까지의 속도로 증착될 수 있다.A single wafer CVD process is used to produce polycrystalline and amorphous silicon thin films with accurate thickness and doping uniformity across the wafer and from wafer to wafer. A single wafer CVD process for fabricating a silicon layer on a silicon wafer is a US invention entitled “Low Temperature High Pressure Silicon Deposition Method” and filed on August 9, 1991 and assigned to the applicant. Patent Application No. 07 / 742,954. In this process, a pressure of 10 to 350 torr is achieved and maintained in the reaction chamber, while the substrate is heated to a temperature of 600 to 750 ° C., about 10 L / min of hydrogen Gas is fed into the chamber with a seal line (SiH 4 ) of 500 sccm or less (partial pressure of the seal line is 4 tor or less). The high pressure used in the single wafer processing method increases the deposition rate of the polysilicon thin film. The phosphorous amorphous in-situ doped polysilicon thin film is deposited in hydrogen. 300 sccm of 1% phosphine (PH 3 ) (PH 3 partial pressure of about 0.023 torr) can be incorporated into the gas mixture and deposited by heating the substrate to a temperature of about 650 ° C. In this process, about 1.5 × 10 Polycrystalline polysilicon thin films comprising 21 / cm 3 phosphorus can be deposited at rates up to about 1500 kW / min.

상기한 단일 웨이퍼 CVD 공정이 가지는 문제점은 스텝 커버리지가 불량하고, 공극을 형성시키지 않으면서 높은 종횡비의 구멍을 충진하는데 사용될 수 없다는 점이다. 공극은 제조된 집적 회로에서 신뢰성 및 파손의 문제점을 야기할 수 잇다. 추가로, 도판트가 낮은 저항성 인시츄 도핑된 실리콘 박막을 형성하도록 가스 혼합물에 포함된다면, 스텝 커버리지가 더욱 악화될 것이다. 상기한 공정이 가지는 또다른 문제점은 성장 속도가 1.5 내지 2.0eV 의 비교적 높은 활성화 에너지로 열적으로 활성화된다는 점이다. 따라서, 단일 웨이퍼 반응기에서 수용가능한 증착 속도로 실리콘 박막을 증착하기 위해, 증착 온도는 600 내지 750℃로 비교적 높아야만 한다. 그렇지만, 산업계의 경향은 제조 공정의 열적 비용을 감소시키기 위해 공정 온도를 감소시키고 있다. 추가로, 여러 공정이 높은 공정 온도가 사용될 수 없는 유리 기판과 같은 박막 및 기판을 사용한다.A problem with the single wafer CVD process described above is that the step coverage is poor and cannot be used to fill high aspect ratio holes without forming voids. The voids can cause problems of reliability and breakdown in the fabricated integrated circuit. In addition, if the dopant is included in the gas mixture to form a low resistive in situ doped silicon thin film, the step coverage will be worse. Another problem with the above process is that the growth rate is thermally activated with a relatively high activation energy of 1.5 to 2.0 eV. Thus, to deposit a silicon thin film at an acceptable deposition rate in a single wafer reactor, the deposition temperature must be relatively high, between 600 and 750 ° C. However, industry trends are reducing process temperatures to reduce the thermal cost of manufacturing processes. In addition, many processes use thin films and substrates, such as glass substrates, where high process temperatures cannot be used.

본 발명은 박막 형성 분야에 관한 것으로서, 보다 상세하게는 감소된 온도 및 높은 증착 속도에서 비정질 또는 다결정 실리콘 게르마늄 박막을 증착하는 방법 및 장치에 관한 것이다.FIELD OF THE INVENTION The present invention relates to the field of thin film formation, and more particularly, to a method and apparatus for depositing amorphous or polycrystalline silicon germanium thin films at reduced temperatures and high deposition rates.

도 1a는 본 발명에 따른 실리콘-게르마늄 합금 박막이 형성될 수 있는 기판의 단면도이다.1A is a cross-sectional view of a substrate on which a silicon-germanium alloy thin film according to the present invention may be formed.

도 1b는 도 1a의 기판 상에 형성된 실리콘-게르마늄 박막을 도시한 단면도이다.FIG. 1B is a cross-sectional view illustrating a silicon-germanium thin film formed on the substrate of FIG. 1A.

도 2는 본 발명에 따른 비정질 또는 다결정 실리콘 게르마늄 박막을 형성하는 방법을 도시한 플루우챠트이다.2 is a flow chart illustrating a method of forming an amorphous or polycrystalline silicon germanium thin film according to the present invention.

도 3a는 본 발명에 따른 비정질 또는 다결정 실리콘 게르마늄 합금을 증착하는데 사용될 수 있는 단일 웨이퍼 열적 화학 기상 증착 장치를 도시한 도면이다.3A illustrates a single wafer thermal chemical vapor deposition apparatus that may be used to deposit an amorphous or polycrystalline silicon germanium alloy in accordance with the present invention.

도 3b는 도 3a의 장치를 제어하는데 사용될 수 있는 시스템 제어 컴퓨터 프로그램을 도시한 도면이다.3B illustrates a system control computer program that may be used to control the apparatus of FIG. 3A.

따라서, 본 발명의 목적은 높은 증착 속도 및 낮은 증착 온도에서 실리콘 박막을 증착할 수 있는 방법을 제공하는 것이다.It is therefore an object of the present invention to provide a method capable of depositing silicon thin films at high deposition rates and low deposition temperatures.

본 발명은 기판 상에 다결정 또는 비정질 실리콘/게르마늄 합금 박막을 증착하기 위한 방법 및 장치를 제공하는 것이다. 본 발명에 따르면, 기판은 증착 챔버 내에 배치된다. 실리콘 소오스 가스 및 게르마인(Germane)(GeH4)을 포함하는 반응 가스 혼합물이 이후 증착 챔버 내로 제공된다. 게르마인 및 실리콘 소오스 가스는 챔버 내의 게르마인의 양이 챔버 내의 실리콘 소오스 가스의 양의 3% 또는 그 이하가 되는 비율로 증착 챔버 내로 제공된다. 이후, 실리콘 소오스 가스는 실리콘 원자를 형성하도록 열적으로 분해되며, 게르마인은 게르마늄 원자를 형성하도록 열적으로 분해된다. 이후, 다결정 또는 비정질 실리콘 박막이 게르마늄 원자 및 실리콘 원자로부터 기판 상에 형성된다.The present invention provides a method and apparatus for depositing polycrystalline or amorphous silicon / germanium alloy thin films on a substrate. According to the invention, the substrate is placed in a deposition chamber. A reactant gas mixture comprising a silicon source gas and Germane (GeH 4 ) is then provided into the deposition chamber. Germanes and silicon source gases are provided into the deposition chamber at a rate such that the amount of germanes in the chamber is 3% or less of the amount of silicon source gas in the chamber. The silicon source gas is then thermally decomposed to form silicon atoms, and the germanium is thermally decomposed to form germanium atoms. A polycrystalline or amorphous silicon thin film is then formed on the substrate from germanium atoms and silicon atoms.

본 발명은 다결정 또는 비정질 실리콘 게르마늄 박막을 증착하기 위한 신규한 방법 및 장치에 관한 것이다. 이하의 기술에서 특정한 수치 한정은 본 발명의 보다 용이한 이해를 위해 특정한 공정 인자 및 도구로써 설정된다. 그렇지만, 당업자들은 본 발명이 특정한 한정 없이도 수행될 수도 있음을 이해할 것이다. 다른 예에서 공지된 화학 기상 증착 장치 및 반도체 방법론은 본 발명이 불명료해지는 것을 방지하기 위해 상세한 설명에서 기술하지 않았다.The present invention relates to a novel method and apparatus for depositing polycrystalline or amorphous silicon germanium thin films. Certain numerical limits in the following description are set forth with specific process factors and tools for easier understanding of the present invention. However, those skilled in the art will appreciate that the invention may be practiced without the specific limitations. In other instances, known chemical vapor deposition apparatus and semiconductor methodologies have not been described in the detailed description in order to avoid obscuring the present invention.

본 발명은 감소된 온도 및 높은 증착 속도에서 양호한 스텝 커버리지를 가지면서 높은 품질의 균일한 비정질 또는 다결정 실리콘 게르마늄 박막을 증착하기 위한 방법 및 장치를 제공하는 것이다. 본 발명에 따르면, 기판(또는 웨이퍼)은 열적 화학 기상 증착 장치의 증착 챔버 내에 위치된다. 이후, 증착 챔버 내의 압력은 20 내지 300torr로 감소되고, 기판은 420 내지 650℃의 증착 온도로 가열된다. 이후, 실리콘 함유 가스와 게르마인(GeH4)을 포함하는 반응 가스 혼합물이 증착 챔버 내로 공급된다. 실리콘 소오스 가스 및 게르마인(GeH4)은 증착 챔버 내의 게르마인의 양이 챔버 내의 실리콘 함유 가스 양의 3% 또는 그 이하가 되도록 챔버 내로 공급된다. 기판으로부터의 열은 실리콘 함유 가스를 분해시키고 실리콘 원자를 제공하도록 하며, 게르마인을 분해시키고 게르마늄 원자를 제공하도록 한다. 이후, 미소한 퍼센트의 게르마늄(10원자% 이하)을 함유하는 다결정 또는 비정질 실리콘 게르마늄 합금이 게르마늄 원자 및 실리콘 원자로부터 기판 상으로 증착된다. 원하는 농도 밀도 및 도전 형태를 갖는 도핑된 실리콘 게르마늄 박막을 제조하기 위해, 원한다면, 포스핀, 아르세닌, 또는 디보레인과 같은 도판트 가스가 반응 가스 혼합물에 포함될 수도 있다.The present invention provides a method and apparatus for depositing high quality uniform amorphous or polycrystalline silicon germanium thin films with good step coverage at reduced temperatures and high deposition rates. According to the invention, the substrate (or wafer) is located in a deposition chamber of a thermal chemical vapor deposition apparatus. Thereafter, the pressure in the deposition chamber is reduced to 20 to 300 torr, and the substrate is heated to a deposition temperature of 420 to 650 ° C. Thereafter, a reactant gas mixture comprising silicon containing gas and germane (GeH 4 ) is fed into the deposition chamber. Silicon source gas and germane (GeH 4 ) are fed into the chamber such that the amount of germane in the deposition chamber is 3% or less of the amount of silicon-containing gas in the chamber. Heat from the substrate allows to decompose the silicon containing gas and provide silicon atoms, decompose germanes and provide germanium atoms. Thereafter, a polycrystalline or amorphous silicon germanium alloy containing a small percentage of germanium (up to 10 atomic%) is deposited from the germanium atoms and silicon atoms onto the substrate. To produce a doped silicon germanium thin film having the desired concentration density and conductivity form, a dopant gas such as phosphine, arsenine, or diborane may be included in the reaction gas mixture, if desired.

소량의 게르마인(GeH4)을 반응 가스 혼합물에 포함시킴으로써, 증착 온도는 대략 50℃까지 감소될 수 있으며, 게르마인이 반응 가스 혼합물에 포함되지 않은 경우와 동일한 증착 속도를 유지할 수 있다. 비록, 증착된 비정질 또는 다결정 박막이 실리콘 게르마늄 합금일지라도, 박막 내의 게르마늄의 분율은 낮은데, 바람직하게는 5원자% 이하이며, 이에 의해 박막은 다결정 또는 비정질 실리콘과 유사한 전기적 및 물리적 특성을 가지게 된다. 게르마인의 추가는 박막을 증착하는데 요구되는 온도를 감소시키기 때문에, 본 발명은 실라인이 실리콘 함유 가스로써 사용되는 경우에는 520℃ 정도의 낮은 온도에서 실리콘 게르마늄 박막을 증착할 수 있고, 디실라인이 사용되는 경우에는 420℃ 정도에서 증착할 수 있다. 본 발명은 유리 기판과 같은 낮은 열안정성을 갖는 기판 상에 또는 낮은 열안정성을 갖는 박막을 갖는 기판 상에 실리콘 게르마늄 박막이 형성될 수 있는 550℃ 이하의 온도에서 비정질 및/또는 다결정 실리콘 게르마늄 박막이 형성될 수 있도록 한다. 추가로, 낮은 증착 온도는 본 발명이 낮은 열적 비용 공정이 될 수 있도록 한다. 또한, 본 발명의 낮은 증착 온도는 트렌치 캐패시터 다이나믹 랜덤 액세스 메모리(DRAM)를 위해 사용되는 기판에서 발견되는 것과 같은 높은 종횡비(2:1 이상)를 갖는 구멍 내로 실리콘 게르마늄 박막이 증착될 수 있도록 하는 박막 스텝 커버리지를 개선시킨다.By incorporating a small amount of germane (GeH 4 ) in the reaction gas mixture, the deposition temperature can be reduced to approximately 50 ° C., maintaining the same deposition rate as when germane is not included in the reaction gas mixture. Although the deposited amorphous or polycrystalline thin film is a silicon germanium alloy, the fraction of germanium in the thin film is preferably 5 atomic% or less, thereby giving the thin film similar electrical and physical properties as polycrystalline or amorphous silicon. Since the addition of germane reduces the temperature required to deposit the thin film, the present invention allows the deposition of silicon germanium thin films at temperatures as low as 520 ° C. when the sealline is used as the silicon containing gas, If used, it can be deposited at about 420 ℃. The present invention relates to amorphous and / or polycrystalline silicon germanium thin films at temperatures below 550 ° C. at which silicon germanium thin films can be formed on substrates with low thermal stability or on substrates with low thermal stability such as glass substrates To be formed. In addition, low deposition temperatures allow the present invention to be a low thermal cost process. In addition, the low deposition temperature of the present invention allows thin films of silicon germanium to be deposited into holes having a high aspect ratio (> 2: 1) as found in substrates used for trench capacitor dynamic random access memory (DRAM). Improve step coverage.

본 발명의 실리콘-게르마늄 합금 박막은 일반적으로 도 1에 도시된 반도체 기판(100)과 같은 기판 상에 형성될 것이다. 기판(100)은 바람직하게는 단결정 실리콘 웨이퍼이다. 그렇지만, 기판(100)은 실리콘 웨이퍼일 필요가 없으며, 갈륨 비화물 기판 및 편평형 패널 디스플레이용 유리(석영) 기판과 같은 다른 형태의 기판일 수도 있다. 기판(100)은 일반적으로 다수의 이격된 미세구조물 또는 홀(102)을 포함할 것이다. 미세구조물(102)은 기판 내에 형성된 트렌치, 기판 상에서 성장된 필드 옥사이드 영역, 및 내부층 유전체(ILD) 내에 형성된 콘택 및 비아 구멍일 수도 있지만, 이들로 제한되지는 않는다. 본 발명의 공정은 최근의 고밀도 DRAM 및 다른 집적 회로를 제조시에 캐패시터 및 콘택을 형성하는 동안 높은 종횡비의 개구(2:1 이상) 내로 실리콘 게르마늄 박막을 증착하는데 이상적으로 적합하다. 본 발명이 집적 회로를 제조하는데 이상적으로 적합하더라도, 본 발명은 편평형 패널 디스플레이(이들로 제한되지 않음)와 같은 다른 제품의 제조에도 동일하게 적용할 수 있다. 본 발명이 550℃ 이하의 온도에서 다결정 또는 비정질 실리콘 게르마늄 박막을 형성할 수 있기 때문에, 기판(100)은 박막을 포함하거나 편평형 패널 디스플레이를 제조하는데 사용되는 통상적인 유리 기판과 같은 낮은 열적 안정성을 갖는 재료로 제조될 수 있다. 본 발명의 목적을 위해, 기판(100)은 본 발명의 실리콘 게르마늄 박막이 증착되는 재료로서 정의된다.The silicon-germanium alloy thin film of the present invention will generally be formed on a substrate, such as the semiconductor substrate 100 shown in FIG. The substrate 100 is preferably a single crystal silicon wafer. However, the substrate 100 need not be a silicon wafer and may be other types of substrates such as gallium arsenide substrates and glass (quartz) substrates for flat panel displays. Substrate 100 will generally include a number of spaced microstructures or holes 102. Microstructure 102 may be, but is not limited to, trenches formed in a substrate, field oxide regions grown on a substrate, and contacts and via holes formed in an inner layer dielectric (ILD). The process of the present invention is ideally suited for depositing silicon germanium thin films into high aspect ratio openings (2: 1 or more) during the formation of capacitors and contacts in the manufacture of modern high density DRAMs and other integrated circuits. Although the invention is ideally suited for making integrated circuits, the invention is equally applicable to the manufacture of other products, such as but not limited to flat panel displays. Since the present invention can form polycrystalline or amorphous silicon germanium thin films at temperatures of 550 ° C. or less, the substrate 100 has low thermal stability, such as conventional glass substrates that include thin films or are used to make flat panel displays. It can be made of a material. For the purposes of the present invention, the substrate 100 is defined as the material on which the silicon germanium thin film of the present invention is deposited.

본 발명의 방법은 도 2의 플루우챠트(200)를 참조로 개시되고 기술될 것이다. 본 발명의 제 1단계에 따르면, 블록(202)에 도시된 바와 같이, 기판(100)과 같은 기판은 도 3에 도시된 단일 기판 반응기(300)와 같은 열적 화학 기상 증착 장치의 증착 챔버 내에 위치된다. 도 3에 도시된 단일 기판 반응기(300)는 상부(312), 측벽(314), 및 바닥부(318)를 갖추고 있는데, 이들은 단일 웨이퍼 또는 기판(100)이 위치될 수 있는 챔버(319)를 한정한다. 챔버(319)는 최대 200mm의 웨이퍼를 처리하도록 구성되며, "어플라이드 머티어리얼스 센츄라 싱글 웨이퍼 챔버 투울"에서 사용되는 것과 같은 대략 10ℓ의 부피를 갖는다. 300mm와 같은 큰 웨이퍼를 처리하기 위한 대용량 챔버가 필요한 경우에는 사용될 수도 있음을 인식해야 한다. 추가로, 본 명세서에서 제공된 모든 유량은 10ℓ 챔버에 대한 것이며, 당업자들은 상이한 부피의 챔버에 대한 유량을 비교할 수 있음을 인지할 것이다. 중요한 것은 본 명세서에서 제공된 가스의 부분 압력을 사용하는 것이다.The method of the present invention will be disclosed and described with reference to the flute chart 200 of FIG. According to a first step of the present invention, as shown in block 202, a substrate, such as substrate 100, is located in a deposition chamber of a thermal chemical vapor deposition apparatus, such as a single substrate reactor 300 shown in FIG. 3. do. The single substrate reactor 300 shown in FIG. 3 has a top 312, sidewalls 314, and bottom 318, which provide a chamber 319 in which a single wafer or substrate 100 may be located. It is limited. Chamber 319 is configured to handle wafers up to 200 mm in size and has a volume of approximately 10 liters as used in “Applied Materials Centra single wafer chamber fouling”. It should be appreciated that a large chamber for processing large wafers such as 300 mm may be used if needed. In addition, all flow rates provided herein are for 10 l chambers and those skilled in the art will appreciate that flow rates for different volume chambers can be compared. What is important is to use the partial pressure of the gas provided herein.

기판(100)은 원통형으로 대칭인 기판(100)에 대한 시간 평균 환경을 제공하도록 모터(도시되지 않음)에 의해 회전되는 받침대(pedestal) 또는 서셉터(322) 상에 장착된다. 서셉터를 둘러싸는 예열 링(324)은 측벽(314)에 의해 지지되고, 서셉터(322)와 기판(100)을 둘러싼다. 리프팅 핑거(323)는 서셉터(322)를 통해 형성된 관통홀(도시되지 않음)을 통과하여 기판(100)의 하부측과 결합하여 기판을 서셉터(322)로부터 들어올린다. 기판(100), 예열 링(324), 및 서셉터(322)는 반응기(100)의 외측에 장착된 다수의 고강도 램프(326)로부터의 빛에 의해 가열된다. 고강도 램프(326)는 바람직하게는 대략 1.1미크론의 파장을 갖는 적외선광을 발생시키는 텅스텐 할로겐 램프이다. 반응기(310)의 상부(312) 및 바닥부(318)는 외부 램프(326)로부터 반응기(310)로 빛이 유입되도록 하고 도한 서셉터(322), 기판(100), 및 예열 링(324)을 가열할 수 있도록 실질적으로 빛에 투명하다. 상부(312) 및 바닥부(318)용 재료로서 석영이 사용되는데, 이는 석영이 가시광선 및 적외선 주파수에 투명하기 때문이며, 또한 큰 압력차를 지지할 수 있는 비교적 높은 강도의 재료이며, 또한 가스 누출이 작기 때문이다. 고온계와 같은 적절한 상부 온도 센서(340) 및 적절한 바닥부 온도 센서(342)가 기판(100)의 온도 및 서셉터(322)의 온도를 각각 측정하기 위해 설치된다. 비록 램프 가열식 챔버가 바람직하더라도, 본 발명은 저항 가열식 챔버와 같은 다른 형태의 열적 CVD 챔버에서 구현될 수 있다. 장치(300)는 장치(300)의 가스 흐름, 기판 온도, 및 챔버 압력과 같은 여러 공정 인자를 제어하는 시스템 제어기(350)를 포함한다.The substrate 100 is mounted on a pedestal or susceptor 322 that is rotated by a motor (not shown) to provide a time averaged environment for the cylindrically symmetric substrate 100. The preheat ring 324 surrounding the susceptor is supported by the sidewall 314 and surrounds the susceptor 322 and the substrate 100. The lifting finger 323 engages with the lower side of the substrate 100 through a through hole (not shown) formed through the susceptor 322 to lift the substrate from the susceptor 322. The substrate 100, the preheat ring 324, and the susceptor 322 are heated by light from a number of high intensity lamps 326 mounted outside of the reactor 100. High intensity lamp 326 is preferably a tungsten halogen lamp that generates infrared light having a wavelength of approximately 1.1 microns. The top 312 and bottom 318 of the reactor 310 allow the light to enter the reactor 310 from the external lamp 326 and also the susceptor 322, the substrate 100, and the preheat ring 324. It is substantially transparent to light so that it can be heated. Quartz is used as the material for the top 312 and the bottom 318 because the quartz is transparent to visible and infrared frequencies, it is also a relatively high strength material that can support large pressure differences and also gas leaks. Because it is small. A suitable top temperature sensor 340, such as a pyrometer, and a suitable bottom temperature sensor 342 are installed to measure the temperature of the substrate 100 and the temperature of the susceptor 322, respectively. Although lamp heated chambers are preferred, the present invention can be implemented in other types of thermal CVD chambers, such as resistive heated chambers. Device 300 includes a system controller 350 that controls various process factors, such as gas flow, substrate temperature, and chamber pressure of device 300.

다음으로, 도 2의 블록(204)에 따르면, 챔버(319)는 펌프(344)에 의해 배출 포트(332)를 통해 배출되어서, 챔버(319)의 압력이 대기압으로부터 증착 압력으로 감소된다. 증착 압력은 본 발명의 실리콘 게르마늄 박막이 증착될 때의 챔버(319) 내의 전체 압력이다. 본 발명의 증착 압력은 20 내지 300torr이다. 증착 압력이 높을수록, 증착 속도가 높아진다. 증착 압력의 증가는 고정된 성장 속도에서 공정의 스텝 커버리지를 증가시킨다.Next, according to block 204 of FIG. 2, the chamber 319 is discharged through the discharge port 332 by the pump 344 so that the pressure in the chamber 319 is reduced from atmospheric pressure to deposition pressure. The deposition pressure is the total pressure in the chamber 319 when the silicon germanium thin film of the present invention is deposited. The deposition pressure of the present invention is 20 to 300 torr. The higher the deposition pressure, the higher the deposition rate. Increasing the deposition pressure increases the step coverage of the process at a fixed growth rate.

다음으로, 블록(206)에 기재된 바와 같이, 기판(100), 예열 링(324), 및 서셉터(322)는 램프(326)에 의해 증착 온도로 가열된다. 실라인(SiH4)이 실리콘 소오스로써 사용될 때, 증착 온도는 520 내지 650℃이다. 디실라인(Si2H6)이 실리콘 소오스로써 사용될 대, 증착 온도는 420 내지 600℃이다. 증착 온도가 감소됨에 따라, 증착 속도가 감소되며, 스텝 커버리지가 향상된다. 증착된 실리콘 게르마늄 합금의 정확한 결정 구조는 증착 온도에 의존한다.Next, as described in block 206, the substrate 100, the preheat ring 324, and the susceptor 322 are heated to a deposition temperature by a lamp 326. When the seal line (SiH 4 ) is used as the silicon source, the deposition temperature is 520-650 ° C. When disilline (Si 2 H 6 ) is used as the silicon source, the deposition temperature is 420 to 600 ° C. As the deposition temperature is reduced, the deposition rate is reduced and the step coverage is improved. The exact crystal structure of the deposited silicon germanium alloy depends on the deposition temperature.

다음으로, 도 2의 블록(208)에 기재된 바와 같이, 반응 가스 혼합물이 반응 챔버(319) 내로 공급된다. 도 1b에 도시되고 플루우챠트(200)의 블록(210)에 기재된 바와 같이 기판(100) 상에 실리콘 게르마늄 합금 박막(104)을 증착하기 위해 반응 가스 혼합물이 반응 챔버(319) 내로 흐르는 동안, 증착 압력 및 온도는 특정한 범위 내로 유지된다. 증착 공정 동안, 반응 가스 스트림은 기판 상에 실리콘 게르마늄 박막(104)을 증착하도록 가스 유입 포트(328)로부터 가스가 가열되는 예열 링(324)을 가로지르고 기판(100)을 가로질러 화살표(330) 방향으로 흐르고 배출 포트(332)를 통해 배출된다. 가스 유입 포트(328)는 도관(334)을 통해 단일 가스 또는 가스 혼합물을 제공하는 가스 공급 탱크(336)에 연결된다. 도관(334), 및 각각의 포트(328,332)를 통한 가스 농도 및/또는 유량은 공정 균일성을 최적화하는 처리 가스 흐름 및 농도를 형성하도록 선택된다. 기판의 회전 및 램프(326)로부터의 열에 의해 발생된 열구배가 반응기(300) 내의 가스 흐름에 많은 영향을 미칠 수 있지만, 흐름 프로파일의 주요 형태는 가스 유입 포트(328)로부터 예열 링(324) 및 기판(320)을 가로질러 배출 포트(332)로 층류(laminar flow) 형태를 갖는다.Next, as described in block 208 of FIG. 2, the reactant gas mixture is supplied into the reaction chamber 319. While the reactant gas mixture flows into the reaction chamber 319 to deposit the silicon germanium alloy thin film 104 on the substrate 100 as shown in FIG. 1B and described in block 210 of the flute chart 200, FIG. Deposition pressures and temperatures are maintained within certain ranges. During the deposition process, the reactant gas stream crosses the preheat ring 324 where gas is heated from the gas inlet port 328 to deposit the silicon germanium thin film 104 on the substrate and crosses the substrate 100 with an arrow 330. Direction and is discharged through the discharge port 332. Gas inlet port 328 is connected to a gas supply tank 336 that provides a single gas or gas mixture through conduit 334. Gas concentrations and / or flow rates through conduits 334 and respective ports 328 and 332 are selected to form process gas flows and concentrations that optimize process uniformity. Although thermal gradients generated by the rotation of the substrate and the heat from the lamp 326 can have a significant impact on the gas flow in the reactor 300, the main form of the flow profile is the preheat ring 324 and the gas inlet port 328. It has a laminar flow form to the discharge port 332 across the substrate 320.

본 발명에 따르면, 반응 가스 혼합물은 실라인(SiH4) 및 디실라인(Si2H6)과 같은 실리콘 함유 가스, 및 게르마인(GeH4)을 포함한다. 실리콘 함유 가스 및 게르마인(GeH4)은 증착 챔버 내로 공급되어서, 실리콘 함유 가스의 양의 3% 또는 그 이하의 게르마인을 함유하는 환경을 제공한다. 기판, 예열 링, 및 서셉터로부터의 열은 게르마인(GeH4)을 분해시키고 게르마인 원자를 제공하며, 실리콘 소오스 가스를 분해시키고 실리콘 원자를 제공한다. 실리콘 원자 및 게르마늄 원자는 이후 기판(100) 상에 실리콘 게르마늄 합금(104)을 블랭킷 증착(blanket deposit)하도록 결합된다.According to the present invention, the reaction gas mixture comprises a silicon containing gas such as sealline (SiH 4 ) and disilline (Si 2 H 6 ), and germane (GeH 4 ). Silicon-containing gas and germane (GeH 4 ) are fed into the deposition chamber to provide an environment containing 3% or less of germane in the amount of silicon-containing gas. Heat from the substrate, the preheat ring, and the susceptor decomposes germane (GeH 4 ) and provides germane atoms, decomposes silicon source gas and provides silicon atoms. Silicon atoms and germanium atoms are then combined to blanket deposit silicon germanium alloy 104 on substrate 100.

증착 챔버 내로 제공된 소량의 게르마인(GeH4)은 실리콘 소오스 가스를 분해시키기 위한 촉매로서 작용하여 증착 온도를 낮출 수 있다. 즉, 게르마인(GeH4)은 실라인 또는 디실라인 보다 용이하게 분해되기 때문에, 실라인 또는 디실라인 보다 낮은 온도에서 분해된다. 게르마인이 분해될 때, 실리콘 소오스 가스로 전달되는 에너지가 배출되는데, 이러한 에너지는 실리콘 소오스 가스의 분해를 조력한다. 반응 가스 혼합물 내에 소량의 게르마인을 포함시킴으로써, 다결정 또는 비정질 실리콘 게르마늄 합금 박막은 동일한 증착 속도에서 그리고 동일한 조건 하에서 게르마인(GeH4)을 포함하지 않은 반응 가스로 형성된 비정질 또는 다결정 실리콘 박막 보다 50℃ 낮은 증착 온도에서 증착될 수 있다.Small amounts of germane (GeH 4 ) provided into the deposition chamber can act as a catalyst to decompose the silicon source gas to lower the deposition temperature. That is, since germane (GeH 4 ) is more easily decomposed than seal line or disilline, it decomposes at a lower temperature than seal line or disilline. When germane decomposes, energy is delivered to the silicon source gas, which assists in the decomposition of the silicon source gas. By incorporating a small amount of germanium in the reaction gas mixture, the polycrystalline or amorphous silicon germanium alloy thin film is 50 ° C. above the amorphous or polycrystalline silicon thin film formed of the reactive gas containing no germanium (GeH 4 ) at the same deposition rate and under the same conditions. Can be deposited at low deposition temperatures.

본 발명의 목적은 전기적 및 물리적으로 비정질 또는 다결정 실리콘 박막과 거의 유사한 비정질 또는 다결정 실리콘 게르마늄 박막을 제공하는 것이다. 따라서, 10원자% 이하, 바람직하게는 5원자% 이하, 이상적으로는 3원자% 이하의 게르마늄을 포함하는 실리콘 게르마늄 박막을 제조하는 실리콘 대 게르마늄의 농도비를 사용하는 것이 중요하다. 실리콘 게르마늄 합금 내에 10% 이하의 게르마늄을 포함시키는 것은 실리콘 구조가 파괴되지 않도록 한다. 게르마인 촉매를 사용함으로써, 고품질의 비정질 및 다결정 실리콘 게르마늄 박막을 형성하기 위해 550℃ 이하의 증착 온도가 사용될 수 있다. 본 발명의 공정에서 게르마인 촉매를 사용함으로써, 비교적 낮은 증착 온도가 플라즈마 강화와 같은 추가적인 활성화 소오스를 사용하지 않고 달성될 수 있다. 반응 가스들을 분해시키는데 열에너지만을 사용함으로써, 실리콘 게르마늄 박막(104) 내에 결합된 수소는 0.1원자% 이하이며, 어닐링 후에는 0.01원자% 이하이다.It is an object of the present invention to provide an amorphous or polycrystalline silicon germanium thin film which is electrically and physically similar to an amorphous or polycrystalline silicon thin film. Therefore, it is important to use a silicon to germanium concentration ratio for producing a silicon germanium thin film comprising 10% or less, preferably 5% or less, and ideally 3% or less, of germanium. Inclusion of less than 10% germanium in the silicon germanium alloy ensures that the silicon structure is not destroyed. By using germane catalysts, deposition temperatures up to 550 ° C. can be used to form high quality amorphous and polycrystalline silicon germanium thin films. By using germane catalysts in the process of the present invention, relatively low deposition temperatures can be achieved without the use of additional activation sources such as plasma enrichment. By using only thermal energy to decompose the reactant gases, the hydrogen bound in the silicon germanium thin film 104 is less than 0.1 atomic percent and less than 0.01 atomic percent after annealing.

본 발명의 바람직한 실시예에서, 실리콘 함유 가스는 100 내지 2000sccm의 유량으로 증착 챔버(319) 내로 제공되어서, 1.5. 내지 30torr의 실리콘 함유 가스 부분 압력을 발생시킨다. 게르마인은 1.0 내지 20sccm의 유량으로 증착 챔버 내로 제공되어서, 0.015 내지 0.30torr의 게르마인 부분 압력을 발생시킨다. 이러한 소량의 게르마인이 본 발명에서 사용되기 때문에, 수소 또는 질소(이들로 제한되지 않음)와 같은 캐리어 가스가 반응 챔버 내로 게르마인을 제공하는데 사용될 수 있다. 이러한 경우, 게르마인은 1%의 희석된 게르마인 가스를 형성하도록 희석될 수 있다(즉, 희석된 게르마인 = 1% 게르마인 및 99% 캐리어 가스). 희석된 게르마인은 이후 100 내지 2000sccm의 속도로 반응 챔버로 공급되어서 0.015 내지 0.3torr의 게르마인 부분 압력을 발생시킨다.In a preferred embodiment of the invention, the silicon containing gas is provided into the deposition chamber 319 at a flow rate of 100 to 2000 sccm, 1.5. To generate a partial pressure of silicon-containing gas of 30 to 30 torr. Germane is provided into the deposition chamber at a flow rate of 1.0 to 20 sccm, generating a germane partial pressure of 0.015 to 0.30 torr. Because such small amounts of germanes are used in the present invention, carrier gases such as, but not limited to, hydrogen or nitrogen can be used to provide germanes into the reaction chamber. In this case, the germanes can be diluted to form 1% diluted germanium gas (ie, diluted germanium = 1% germane and 99% carrier gas). The diluted germanes are then fed to the reaction chamber at a rate of 100 to 2000 sccm to generate a germane partial pressure of 0.015 to 0.3 torr.

본 발명에 따르면, 도판트 가스가 바람직하게는 반응 가스 혼합물 내에 포함되어서, 인시츄 도판트 실리콘 게르마늄 박막을 형성한다. 도판트 가스는 반응 챔버(319) 내로 공급되어서, 바람직하게는 0.30 내지 0.15torr의 도판트 가스 부분 압력을 발생시킨다. 본 발명의 일실시예에서, 도핑된 실리콘 게르마늄 박막을 원하는 경우, 반응 가스 혼합물은 실리콘 소오스 가스 농도의 1% 또는 그 이하의 도판트 가스 농도를 가진다. 증착된 실리콘 게르마늄 박막의 저항도는 도판트 가스/실리콘 가스 농도비에 반비례한다. 본 발명에서는 비교적 낮은 도판트 가스 부분 압력(0.20torr 이하)이 사용될 수 있는데, 이는 본 발명에 적용된 비교적 낮은 공정 온도 및 높은 증착 압력이 실리콘 박막 내의 도판트의 결합을 증가시키기 때문이다. 도판트 가스의 추가는 일반적으로 박막의 스텝 커버리지를 저하시킨다는 것을 인지해야 한다. 본 발명에서 증착 온도를 비교적 낮게 유지함으로써, 고온에서 유사한 저항성 박막을 제조하는데 필요한 도판트 가스 보다 작은 량의 도판트 가스를 필요로 한다. 이러한 방식에서, 도핑된 실리콘 게르마늄 박막의 스텝 커버리지가 향상된다. 본 발명은 바람직하게는 0 내지 20sccm의 도판트 가스 흐름을 사용하며, 보다 바람직하게는 1.0 내지 3.0sccm의 도판트 가스 흐름을 사용한다. 도판트 가스는 비람직하게는 수소와 같은 캐리어 가스 내에서 희석되어서, 1% 희석된 도판트 가스를 형성한다(즉, 희석된 도판트 가스 = 1% 도판트 가스 + 99% 캐리어 가스). 희석된 도판트 가스는 0 내지 2000sccm의 속도, 바람직하게는 100 내지 300sccm의 속도로 반응 챔버 내로 공급된다. 포스핀(PH3)이 바람직한 도핑 가스이지만, 아르세나인(AsH3)과 같은 다른 도핑 가스(이들로 제한되지 않는다)가 사용될 수도 있다.According to the invention, the dopant gas is preferably contained in the reaction gas mixture to form an in situ dopant silicon germanium thin film. Dopant gas is supplied into the reaction chamber 319 to generate a dopant gas partial pressure, preferably between 0.30 and 0.15 torr. In one embodiment of the invention, when a doped silicon germanium thin film is desired, the reaction gas mixture has a dopant gas concentration of 1% or less of the silicon source gas concentration. The resistivity of the deposited silicon germanium thin film is inversely proportional to the dopant gas / silicon gas concentration ratio. A relatively low dopant gas partial pressure (0.20torr or less) may be used in the present invention because the relatively low process temperature and high deposition pressure applied in the present invention increase the dopant bonding in the silicon thin film. It should be appreciated that the addition of dopant gas generally lowers the step coverage of the thin film. By keeping the deposition temperature relatively low in the present invention, a smaller amount of dopant gas is required than the dopant gas required to produce similar resistive thin films at high temperatures. In this manner, step coverage of the doped silicon germanium thin film is improved. The present invention preferably uses a dopant gas flow of 0 to 20 sccm, more preferably a 1.0 to 3.0 sccm dopant gas flow. The dopant gas is preferably diluted in a carrier gas such as hydrogen to form a 1% diluted dopant gas (ie diluted dopant gas = 1% dopant gas + 99% carrier gas). The diluted dopant gas is fed into the reaction chamber at a rate of 0 to 2000 sccm, preferably at a rate of 100 to 300 sccm. Phosphine (PH 3 ) is the preferred doping gas, but other doping gases such as, but not limited to, arsene (AsH 3 ) may be used.

실리콘 함유 가스, 희석된 게르마인, 및 희석된 도핑 가스는 바람직하게는 수소, 헬륨, 아르곤, 또는 질소(이들로 한정되지 않음)와 같은 캐리어 가스와 함께 반응 챔버(319) 내로 공급된다. 실리콘 함유 가스, 희석된 게르마인, 및 도판트 가스는 4 내지 12SLM(표준 리터/분), 바람직하게는 대략 10SLM의 속도로 반응 챔버(319) 내로 흐르는 캐리어 가스로 부가된다. 반응 가스는 실리콘 게르마늄 박막의 원하는 두께(T)가 기판(100) 위에 증착될 때까지 반응 챔버(319) 내로 공급된다.The silicon containing gas, diluted germane, and diluted doping gas are preferably supplied into the reaction chamber 319 with a carrier gas such as, but not limited to, hydrogen, helium, argon, or nitrogen. Silicon containing gas, diluted germane, and dopant gas are added to the carrier gas flowing into the reaction chamber 319 at a rate of 4 to 12 SLM (standard liters / minute), preferably approximately 10 SLM. The reactant gas is supplied into the reaction chamber 319 until the desired thickness T of the silicon germanium thin film is deposited over the substrate 100.

수소가 본 발명에서 캐리어 가스 및 희석 가스로써 바람직하게 사용되는데, 이는 다량의 수소를 포함하는 분위기가 큰 열구배에 견딜 수 있기 때문이다. 이러한 방식에서, 석영창(312,318) 및 측벽(314)의 온도는 박막을 증착하는 동안 기판(100)의 온도 보다 현저하게 낮은 온도로 유지될 수 있다. 석영창(312,318) 및 측벽(314)의 온도를 낮게 유지함으로써, 석영창 및 측벽 상의 박막 증착 또는 코팅이 현저하게 감소된다. 석영창 상의 박막 증착은 빛전달을 방해할 수 있으며, 이에 의해 기판으로부터 기판으로의 온도 불균일성을 야기한다. 또한, 측벽(314) 및 석영창(312,318)의 온도를 감소시킴으로써, 보다 많은 웨이퍼를 세척하기 전에 처리할 수 있다.Hydrogen is preferably used in the present invention as a carrier gas and a diluent gas, since an atmosphere containing a large amount of hydrogen can withstand a large thermal gradient. In this manner, the temperatures of the quartz windows 312 and 318 and the sidewalls 314 may be maintained at a temperature significantly lower than the temperature of the substrate 100 during deposition of the thin film. By keeping the temperatures of the quartz windows 312 and 318 and the sidewalls 314 low, thin film deposition or coating on the quartz windows and the sidewalls is significantly reduced. Thin film deposition on the quartz window can interfere with light transfer, thereby causing temperature non-uniformity from substrate to substrate. In addition, by reducing the temperatures of the sidewalls 314 and quartz windows 312 and 318, more wafers can be processed before cleaning.

다음으로, 블록(212)에 도시된 바와 같이, 기판(100)이 원한다면 어닐링 처리될 수 있다. 기판(100)은 증착된 비정질 실리콘 게르마늄 또는 비정질/다결정 실리콘 게르마늄 박막이 낮은 저항성을 갖는 다결정 실리콘 게르마늄 박막으로 변환되도록 어닐링 처리될 수 있다. 이러한 방식에서, 비정질 실리콘 박막은 비교적 낮은 온도로 증착되어서, 박막의 스텝 커버리지를 향상시키고, 완전한 홀 충진을 보장하며, 연속적으로 어닐링 처리에 의해 낮은 저항성을 갖는 다결정 실리콘 게르마늄 박막으로 변화될 수 있다. 소정의 공지된 방법 및 장치가 기판(100)을 어닐링 처리하는데 사용될 수 있다. 예컨대, 기판(100)은 질소/산소 분위기의 노 내에서 800℃ 이상의 온도로 30분 동안 어닐링 처리될 수 있다. 선택적으로, 질소/산소 분위기 하에서 약 1000℃의 온도로 15초 이하 동안 급열 어닐링(RTA)이 사용될 수 있다. 비록, 어닐링 기판(100)이 추가적인 단계를 요구하더라도, DRAM 공정과 같은 많은 집적 회로 제조 공정은 실리사이드 형성과 같은 다른 목적을 위해 연속적인 어닐링 처리를 요구하며, 따라서 어닐링 처리 단계가 수율에 영향을 미치지 않으면서 포함될 수 있다. 본 발명의 어닐링 공정의 사용은 낮은 저항성을 갖는 다결정 실리콘 게르마늄 박막이 공극을 형성하지 않으면서 높은 종횡비의 개구 내에 형성될 수 있도록 한다.Next, as shown in block 212, the substrate 100 may be annealed if desired. The substrate 100 may be annealed such that the deposited amorphous silicon germanium or amorphous / polycrystalline silicon germanium thin film is converted into a low resistance polycrystalline silicon germanium thin film. In this manner, the amorphous silicon thin film can be deposited at a relatively low temperature, thereby improving the step coverage of the thin film, ensuring complete hole filling, and subsequently changing to a polycrystalline silicon germanium thin film having low resistance by annealing treatment. Any known method and apparatus may be used to anneal the substrate 100. For example, the substrate 100 may be annealed for 30 minutes at a temperature of 800 ° C. or higher in a furnace in a nitrogen / oxygen atmosphere. Optionally, Rapid Annealing (RTA) may be used for up to 15 seconds at a temperature of about 1000 ° C. under a nitrogen / oxygen atmosphere. Although the annealing substrate 100 requires additional steps, many integrated circuit fabrication processes, such as DRAM processes, require continuous annealing for other purposes, such as silicide formation, so that the annealing step does not affect yield. It may be included without. The use of the annealing process of the present invention allows a low resistivity polycrystalline silicon germanium thin film to be formed in a high aspect ratio opening without forming voids.

본 발명의 공정은 높은 도판트 밀도(≥2×1020원자/cm3), 높은 증착 속도(600Å/분∼1200Å/분)에서의 낮은 저항성(0.7mohm.cm), 및 우수한 스텝 커버리지(90% 이상)를 갖는 고품질의 다결정 또는 비정질 실리콘 게르마늄 박막을 형성할 수 있다. 본 발명은 공극을 형성시키지 않으면서 높은 증착 속도로 0.28미크론 이하의 폭 및 2.0 이상의 종횡비를 갖는 기판(100) 내의 개구를 신뢰성 있게 충진하는데 사용될 수 있다. 본 발명의 공정은 1000Å 이하의 평균 결정립 길이 또는 대략 100개의 원자를 갖는 실리콘 게르마늄 다결정 박막을 형성한다.The process of the present invention is characterized by high dopant density (≧ 2 × 10 20 atoms / cm 3 ), low resistivity (0.7mohm.cm) at high deposition rates (600 μs / min to 1200 μs / min), and good step coverage (90 High quality polycrystalline or amorphous silicon germanium thin film). The present invention can be used to reliably fill openings in substrate 100 having a width of 0.28 microns or less and an aspect ratio of 2.0 or more at high deposition rates without forming voids. The process of the present invention forms a silicon germanium polycrystalline thin film having an average grain length of 1000 mm or less or approximately 100 atoms.

본 발명의 실시예에서, 시스템 제어기(350)는 하드 디스크 드라이브(메모리)(352), 플로피 디스크 드라이브, 및 프로세서(354)를 포함한다. 프로세서는 단일 보드 컴퓨터(SBC), 아날로그 및 디지털 입력/출력 보드, 인터페이스 보드. 및 스텝퍼 모터 제어기 보드를 포함한다. CVD 시스템(300)의 여러 부품은 보드, 카드 케이지, 및 연결기의 칫수 및 형태를 규정한 베사 모듈러 유럽(Versa Modular Europeans, VME) 표준에 부합한다. VME 표준은 또한 16비트 데이터 버스 및 24비트 어드레스 버스를 갖는 버스 구조를 규정한다.In an embodiment of the present invention, system controller 350 includes a hard disk drive (memory) 352, a floppy disk drive, and a processor 354. Processors include single board computer (SBC), analog and digital input / output boards, and interface boards. And a stepper motor controller board. Several components of the CVD system 300 conform to the Versa Modular Europeans (VME) standard, which defines dimensions and shapes of boards, card cages, and connectors. The VME standard also defines a bus structure with a 16-bit data bus and a 24-bit address bus.

시스템 제어기(350)는 CVD 장치의 모든 작동을 제어한다. 이러한 시스템 제어기는 메모리(138)와 같은 컴퓨터 판독가능한 매체 내에 저장된 컴퓨터 프로그램인 시스템 제어 소프트웨어를 실행시킨다. 바람직하게, 메모리(352)는 하드 디스크 드라이브이지만, 메모리(352)는 또한 다른 종류의 메모리일 수도 있다. 컴퓨터 프로그램은 타이밍, 가스 혼합물, 챔버 압력, 챔버 온도, 램프 전력 레벨, 서셉터 위치, 및 특별한 공정의 다른 인자를 지시하는 지령 세트를 포함한다. 물론, 예컨대 플로피 디스크 또는 다른 적절한 드라이브를 포함하는 또다른 메모리 장치 내에 내장된 것과 같은 다른 컴퓨터 프로그램이 또한 제어기(350)를 작동시키기 위해 사용될 수도 있다. CRT 모니터 및 키보드와 같은 입력/출력 장치(356)가 사용자와 제어기(350) 사이를 접속하도록 사용된다.System controller 350 controls all operations of the CVD apparatus. This system controller executes system control software, which is a computer program stored in a computer readable medium, such as memory 138. Preferably, memory 352 is a hard disk drive, but memory 352 may also be another type of memory. The computer program includes a set of instructions indicative of timing, gas mixture, chamber pressure, chamber temperature, lamp power level, susceptor position, and other factors of a particular process. Of course, other computer programs, such as, for example, embedded in another memory device including a floppy disk or other suitable drive, may also be used to operate the controller 350. Input / output devices 356 such as CRT monitors and keyboards are used to connect between the user and the controller 350.

박막 증착 공정은 메모리(352) 내에 내장되고 제어기(350)에 의해 실행되는 컴퓨터 프로그램 제품을 사용하여 수행될 수 있다. 컴퓨터 프로그램 코드는 68000 어셈블리 언어, C, C++, 파스칼, 포트란, 또는 다른 언어와 같은 통상적인 컴퓨터 판독가능한 프로그래밍 언어로써 기입될 수 있다. 적절한 프로그램 코드는 통상적인 텍스터 에디터를 사용하여 단일 파일 또는 다중 파일에 입력되고, 컴퓨터의 메모리 시스템과 같은 컴퓨터 사용가능한 매체 내에 저장되거나 내장된다. 입력된 코드 텍스트가 높은 레벨의 언어라면, 코드는 컴파일되고, 생성된 컴파일러 코드는 이후 예비컴파일된 윈도우 라이브러리 루틴의 목적 코드와 연결된다. 연결된 컴파일된 목적 코드를 수행하기 위해, 시스템 사용자는 목적 코드를 불러와서 컴퓨터 시스템이 메모리 내의 코드를 로드시키도록 하며, 이로부터 CPU가 판독되고 프로그램 내에서 확인될 임무를 수행하도록 코드가 실행된다. 또한, 본 발명에 따른 비정질 및 다결정 실리콘 게르마늄 합금의 증착을 수행하는데 필수적인 처리 가스 유량 및 조성, 온도, 압력과 같은 공정 인자가 메모리(353) 내에 저장된다.The thin film deposition process may be performed using a computer program product embedded in the memory 352 and executed by the controller 350. The computer program code can be written in any conventional computer readable programming language, such as 68000 assembly language, C, C ++, Pascal, Fortran, or other languages. Appropriate program code is entered into a single file or multiple files using conventional text editors and stored or embedded in computer usable media, such as a computer's memory system. If the entered code text is a high level language, the code is compiled and the generated compiler code is then linked with the object code of the precompiled Windows library routines. To execute the linked compiled object code, the system user retrieves the object code and causes the computer system to load the code in memory, from which the code is executed to perform the task of reading the CPU and verifying it in the program. In addition, process factors such as process gas flow rate and composition, temperature and pressure, which are necessary to perform deposition of amorphous and polycrystalline silicon germanium alloys according to the present invention, are stored in memory 353.

도 3b는 메모리(356) 내에 저장된 시스템 제어 컴퓨터 프로그램의 체계의 일예를 도시하고 있다. 시스템 제어 프로그램은 챔버 매니저 서브루틴(370)을 포함한다. 챔버 매니저 서브루틴(370)은 또한 선택된 공정 세트를 수행하는데 필수적인 챔버 구성요소의 작동을 제어하는 여러 챔버 구성요소 서브루틴의 실행을 제어한다. 챔버 구성요소 서브루틴의 예로는 처리 가스 제어 서브루틴(372), 압력 제어 서브루틴(374), 및 램프 제어 서브루틴(376)이 있다. 당업자들은 다른 챔버 제어 서브루틴이 처리 챔버(319) 내에서 수행되기를 원하는 공정에 따라 포함될 수도 있음을 이해할 것이다. 작동시에, 챔버 매니저 서브루틴(370)은 실행된 특별한 공정 세트에 따라 공정 구성요소 서브루틴을 선택적으로 작성하거나 불러낸다. 일반적으로, 챔버 매니저 서브루틴(370)은 여러 챔버 구성요소를 모니터링하는 단계, 실행될 공정 세트에 대한 다른 인자에 기초하여 어느 구성요소가 작동되어야 하는지를 결정하는 단계, 및 모니터링 단계 및 결정 단계에 응답하여 챔버 구성요소 서브루틴을 실행시키는 단계를 포함한다.3B illustrates an example of a system of system control computer program stored in memory 356. The system control program includes a chamber manager subroutine 370. Chamber manager subroutine 370 also controls the execution of various chamber component subroutines that control the operation of the chamber components necessary to perform the selected process set. Examples of chamber component subroutines include process gas control subroutine 372, pressure control subroutine 374, and ramp control subroutine 376. Those skilled in the art will appreciate that other chamber control subroutines may be included depending on the desired process to be performed in process chamber 319. In operation, chamber manager subroutine 370 selectively creates or invokes process component subroutines depending on the particular process set executed. In general, chamber manager subroutine 370 monitors various chamber components, determining which components should be operated based on other factors for the process set to be executed, and in response to the monitoring and determining steps. Executing the chamber component subroutine.

처리 가스 제어 서브루틴(372)은 처리 가스 조성 및 유량을 제어하기 위한 프로그램 코드를 갖추고 있다. 처리 가스 제어 서브루틴(372)은 안전 차단 밸브의 개방/폐쇄 위치를 제어하고, 원하는 가스 유량을 얻도록 질량 유동 제어기를 작동시킨다. 처리 가스 제어 서브루틴(372)은 모든 챔버 구성요소 서브루틴과 같이 챔버 매니저 서브루틴(370)에 의해 불려지고, 원하는 가스 유량에 대한 챔버 매니저 서브루틴 공정 인자로부터 수용한다. 일반적으로, 처리 가스 제어 서브루틴(372)은 가스 공급 라인을 개방시킴으로써 작동되며, (i) 필수적인 질량 유동 제어기를 판독하는 단계와, (ii) 판독값을 챔버 매니저 서브루틴(370)으로부터 수용된 원하는 유량과 비교하는 단계와, (iii) 필요한 경우 가스 공급의 유량을 조절하는 단계를 반복한다. 더욱이, 처리 가스 제어 서브루틴(372)은 바람직하지 못한 유량에 대해 가스 유량을 모니터링하는 단계와, 바람직한 못한 조건이 검출될 때 안전 차단 밸브를 작동시키는 단계를 포함한다.The process gas control subroutine 372 has program code for controlling process gas composition and flow rate. Process gas control subroutine 372 controls the open / close position of the safety shutoff valve and operates the mass flow controller to obtain the desired gas flow rate. The process gas control subroutine 372, like all chamber component subroutines, is called by the chamber manager subroutine 370 and accepts from the chamber manager subroutine process factors for the desired gas flow rates. Generally, process gas control subroutine 372 is operated by opening a gas supply line, (i) reading the necessary mass flow controller, and (ii) reading the desired value from chamber manager subroutine 370. Repeating the comparison with the flow rate and (iii) adjusting the flow rate of the gas supply, if necessary. Moreover, process gas control subroutine 372 includes monitoring gas flow rates for undesirable flow rates and actuating safety shut-off valves when undesirable conditions are detected.

압력 제어 서브루틴(376)은 전체 가스 흐름 및 처리 챔버의 크기에 대해 원하는 레벨로 챔버 압력을 제어하도록 설정된 스로틀 밸브의 개방 크기를 조절하고 배출 시스템에 대한 셋포인트(setpoint) 압력을 펌핑함으로써 챔버(319) 내의 압력을 제어하기 위한 프로그램 코드를 포함한다. 압력 제어 서브루틴(374)은 챔버에 연결된 하나 이상의 통상적인 압력 나노미터를 판독하고 측정값과 목표 압력을 비교하며 목표 압력에 대응하는 저장된 압력표로부터 PID(부분, 일체, 및 차등)값을 수득하고 그리고 압력표로부터 수득된 PID값에 따라 스로틀 밸브를 조절함으로써 챔버(319) 내의 압력을 측정하도록 작동한다. 선택적으로, 압력 제어 서브루틴(319)은 챔버(115)를 원하는 압력으로 조절하도록 특별한 개방 크기로 스로틀 밸브를 개방 또는 폐쇄시키도록 기입될 수 있다.The pressure control subroutine 376 adjusts the opening size of the throttle valve set to control the chamber pressure to a desired level for the overall gas flow and process chamber size and pumps the setpoint pressure to the discharge system. 319 program code for controlling the pressure. The pressure control subroutine 374 reads one or more conventional pressure nanometers connected to the chamber, compares the measured value with the target pressure and obtains PID (partial, integral, and differential) values from the stored pressure table corresponding to the target pressure. And adjust the throttle valve according to the PID value obtained from the pressure table to measure the pressure in the chamber 319. Optionally, the pressure control subroutine 319 may be written to open or close the throttle valve to a particular open size to adjust the chamber 115 to the desired pressure.

램프 제어 서브루틴(376)은 기판(120)을 가열하는데 사용되는 램프(326)로 제공된 전력을 제어하기 위한 프로그램 코드를 포함한다. 램프 제어 서브루틴(376)은 또한 챔버 매니저 서브루틴(370)에 의해 불려지고, 목표 또는 셋포인트 온도 인자를 수용한다. 램프 제어 서브루틴(376)은 서셉터(322)에서 지시된 온도 측정 장치의 전압 출력을 측정함으로써 온도를 측정하고, 측정된 온도를 셋포인트 온도와 비교하며, 셋포인트 온도를 수득하도록 램프로 인가된 전력을 증가 또는 감소시킨다.The lamp control subroutine 376 includes program code for controlling the power provided to the lamp 326 used to heat the substrate 120. Ramp control subroutine 376 is also called by chamber manager subroutine 370 and accepts a target or setpoint temperature factor. The lamp control subroutine 376 measures temperature by measuring the voltage output of the temperature measuring device indicated at the susceptor 322, compares the measured temperature with the set point temperature, and applies it to the lamp to obtain the set point temperature. Increases or decreases the power consumed.

Claims (35)

기판 상에 다결정 또는 비정질 박막을 증착하는 방법으로서,A method of depositing a polycrystalline or amorphous thin film on a substrate, 증착 챔버 내에 기판을 위치시키는 단계와,Positioning the substrate in the deposition chamber; 상기 증착 챔버 내로 실리콘 소오스 가스를 제공하는 단계와,Providing a silicon source gas into the deposition chamber; 상기 증착 챔버 내의 게르마인의 양이 상기 챔버 내의 상기 실리콘 소오스 가스의 양의 3% 또는 그 이하가 되도록 상기 증착 챔버 내로 게르마인 가스를 제공하는 단계와,Providing germanium gas into the deposition chamber such that the amount of germane in the deposition chamber is 3% or less of the amount of silicon source gas in the chamber; 실리콘 원자를 형성하도록 상기 실리콘 소오스 가스를 열적으로 분해하고, 게르마늄 원자를 형성하도록 상기 게르마인을 열적으로 분해하는 단계와, 그리고Thermally decomposing the silicon source gas to form silicon atoms, and thermally decomposing the germane to form germanium atoms, and 상기 실리콘 원자 및 상기 게르마늄 원자로부터 다결정 또는 비정질 실리콘 게르마늄 합금 박막을 증착하는 단계를 포함하는 방법.Depositing a polycrystalline or amorphous silicon germanium alloy thin film from the silicon atom and the germanium atom. 제 1항에 있어서, 상기 증착 챔버 내의 상기 실리콘 소오스 가스의 부분 압력이 1.5 내지 30torr인 방법.The method of claim 1, wherein the partial pressure of the silicon source gas in the deposition chamber is between 1.5 and 30 torr. 제 2항에 있어서, 상기 게르마늄 소오스 가스의 부분 압력이 0.015 내지 0.3torr인 방법.The method of claim 2, wherein the partial pressure of the germanium source gas is between 0.015 and 0.3 torr. 제 3항에 있어서, 상기 증착 챔버 내에서 20 내지 300torr의 증착 압력을 발생시키는 단계를 더 포함하는 방법.4. The method of claim 3, further comprising generating a deposition pressure of 20 to 300 torr in the deposition chamber. 제 1항에 있어서, 상기 실리콘 게르마늄 박막이 1000Å 이하의 평균 결정립 크기를 갖는 방법.The method of claim 1, wherein the silicon germanium thin film has an average grain size of 1000 μs or less. 제 1항에 있어서, 상기 실리콘 게르마늄 합금을 증착하는 동안, 상기 기판을 550℃ 이하의 온도로 가열하는 단계를 더 포함하는 방법.The method of claim 1, further comprising heating the substrate to a temperature of 550 ° C. or less during the deposition of the silicon germanium alloy. 제 1항에 있어서, 상기 실리콘-게르마늄 합금 박막은 10원자% 이하의 게르마늄을 포함하는 방법.The method of claim 1, wherein the silicon-germanium alloy thin film comprises up to 10 atomic percent germanium. 제 7항에 있어서, 상기 실리콘-게르마늄 합금 박막은 5원자% 이하의 게르마늄을 포함하는 방법.8. The method of claim 7, wherein the silicon-germanium alloy thin film comprises up to 5 atomic percent germanium. 제 1항에 있어서, 상기 증착 챔버 내의 도판트 가스의 양이 상기 증착 챔버 내의 실리콘 소오스 가스의 양의 1% 이하가 되도록 상기 증착 챔버 내로 도판트 가스를 제공하는 단계를 더 포함하는 방법.2. The method of claim 1, further comprising providing a dopant gas into the deposition chamber such that the amount of dopant gas in the deposition chamber is less than or equal to 1% of the amount of silicon source gas in the deposition chamber. 제 9항에 있어서, 상기 도판트 가스가 상기 증착 챔버 내에서 0.015 내지 0.3torr의 부분 압력을 갖는 방법.10. The method of claim 9, wherein the dopant gas has a partial pressure of 0.015 to 0.3 torr in the deposition chamber. 제 1항에 있어서, 상기 실리콘-게르마늄 합금을 어닐링하는 단계를 더 포함하는 방법.2. The method of claim 1, further comprising annealing the silicon-germanium alloy. 기판 상에 다결정 또는 비정질 박막을 증착하는 방법으로서,A method of depositing a polycrystalline or amorphous thin film on a substrate, 증착 챔버 내에 기판을 위치시키는 단계와,Positioning the substrate in the deposition chamber; 상기 증착 챔버 내로 1.5 내지 30torr의 부분 압력을 갖는 실리콘 소오스 가스를 제공하는 단계와,Providing a silicon source gas having a partial pressure of 1.5 to 30 torr into the deposition chamber; 상기 증착 챔버 내로 0.015 내지 0.3torr의 부분 압력을 갖는 게르마늄 소오스 가스를 제공하는 단계와,Providing a germanium source gas having a partial pressure of 0.015 to 0.3 torr into the deposition chamber; 실리콘 원자를 형성하도록 상기 실리콘 소오스 가스를 열적으로 분해하고, 게르마늄 원자를 형성하도록 상기 게르마늄 소오스 가스를 열적으로 분해하는 단계와, 그리고Thermally decomposing the silicon source gas to form a silicon atom, and thermally decomposing the germanium source gas to form a germanium atom, and 상기 실리콘 원자 및 상기 게르마늄 원자로부터 다결정 또는 비정질 실리콘 게르마늄 합금 박막을 증착하는 단계를 포함하는 방법.Depositing a polycrystalline or amorphous silicon germanium alloy thin film from the silicon atom and the germanium atom. 제 12항에 있어서, 상기 증착 챔버 내의 상기 게르마늄 소오스 가스의 양이 상기 챔버 내의 상기 실리콘 소오스 가스의 양의 3% 또는 그 이하인 방법.13. The method of claim 12, wherein the amount of germanium source gas in the deposition chamber is 3% or less of the amount of the silicon source gas in the chamber. 제 13항에 있어서, 상기 실리콘 게르마늄 합금 박막이 10원자% 이하의 게르마늄을 포함하는 방법.The method of claim 13, wherein the silicon germanium alloy thin film comprises up to 10 atomic percent germanium. 제 14항에 있어서, 상기 실리콘-게르마늄 합금은 5원자% 이하의 게르마늄을 포함하는 방법.15. The method of claim 14, wherein the silicon-germanium alloy comprises up to 5 atomic percent germanium. 제 12항에 있어서, 상기 증착 챔버 내에서 20 내지 300torr의 증착 압력을 발생시키는 단계를 더 포함하는 방법.13. The method of claim 12, further comprising generating a deposition pressure of 20 to 300 torr in the deposition chamber. 제 12항에 있어서, 상기 증착 챔버 내로 캐리어 가스를 제공하는 단계를 더 포함하는 방법.13. The method of claim 12, further comprising providing a carrier gas into the deposition chamber. 제 15항에 있어서, 상기 캐리어 가스가 수소(H2)인 방법.The method of claim 15, wherein the carrier gas is hydrogen (H 2 ). 제 12항에 있어서, 상기 증착 챔버 내로 도판트 가스를 제공하는 단계를 더 포함하는 방법.13. The method of claim 12, further comprising providing a dopant gas into the deposition chamber. 제 19항에 있어서, 상기 도판트 가스가 0.015 내지 0.3torr의 부분 압력을 갖는 방법.20. The method of claim 19, wherein the dopant gas has a partial pressure of 0.015 to 0.3 torr. 제 20항에 있어서, 상기 증착 챔버 내의 도판트 가스의 양이 상기 증착 챔버 내의 실리콘 소오스 가스의 양의 1% 또는 그 이하인 방법.21. The method of claim 20, wherein the amount of dopant gas in the deposition chamber is 1% or less of the amount of silicon source gas in the deposition chamber. 제 12항에 있어서, 상기 실리콘 소오스 가스는 실라인(SiH4)이며, 상기 기판은 상기 실리콘 게르마늄 합금 박막을 증착하는 동안 550℃ 이하의 온도로 가열되는 방법.The method of claim 12, wherein the silicon source gas is a seal line (SiH 4 ) and the substrate is heated to a temperature of no greater than 550 ° C. during deposition of the silicon germanium alloy thin film. 제 12항에 있어서, 상기 실리콘 소오스 가스는 디실라인(Si2H6)이며, 상기 기판은 상기 실리콘 게르마늄 합금 박막을 증착하는 동안 450℃ 이하의 온도로 가열되는 방법.The method of claim 12, wherein the silicon source gas is disilline (Si 2 H 6 ) and the substrate is heated to a temperature of 450 ° C. or less during deposition of the silicon germanium alloy thin film. 제 12항에 있어서, 상기 실리콘 게르마늄 박막은 1000Å 이하의 평균 결정립 길이 또는 대략 100개의 원자를 갖는 방법.The method of claim 12, wherein the silicon germanium thin film has an average grain length of about 1000 μs or less or about 100 atoms. 제 24항에 있어서, 상기 실리콘 게르마늄 박막을 어닐링하는 단계를 더 포함하는 방법.25. The method of claim 24, further comprising annealing the silicon germanium thin film. 제 25항에 있어서, 상기 어닐링은 질소/산소 분위기를 갖는 급열 처리기의 챔버 내에서 800℃ 또는 그 이상의 온도에서 수행되는 방법.The method of claim 25, wherein the annealing is performed at a temperature of 800 ° C. or higher in the chamber of the quench processor having a nitrogen / oxygen atmosphere. 기판 상에 박막을 형성하는 방법으로서,As a method of forming a thin film on a substrate, 증착 챔버 내에 기판을 위치시키는 단계와,Positioning the substrate in the deposition chamber; 상기 증착 챔버 내에서 20 내지 300torr의 증착 압력을 발생시키는 단계와,Generating a deposition pressure of 20 to 300 torr in the deposition chamber; 상기 기판을 420 내지 650℃의 온도로 가열하는 단계와,Heating the substrate to a temperature of 420 to 650 ° C., 1.5 내지 30torr의 부분 압력을 갖는 실라인(SiH4)을 상기 챔버 내로 제공하는 단계와,Providing a seal line (SiH 4 ) having a partial pressure of 1.5 to 30 torr into the chamber, 상기 증착 챔버 내의 게르마인의 양이 상기 챔버 내의 상기 실라인의 양의 3% 또는 그 이하가 되도록 상기 증착 챔버 내로 0.015 내지 0.3torr의 부분 압력을 갖는 게르마인 가스(GeH4)를 제공하는 단계와,Providing germanium gas (GeH 4 ) having a partial pressure of 0.015 to 0.3 torr into the deposition chamber such that the amount of germane in the deposition chamber is 3% or less of the amount of the sealline in the chamber; , 실리콘 원자를 형성하도록 상기 실라인을 열적으로 분해하고, 게르마인 원자를 형성하도록 상기 게르마인(GeH4)을 열적으로 분해하는 단계와, 그리고Thermally decomposing the seal line to form silicon atoms, and thermally decomposing the germane (GeH 4 ) to form germane atoms, and 상기 실리콘 원자와 상기 게르마늄 원자로부터 실리콘 게르마늄 합금 박막을 증착하는 단계를 포함하는 방법.Depositing a silicon germanium alloy thin film from the silicon atom and the germanium atom. 제 27항에 있어서, 상기 증착 챔버 내로 0.015 내지 0.3torr의 부분 압력을 갖는 게르마늄 소오스 가스를 제공하는 단계를 더 포함하는 방법.28. The method of claim 27, further comprising providing a germanium source gas having a partial pressure of 0.015 to 0.3 torr into the deposition chamber. 기판 상에 박막을 증착하는 방법으로서,A method of depositing a thin film on a substrate, 증착 챔버 내에 기판을 위치시키는 단계와,Positioning the substrate in the deposition chamber; 상기 증착 챔버 내에서 20 내지 300torr의 증착 압력을 발생시키는 단계와,Generating a deposition pressure of 20 to 300 torr in the deposition chamber; 상기 기판을 420 내지 600℃의 온도로 가열하는 단계와,Heating the substrate to a temperature of 420 to 600 ° C., 1.5 내지 30torr의 부분 압력을 갖는 디실라인(Si2H6)을 상기 챔버 내로 제공하는 단계와,Providing a disilline (Si 2 H 6 ) having a partial pressure of 1.5 to 30 torr into the chamber, 상기 증착 챔버 내의 게르마인의 양이 상기 챔버 내의 상기 디실라인의 양의 3% 또는 그 이하가 되도록 상기 증착 챔버 내로 0.015 내지 0.3torr의 부분 압력을 갖는 게르마인 가스(GeH4)를 제공하는 단계와,Providing a germane gas (GeH 4 ) having a partial pressure of 0.015 to 0.3 torr into the deposition chamber such that the amount of germane in the deposition chamber is 3% or less of the amount of disilline in the chamber; , 실리콘 원자를 형성하도록 상기 디실라인(Si2H6)을 열적으로 분해하고, 게르마늄 원자를 형성하도록 상기 게르마인을 열적으로 분해하는 단계와, 그리고Thermally decomposing the disilline (Si 2 H 6 ) to form silicon atoms, and thermally decomposing the germanine to form germanium atoms, and 상기 실리콘 원자와 상기 게르마늄 원자로부터 실리콘 게르마늄 합금 박막을 증착하는 단계를 포함하는 방법.Depositing a silicon germanium alloy thin film from the silicon atom and the germanium atom. 제 29항에 있어서, 상기 증착 챔버 내로 0.015 내지 0.3torr의 부분 압력을 갖는 도판트 가스를 제공하는 단계를 더 포함하는 방법.30. The method of claim 29, further comprising providing a dopant gas having a partial pressure of 0.015 to 0.3 torr into the deposition chamber. 실리콘 게르마늄 박막으로서,As a silicon germanium thin film, 실리콘 원자 및 게르마늄 원자를 포함하며,Containing silicon atoms and germanium atoms, 상기 실리콘 게르마늄 박막 내의 상기 게르마늄 원자의 수가 상기 실리콘 게르마늄 박막 내의 실리콘 원자의 수의 10% 이하인 실리콘 게르마늄 박막.And the number of germanium atoms in the silicon germanium thin film is 10% or less of the number of silicon atoms in the silicon germanium thin film. 제 31항에 있어서, 상기 실리콘 게르마늄 박막은 1000Å 이하의 평균 결정립 길이 또는 대략 100개의 원자를 갖는 실리콘 게르마늄 박막.32. The silicon germanium thin film of claim 31, wherein the silicon germanium thin film has an average grain length of about 1000 GPa or about 100 atoms. 제 31항에 있어서, 상기 실리콘 게르마늄 박막이 0.1원자% 이하의 수소를 포함하는 실리콘 게르마늄 박막.32. The silicon germanium thin film according to claim 31, wherein the silicon germanium thin film contains 0.1 atomic% or less hydrogen. 실리콘 게르마늄 박막으로서,As a silicon germanium thin film, 실리콘 원자 및 게르마늄 원자를 포함하며,Containing silicon atoms and germanium atoms, 상기 실리콘 게르마늄 박막 내의 상기 게르마늄 원자의 수가 상기 실리콘 게르마늄 박막 내의 실리콘 원자의 수의 10% 이하이며,The number of germanium atoms in the silicon germanium thin film is 10% or less of the number of silicon atoms in the silicon germanium thin film, 상기 실리콘 게르마늄 박막이 0.1원자% 이하의 수소를 포함하며, 상기 게르마늄 실리콘 박막이 1000Å 이하의 평균 결정립 길이를 갖는 실리콘 게르마늄 박막.Wherein said silicon germanium thin film contains hydrogen of 0.1 atomic% or less, and said germanium silicon thin film has an average grain length of 1000 Å or less. 기판 처리 시스템으로서,As a substrate processing system, 진공 챔버를 형성하는 하우징과,A housing forming a vacuum chamber, 상기 하우징 내에 위치되고, 기판을 처리하는 동안 기판을 유지하는 기판 홀더와,A substrate holder located within the housing and holding the substrate while processing the substrate; 상기 기판 상에 층을 증착하도록 상기 진공 챔버 내로 처리 가스를 도입하기 위한 가스 이송 시스템과,A gas delivery system for introducing a processing gas into the vacuum chamber to deposit a layer on the substrate; 상기 가스 이송 시스템을 제어하는 제어기와, 그리고A controller for controlling the gas delivery system, and 상기 제어기에 연결되고, 화학 기상 증착 반응기 시스템의 작동을 지시하기 위한 컴퓨터 판독가능한 프로그램이 내장된 컴퓨터 판독가능한 매체를 포함하는 메모리를 포함하며,A memory coupled to the controller, the memory including a computer readable medium having a computer readable program therein for directing operation of a chemical vapor deposition reactor system, 상기 컴퓨터 판독가능한 프로그램은,The computer readable program includes: 실리콘 소오스 및 게르마인을 포함하는 증착 가스를 상기 진공 챔버 내로 도입하여 상기 기판 홀더 상에 위치된 기판 위에 실리콘 게르마늄 합금을 증착하도록 상기 가스 이송 시스템을 제어하기 위한 지령들을 포함하며, 상기 지령들은 상기 게르마인을 제 1속도로 상기 증착 챔버 내로 도입하고 상기 실리콘 소오스를 제 2속도로 상기 증착 챔버 내로 도입하며, 상기 제 1 및 제 2속도는 상기 증착 챔버 내로 제공되는 상기 게르마인 가스의 양이 상기 챔버 내로 제공된 실리콘 소오스 가스의 양의 3% 또는 그 이하가 되도록 선택되는 기판 처리 시스템.Instructions for controlling the gas delivery system to introduce a deposition gas comprising a silicon source and germane into the vacuum chamber to deposit a silicon germanium alloy on a substrate located on the substrate holder, the instructions including the germane Introducing a mine into the deposition chamber at a first rate and introducing the silicon source into the deposition chamber at a second rate, the first and second rates being the amount of germane gas provided into the deposition chamber. And the substrate processing system selected to be 3% or less of the amount of silicon source gas provided therein.
KR1020017000352A 1998-07-09 1999-06-29 Method and apparatus for forming amorphous and polycrystalline silicon germanium alloy films KR20010053459A (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11352998A 1998-07-09 1998-07-09
US09/113,529 1998-07-09
PCT/US1999/014773 WO2000003061A1 (en) 1998-07-09 1999-06-29 Method and apparatus for forming amorphous and polycrystalline silicon germanium alloy films

Publications (1)

Publication Number Publication Date
KR20010053459A true KR20010053459A (en) 2001-06-25

Family

ID=22349958

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020017000352A KR20010053459A (en) 1998-07-09 1999-06-29 Method and apparatus for forming amorphous and polycrystalline silicon germanium alloy films

Country Status (4)

Country Link
EP (1) EP1100978A1 (en)
JP (1) JP2002520487A (en)
KR (1) KR20010053459A (en)
WO (1) WO2000003061A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101106480B1 (en) * 2009-06-12 2012-01-20 한국철강 주식회사 Method for Manufacturing Photovoltaic Device

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3922018B2 (en) * 2001-12-21 2007-05-30 株式会社Sumco Vapor growth apparatus and temperature detection method for vapor growth apparatus
US7186630B2 (en) 2002-08-14 2007-03-06 Asm America, Inc. Deposition of amorphous silicon-containing films
US8450221B2 (en) * 2010-08-04 2013-05-28 Texas Instruments Incorporated Method of forming MOS transistors including SiON gate dielectric with enhanced nitrogen concentration at its sidewalls
CN103515224B (en) * 2012-06-29 2016-12-21 无锡华润上华科技有限公司 Polysilicon quick annealing method after ion implantation
CN111968909B (en) * 2020-10-22 2021-02-09 晶芯成(北京)科技有限公司 Method for manufacturing semiconductor structure
US20220165566A1 (en) * 2020-11-20 2022-05-26 Applied Materials, Inc. Conformal silicon-germanium film deposition

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2485810A1 (en) * 1980-06-24 1981-12-31 Thomson Csf PROCESS FOR PRODUCING A LAYER CONTAINING SILICON AND PHOTOELECTRIC CONVERSION DEVICE USING THE SAME
JP3121131B2 (en) * 1991-08-09 2000-12-25 アプライド マテリアルズ インコーポレイテッド Low temperature and high pressure silicon deposition method
US6114216A (en) * 1996-11-13 2000-09-05 Applied Materials, Inc. Methods for shallow trench isolation

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101106480B1 (en) * 2009-06-12 2012-01-20 한국철강 주식회사 Method for Manufacturing Photovoltaic Device

Also Published As

Publication number Publication date
EP1100978A1 (en) 2001-05-23
JP2002520487A (en) 2002-07-09
WO2000003061A1 (en) 2000-01-20

Similar Documents

Publication Publication Date Title
EP1117854B1 (en) Method and apparatus for forming polycrystalline and amorphous silicon films
KR100693612B1 (en) Improved gate electrode connection structure by in situ chemical vapor deposition of tungsten and tungsten nitride
US7651953B2 (en) Method to form ultra high quality silicon-containing compound layers
US6297152B1 (en) CVD process for DCS-based tungsten silicide
US7253084B2 (en) Deposition from liquid sources
US6348420B1 (en) Situ dielectric stacks
US5695819A (en) Method of enhancing step coverage of polysilicon deposits
US7204885B2 (en) Deposition system to provide preheating of chemical vapor deposition precursors
WO2004082003A2 (en) Apparatuses and methods for forming a substantially facet-free epitaxial film
US6017144A (en) Method and apparatus for depositing highly oriented and reflective crystalline layers using a low temperature seeding layer
US5863598A (en) Method of forming doped silicon in high aspect ratio openings
US7273818B2 (en) Film formation method and apparatus for semiconductor process
KR20200078423A (en) Methods to reduce or eliminate defects in tungsten film
KR20010053459A (en) Method and apparatus for forming amorphous and polycrystalline silicon germanium alloy films
US5250463A (en) Method of making doped semiconductor film having uniform impurity concentration on semiconductor substrate
US6887775B2 (en) Process and apparatus for epitaxially coating a semiconductor wafer and epitaxially coated semiconductor wafer
US6573180B2 (en) PECVD method of forming a tungsten silicide layer on a polysilicon layer
KR101548129B1 (en) Protection of conductors from oxidation in deposition chambers
JP3263176B2 (en) Semiconductor device manufacturing method, semiconductor device manufacturing apparatus, and method for removing natural oxide film
US20020094387A1 (en) Method for improving chemical vapor deposition of titanium
KR940010158B1 (en) Tungsten film depositing method using pecvd
KR20210122178A (en) In-situ tungsten deposition without barrier layer
Kamins et al. Deposition

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid