US8297225B2 - Capacitive CVD reactor and methods for plasma CVD process - Google Patents

Capacitive CVD reactor and methods for plasma CVD process Download PDF

Info

Publication number
US8297225B2
US8297225B2 US12/498,295 US49829509A US8297225B2 US 8297225 B2 US8297225 B2 US 8297225B2 US 49829509 A US49829509 A US 49829509A US 8297225 B2 US8297225 B2 US 8297225B2
Authority
US
United States
Prior art keywords
reactor
mode
coupled
gas
chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active, expires
Application number
US12/498,295
Other versions
US20100126667A1 (en
Inventor
Gerald Yin
Jinyuan Chen
Tuqiang Ni
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Advanced Micro Fabrication Equipment Inc
Original Assignee
Advanced Micro Fabrication Equipment Inc Asia
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Micro Fabrication Equipment Inc Asia filed Critical Advanced Micro Fabrication Equipment Inc Asia
Assigned to ADVANCED MICRO-FABRICATION EQUIPMENT, INC. ASIA reassignment ADVANCED MICRO-FABRICATION EQUIPMENT, INC. ASIA ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CHEN, JINYUAN, YIN, GERALD, NI, TUQIANG
Publication of US20100126667A1 publication Critical patent/US20100126667A1/en
Priority to US13/663,408 priority Critical patent/US20130048216A1/en
Application granted granted Critical
Publication of US8297225B2 publication Critical patent/US8297225B2/en
Assigned to ADVANCED MICRO-FABRICATION EQUIPMENT, INC. CHINA reassignment ADVANCED MICRO-FABRICATION EQUIPMENT, INC. CHINA ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: ADVANCED MICRO-FABRICATION EQUIPMENT, INC. ASIA
Assigned to ADVANCED MICRO-FABRICATION EQUIPMENT INC. CHINA reassignment ADVANCED MICRO-FABRICATION EQUIPMENT INC. CHINA CORRECTION OF NAME Assignors: ADVANCED MICRO-FABRICATION EQUIPMENT, INC. CHINA AND ADVANCED MICRO-FABRICATION EQUIPMENT INC, CHINA OR ANY OTHER VARIATIONS
Active legal-status Critical Current
Adjusted expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/2001Maintaining constant desired temperature

Definitions

  • This invention relates to the art of substrate micro-fabrication and, more particularly, to plasma assisted chemical vapor deposition chamber and processes.
  • Micro-fabrication of substrates is a well know art employed in, for example, fabrication of semiconductors, flat panel displays, light emitting diodes (LED's), solar cells, etc.
  • various steps in micro-fabrication include forming thin film using various chemical vapor deposition (CVD) techniques.
  • CVD chemical vapor deposition
  • One such technique is generally referred to as plasma assisted vapor deposition (PECVD).
  • PECVD plasma assisted vapor deposition
  • Various chamber technologies have been used for PECVD fabrication, including microwave, inductive, and capacitive sources.
  • conventional chambers are designed so that power is delivered to the plasma from a source that is remote or opposite the substrate.
  • inductive sources the coil is positioned above the roof of the chamber
  • capacitive sources the RF energy is generally applied to the anode embedded in the showerhead or ceiling of the reactor.
  • the reasoning behind such design relates to preventing species bombardment of the substrate during deposition of the thin film.
  • Another feature of the prior art chambers is the use of remote clean. Since the chambers are used to deposit various materials on the substrate, the materials are also deposited on various parts of the chamber. One problem is that once the deposits on the chamber parts reach a certain thickness level, and partly as a result of thermal cycling of the chamber, the coating flakes off and generates particles that land on the substrate, thereby causing defects. Therefore, it is desirable to clean the chamber from such deposits.
  • One method for cleaning the chamber is the use of plasma etching of the deposits.
  • the most utilized method in the art is that of a remote plasma source, such as Astron®, available from MKS Instruments of Andover, Mass., Litmass® or available from Advanced Energy of Fort Collins, Colo.
  • this solution requires attaching additional hardware to the reactor and activation of a plasma source separate from the reactor's source.
  • FIG. 1 illustrating trench 110 etched in substrate 100 .
  • contour lines 102 , 104 , and 106 as material is CVD deposited onto the substrate, it pinches at the top, 108 , so as to form a seam or void 120 .
  • a seam is generated, after an annealing step it often expands into a void.
  • Such a void is undesirable as is considered a defect.
  • a decoupled capacitive, i.e., parallel plate, CVD reactor is described, which provides improved CVD capabilities, including processing at lower temperatures, performing alternating deposition and etching steps, and performing in situ cleaning of the chamber, without the need for a remote plasma source.
  • Two RF frequencies are coupled to the susceptor, while the anode is grounded.
  • This structure provides a high density plasma chamber with separate control and efficient tuning of plasma density and species energy.
  • the high frequency RF source is operated so as to control the plasma density
  • the low frequency RF source is operated to control species bombardment on the substrate, so as to control the properties of the film being deposited.
  • both RF sources may be controlled, together with selection of gasses supplied to the chamber, to operate the chamber either in deposition mode, partial etch mode, etching mode, or cleaning mode.
  • the low frequency RF source is set at a lower power than the high frequency RF source.
  • FIG. 1 illustrates a cross section of a CVD fill of a trench, according to the prior art.
  • FIG. 2 illustrates a cross section of a CVD chamber according to an embodiment of the invention.
  • FIGS. 3A-3G illustrate a process for gap fill using the reactor 200 in an alternating CVD—etch modes.
  • FIG. 4 illustrates another embodiment of the invention, wherein two substrates may be processed simultaneously.
  • FIG. 5 illustrates yet another embodiment of the invention, wherein four substrates may be processed simultaneously.
  • Embodiments of the invention provide a plasma processing apparatus that is operable in four modes: CVD, partial etch, etch, and clean modes.
  • CVD mode the chamber is operated to deposit layers on the substrate.
  • partial etch mode deposition processing is performed concurrently with partial etch process so as to control the properties of the deposited layer.
  • etch mode deposition is stopped and the deposited layer is at least partially etched.
  • clean mode in-situ plasma is used to efficiently clean the chamber.
  • FIG. 2 illustrates a cross section of an embodiment of a decoupled capacitive plasma CVD reactor 200 according to an embodiment of the invention.
  • the reactor 200 has a chamber body 205 having a susceptor 225 situated therein and a showerhead 260 opposing the susceptor and also serving as a grounded anode.
  • a gap 210 is formed between the susceptor 225 and the showerhead 260 , where plasma 215 may be ignited and maintained.
  • the pressure inside the chamber is controlled with pump 220 . Due to the design of this chamber, there is no need for a turbo-molecular pump. Rather, a simple mechanical pump may suffice, as the processes in the chamber may be performed at pressures in the range of 50 mTorr to 10 Torr, so as to enable CVD, etch, and clean processes.
  • element 260 may function as a grounded anode only, while gas is delivered using injectors, e.g., from the sidewalls of the chamber 205 .
  • Gas is delivered from gas controller 265 , which may be an array of “gas sticks” in a manner well known in the art, where each gas stick may include filters, mass flow controllers (MFC's), valves, etc.
  • the controller 265 can be operated to selectively deliver deposition precursor gases, etching precursor gases, and/or cleaning precursor gases.
  • the cleaning gas may or may not be the same as the etching gas.
  • the susceptor includes a heater 230 , which is powered by source 240 .
  • the heater 230 is operable to maintain the substrate at temperatures in the range of about 100° C.-500° C.
  • the susceptor also includes a cathode 235 , which is power by two RF power sources, high frequency 250 and low frequency 255 , fed via frequency match 245 .
  • High frequency source 250 provides RF frequency which may be, e.g., 27 MHz, 60 MHz, 100 MHz, or higher. In general, the high frequency should be 27 MHz or higher.
  • the low frequency may be in the range of hundreds of kilohertz up to 13.56 MHz. For example, it may be set to 400 MHz, 2 MHz, 2.2 MHz, or 13.56 MHz.
  • Applying the RF frequency to the bottom electrode rather than to the top electrode provides at least the following benefits. Coupling the RF to the bottom electrode enables control of the deposition rate compared to the etch rate, i.e., applying RF power to cause deposition rate to be higher than etch rate. Also, the application of RF power to the bottom electrode enables better dissociation of deposition precursor gas. Also, applying the RF power to the top electrode, as is done in the prior art, causes the plasma to attack the chamber's ceiling, thereby causing particles. On the other hand, when the RF is applied to the bottom electrode, the plasma does not attack the ceiling of the chamber.
  • Reactor 200 may be operated in a CVD mode to perform, e.g., gap fill process. Gap fill is traditionally done using a high density plasma CVD, HDP-CVD, which utilizes an inductive RF source. However, reactor 200 may perform gap fill by operating the reactor as follows.
  • the pump 220 is operated to maintain a pressure of 1 to 10 Torr in the chamber.
  • a deposition gas which may include silicon-containing gas, an oxygen-containing gas, and a fluent gas, e.g., monosilane (SiH 4 ), molecular oxygen (O 2 ) and helium, or Silane and Ozone, is provided by the gas controller 265 via showerhead 260 .
  • the heater 230 is energized to maintain the substrate at, e.g., 200° C.-400° C.
  • the high frequency source 150 is energized to about 200 W-1000 W, while the bias, i.e., low frequency RF generator 255 is energized to 0-500 W.
  • the reactor 200 is transformed to operate in a partial etch mode.
  • argon gas may be added while the reactor 200 operates in a partial etch mode.
  • the argon species can be used to vary the density and/or planarity/conformity of the deposited film.
  • the reactor 200 may also be operated in an etch mode by having controller 280 set operating parameters of the chamber to enable etching.
  • the controller may change the operation modes of, e.g., the pump 220 , the RF generators 250 , 255 , and the gas controllers.
  • the pump 220 is operated to maintain the chamber at about 50 mT to 2 T.
  • Gas controller 265 is operated to stop injection of deposition gas and instead inject etch precursor gas, e.g., fluorocarbon chemistry with or without argon gas.
  • the RF generators may be operated at different power settings.
  • the power of the RF generator 255 may be increased to cause more ion bombardment of the substrate, thereby increasing ion etch.
  • the etch mode may be interlaced with deposition mode so as to control the surface texture, planarity and/or conformity of the deposited layer.
  • FIGS. 3A-3G illustrate a process for gap fill using the reactor 200 in an alternating CVD—etch modes.
  • a first layer 302 is deposited by operating the reactor 200 in a CVD mode.
  • the reactor 200 is operated in an etch mode so as to partially etch layer 302 .
  • the layer naturally tends to etch preferentially at the corners 304 , so as to widen the opening to the gap.
  • a further deposition step then follows to further fill the gap, as shown in FIG. 3C .
  • the reactor is reverted to etch mode, so as to partially etch the deposited layer and open the corners 304 , as shown in FIG. 3D .
  • FIG. 3D As shown in FIG.
  • the gap is almost totally filled, at which time the reactor is reverted to etch so as to planarize the top of the deposited layer, as shown in FIG. 3F .
  • Further deposition and etch processes can be used to provide a planar surface, as shown in FIG. 3G .
  • partial etch is continuously performed together with the CVD, so that the corners 304 are continuously bombarded and etched.
  • the reactor is operated in partial etch mode, wherein the controller sets the chamber's operating parameters such that deposition gas is supplied to the chamber and the heater is energized to deposition temperature.
  • the high frequency source is energized to generate plasma at density controlled for deposition, while the bias power is slightly energized, e.g., 100-300 W to generate low level bombardment of the substrate.
  • argon gas is added to the deposition gas to enhance sputtering of the substrate so as to physically etch the corners 304 .
  • the substrate may be removed and the chamber may be operated in a cleaning mode by having the controller set various operating parameters to perform in-situ chamber cleaning.
  • the chamber is operated by energizing the high RF source to generate plasma using cleaning gas, such as, e.g., HF, F2, C2F6, COF2, etc.
  • cleaning gas such as, e.g., HF, F2, C2F6, COF2, etc.
  • the low frequency bias generator 255 may be kept off, or energized to a low level, so as to minimize ion bombardment of the interior parts of the chamber.
  • the pump 220 may be energized to reduce the pressure in the chamber to below 1 T.
  • FIG. 4 illustrates another embodiment of the invention, wherein the chamber body 405 is divided into two processing zones 410 , 412 , by partition 414 .
  • the two zones 410 , 412 are fluidly coupled to pump 420 .
  • Each zone has a susceptor 425 , 427 and showerhead 460 , 462 .
  • Each susceptor includes a heater, 430 , 432 and an electrode 435 , 437 .
  • the electrodes 435 , 437 are coupled to high RF source 450 and its related impedance match 445 , and to low RF bias 455 and its related impedance match 447 .
  • Each showerhead is coupled to gas source controller 465 and includes an electrode 464 , 466 that is grounded.
  • Each zone can be operated in CVD mode, partial etch mode, etch mode, and clean mode, similar to the modes described above.
  • this structure can be easily extended to large substrate sizes, so as to fabricate, for example, LCD displays and thin-film solar panels. Also, this structure can operate at pressure regimes, e.g., 2-5 Torr range, that do not require turbo molecular pumps, but can be maintained using a simple mechanical pump, thereby lowering costs and complexity.
  • the reactor described herein there is no need for an electrostatic (ESC) chuck. Rather, a simply susceptor may be used.
  • the susceptor should be operable at high temperature, higher than conventional ESC chuck.
  • the level of temperature of the chuck would depend on the frequency of the high frequency plasma source.
  • the temperature requirements of the susceptor can be relaxed as the frequency of the source is increased. For example, the temperature of the susceptor may be reduced if the source is at 100 MHz or above, as opposed to when the source operates at, say 27 MHZ.
  • FIG. 5 illustrates yet another embodiment of the invention, wherein four substrates may be processed simultaneously.
  • Reactor 40 has chamber body 45 and lid 100 .
  • the lid has four showerheads 105 , which also serve as anodes coupled to ground.
  • four processing zones each having a susceptor 44 , are created for processing four substrates simultaneously.
  • Each susceptor also includes a cathode embedded therein.
  • Each cathode is coupled to a high frequency RF generator 10 and low frequency RF generator 12 , via match 14 .
  • a mechanical pump 62 is provided to control the pressure in the four processing zones, via evacuation slits 55 .
  • the substrates are delivered and removed from the chamber via valve 22 .
  • a rotary robot arm is situated (not shown as not to obscure other elements) which is used to accept substrates from valve 22 and place the substrates in the respective processing zone.

Abstract

A decoupled capacitive CVD reactor is described, which provides improved CVD capabilities, including processing at lower temperatures, performing alternating deposition and etching steps, and performing in situ cleaning of the chamber, without the need for a remote plasma source. Two RF frequencies are coupled to the susceptor, while the anode is grounded. The high frequency RF source is operated so as to control the plasma density, while the low frequency RF source is operated to control species bombardment on the substrate, so as to control the properties of the film being deposited. Additionally, both RF sources may be controlled, together with selection of gasses supplied to the chamber, to operate the chamber either in deposition mode, partial etch mode, etching mode, or cleaning mode.

Description

RELATED APPLICATIONS
This application claims priority from Chinese Patent Application Serial No. 200810203405.X, which was filed on Nov. 26, 2008, the entire disclosure of which is incorporated herein by reference.
BACKGROUND
1. Filed of the Invention
This invention relates to the art of substrate micro-fabrication and, more particularly, to plasma assisted chemical vapor deposition chamber and processes.
2. Related Arts
Micro-fabrication of substrates is a well know art employed in, for example, fabrication of semiconductors, flat panel displays, light emitting diodes (LED's), solar cells, etc. various steps in micro-fabrication include forming thin film using various chemical vapor deposition (CVD) techniques. One such technique is generally referred to as plasma assisted vapor deposition (PECVD). Various chamber technologies have been used for PECVD fabrication, including microwave, inductive, and capacitive sources. In general, conventional chambers are designed so that power is delivered to the plasma from a source that is remote or opposite the substrate. For example, in inductive sources the coil is positioned above the roof of the chamber, while in capacitive sources the RF energy is generally applied to the anode embedded in the showerhead or ceiling of the reactor. The reasoning behind such design relates to preventing species bombardment of the substrate during deposition of the thin film.
Another feature of the prior art chambers is the use of remote clean. Since the chambers are used to deposit various materials on the substrate, the materials are also deposited on various parts of the chamber. One problem is that once the deposits on the chamber parts reach a certain thickness level, and partly as a result of thermal cycling of the chamber, the coating flakes off and generates particles that land on the substrate, thereby causing defects. Therefore, it is desirable to clean the chamber from such deposits. One method for cleaning the chamber is the use of plasma etching of the deposits. The most utilized method in the art is that of a remote plasma source, such as Astron®, available from MKS Instruments of Andover, Mass., Litmass® or available from Advanced Energy of Fort Collins, Colo. However, this solution requires attaching additional hardware to the reactor and activation of a plasma source separate from the reactor's source.
A recent problem encountered in the CVD art is that of “pinching.” Due to aspect ration of various structures that need to be CVD filled, as the material is deposited on the sides of the trench it closes the top so as to form voids inside the trench. This is shown in FIG. 1, illustrating trench 110 etched in substrate 100. As shown by contour lines 102, 104, and 106, as material is CVD deposited onto the substrate, it pinches at the top, 108, so as to form a seam or void 120. Even when a seam is generated, after an annealing step it often expands into a void. Such a void is undesirable as is considered a defect.
SUMMARY
The following summary is included in order to provide a basic understanding of some aspects and features of the invention. This summary is not an extensive overview of the invention and as such it is not intended to particularly identify key or critical elements of the invention or to delineate the scope of the invention. Its sole purpose is to present some concepts of the invention in a simplified form as a prelude to the more detailed description that is presented below.
A decoupled capacitive, i.e., parallel plate, CVD reactor is described, which provides improved CVD capabilities, including processing at lower temperatures, performing alternating deposition and etching steps, and performing in situ cleaning of the chamber, without the need for a remote plasma source.
According to certain aspects of the invention, Two RF frequencies are coupled to the susceptor, while the anode is grounded. This structure provides a high density plasma chamber with separate control and efficient tuning of plasma density and species energy. The high frequency RF source is operated so as to control the plasma density, while the low frequency RF source is operated to control species bombardment on the substrate, so as to control the properties of the film being deposited. Additionally, both RF sources may be controlled, together with selection of gasses supplied to the chamber, to operate the chamber either in deposition mode, partial etch mode, etching mode, or cleaning mode. In general, the low frequency RF source is set at a lower power than the high frequency RF source.
BRIEF DESCRIPTION OF THE DRAWINGS
The accompanying drawings, which are incorporated in and constitute a part of this specification, exemplify the embodiments of the present invention and, together with the description, serve to explain and illustrate principles of the invention. The drawings are intended to illustrate major features of the exemplary embodiments in a diagrammatic manner. The drawings are not intended to depict every feature of actual embodiments nor relative dimensions of the depicted elements, and are not drawn to scale.
FIG. 1 illustrates a cross section of a CVD fill of a trench, according to the prior art.
FIG. 2 illustrates a cross section of a CVD chamber according to an embodiment of the invention.
FIGS. 3A-3G illustrate a process for gap fill using the reactor 200 in an alternating CVD—etch modes.
FIG. 4 illustrates another embodiment of the invention, wherein two substrates may be processed simultaneously.
FIG. 5 illustrates yet another embodiment of the invention, wherein four substrates may be processed simultaneously.
DETAILED DESCRIPTION
Embodiments of the invention provide a plasma processing apparatus that is operable in four modes: CVD, partial etch, etch, and clean modes. In CVD mode the chamber is operated to deposit layers on the substrate. In partial etch mode deposition processing is performed concurrently with partial etch process so as to control the properties of the deposited layer. In etch mode deposition is stopped and the deposited layer is at least partially etched. In clean mode in-situ plasma is used to efficiently clean the chamber. The following are some examples of embodiments of the inventive chamber.
FIG. 2 illustrates a cross section of an embodiment of a decoupled capacitive plasma CVD reactor 200 according to an embodiment of the invention. The reactor 200 has a chamber body 205 having a susceptor 225 situated therein and a showerhead 260 opposing the susceptor and also serving as a grounded anode. A gap 210 is formed between the susceptor 225 and the showerhead 260, where plasma 215 may be ignited and maintained. The pressure inside the chamber is controlled with pump 220. Due to the design of this chamber, there is no need for a turbo-molecular pump. Rather, a simple mechanical pump may suffice, as the processes in the chamber may be performed at pressures in the range of 50 mTorr to 10 Torr, so as to enable CVD, etch, and clean processes.
It should be appreciated that rather than using a showerhead, element 260 may function as a grounded anode only, while gas is delivered using injectors, e.g., from the sidewalls of the chamber 205. Gas is delivered from gas controller 265, which may be an array of “gas sticks” in a manner well known in the art, where each gas stick may include filters, mass flow controllers (MFC's), valves, etc. The controller 265 can be operated to selectively deliver deposition precursor gases, etching precursor gases, and/or cleaning precursor gases. The cleaning gas may or may not be the same as the etching gas.
The susceptor includes a heater 230, which is powered by source 240. The heater 230 is operable to maintain the substrate at temperatures in the range of about 100° C.-500° C. The susceptor also includes a cathode 235, which is power by two RF power sources, high frequency 250 and low frequency 255, fed via frequency match 245. High frequency source 250 provides RF frequency which may be, e.g., 27 MHz, 60 MHz, 100 MHz, or higher. In general, the high frequency should be 27 MHz or higher. The low frequency may be in the range of hundreds of kilohertz up to 13.56 MHz. For example, it may be set to 400 MHz, 2 MHz, 2.2 MHz, or 13.56 MHz. Applying the RF frequency to the bottom electrode rather than to the top electrode (as is done in the prior art) provides at least the following benefits. Coupling the RF to the bottom electrode enables control of the deposition rate compared to the etch rate, i.e., applying RF power to cause deposition rate to be higher than etch rate. Also, the application of RF power to the bottom electrode enables better dissociation of deposition precursor gas. Also, applying the RF power to the top electrode, as is done in the prior art, causes the plasma to attack the chamber's ceiling, thereby causing particles. On the other hand, when the RF is applied to the bottom electrode, the plasma does not attack the ceiling of the chamber.
Reactor 200 may be operated in a CVD mode to perform, e.g., gap fill process. Gap fill is traditionally done using a high density plasma CVD, HDP-CVD, which utilizes an inductive RF source. However, reactor 200 may perform gap fill by operating the reactor as follows. The pump 220 is operated to maintain a pressure of 1 to 10 Torr in the chamber. A deposition gas which may include silicon-containing gas, an oxygen-containing gas, and a fluent gas, e.g., monosilane (SiH4), molecular oxygen (O2) and helium, or Silane and Ozone, is provided by the gas controller 265 via showerhead 260. The heater 230 is energized to maintain the substrate at, e.g., 200° C.-400° C. The high frequency source 150 is energized to about 200 W-1000 W, while the bias, i.e., low frequency RF generator 255 is energized to 0-500 W. Notably, as the energy of the bias generator 255 is increased, the reactor 200 is transformed to operate in a partial etch mode. Optionally, argon gas may be added while the reactor 200 operates in a partial etch mode. The argon species can be used to vary the density and/or planarity/conformity of the deposited film.
The reactor 200 may also be operated in an etch mode by having controller 280 set operating parameters of the chamber to enable etching. The controller may change the operation modes of, e.g., the pump 220, the RF generators 250, 255, and the gas controllers. To perform etch, the pump 220 is operated to maintain the chamber at about 50 mT to 2 T. Gas controller 265 is operated to stop injection of deposition gas and instead inject etch precursor gas, e.g., fluorocarbon chemistry with or without argon gas. Also, the RF generators may be operated at different power settings. For example, since the low RF frequency controls the ion bombardments, the power of the RF generator 255 may be increased to cause more ion bombardment of the substrate, thereby increasing ion etch. Notably, the etch mode may be interlaced with deposition mode so as to control the surface texture, planarity and/or conformity of the deposited layer.
FIGS. 3A-3G illustrate a process for gap fill using the reactor 200 in an alternating CVD—etch modes. In FIG. 3A a first layer 302 is deposited by operating the reactor 200 in a CVD mode. Then, the reactor 200 is operated in an etch mode so as to partially etch layer 302. As shown in FIG. 3B, the layer naturally tends to etch preferentially at the corners 304, so as to widen the opening to the gap. A further deposition step then follows to further fill the gap, as shown in FIG. 3C. As the corners 304 become close again, the reactor is reverted to etch mode, so as to partially etch the deposited layer and open the corners 304, as shown in FIG. 3D. As shown in FIG. 3E, the gap is almost totally filled, at which time the reactor is reverted to etch so as to planarize the top of the deposited layer, as shown in FIG. 3F. Further deposition and etch processes can be used to provide a planar surface, as shown in FIG. 3G.
In an alternative embodiment, partial etch is continuously performed together with the CVD, so that the corners 304 are continuously bombarded and etched. For this process, the reactor is operated in partial etch mode, wherein the controller sets the chamber's operating parameters such that deposition gas is supplied to the chamber and the heater is energized to deposition temperature. The high frequency source is energized to generate plasma at density controlled for deposition, while the bias power is slightly energized, e.g., 100-300 W to generate low level bombardment of the substrate. Optionally, argon gas is added to the deposition gas to enhance sputtering of the substrate so as to physically etch the corners 304.
Once processing is completed, the substrate may be removed and the chamber may be operated in a cleaning mode by having the controller set various operating parameters to perform in-situ chamber cleaning. For example, during cleaning mode the chamber is operated by energizing the high RF source to generate plasma using cleaning gas, such as, e.g., HF, F2, C2F6, COF2, etc. During cleaning mode, the low frequency bias generator 255 may be kept off, or energized to a low level, so as to minimize ion bombardment of the interior parts of the chamber. On the other hand, the pump 220 may be energized to reduce the pressure in the chamber to below 1 T.
FIG. 4 illustrates another embodiment of the invention, wherein the chamber body 405 is divided into two processing zones 410, 412, by partition 414. The two zones 410, 412 are fluidly coupled to pump 420. Each zone has a susceptor 425, 427 and showerhead 460, 462. Each susceptor includes a heater, 430, 432 and an electrode 435, 437. The electrodes 435, 437 are coupled to high RF source 450 and its related impedance match 445, and to low RF bias 455 and its related impedance match 447. Each showerhead is coupled to gas source controller 465 and includes an electrode 464, 466 that is grounded. Each zone can be operated in CVD mode, partial etch mode, etch mode, and clean mode, similar to the modes described above.
As can be understood from the above description, using the parallel plate structure with dual frequency fed from the susceptor creates a planar plasma source that can be easily extended to any required area, while maintaining relatively uniform plasma distribution. Therefore, this structure can be easily extended to large substrate sizes, so as to fabricate, for example, LCD displays and thin-film solar panels. Also, this structure can operate at pressure regimes, e.g., 2-5 Torr range, that do not require turbo molecular pumps, but can be maintained using a simple mechanical pump, thereby lowering costs and complexity.
Another thing to note is that for the reactor described herein there is no need for an electrostatic (ESC) chuck. Rather, a simply susceptor may be used. On the other hand, the susceptor should be operable at high temperature, higher than conventional ESC chuck. The level of temperature of the chuck would depend on the frequency of the high frequency plasma source. The temperature requirements of the susceptor can be relaxed as the frequency of the source is increased. For example, the temperature of the susceptor may be reduced if the source is at 100 MHz or above, as opposed to when the source operates at, say 27 MHZ.
FIG. 5 illustrates yet another embodiment of the invention, wherein four substrates may be processed simultaneously. Reactor 40 has chamber body 45 and lid 100. The lid has four showerheads 105, which also serve as anodes coupled to ground. When the lid 100 is closed over the body 45, four processing zones, each having a susceptor 44, are created for processing four substrates simultaneously. Each susceptor also includes a cathode embedded therein. Each cathode is coupled to a high frequency RF generator 10 and low frequency RF generator 12, via match 14. A mechanical pump 62 is provided to control the pressure in the four processing zones, via evacuation slits 55. The substrates are delivered and removed from the chamber via valve 22. At central coupling 51 a rotary robot arm is situated (not shown as not to obscure other elements) which is used to accept substrates from valve 22 and place the substrates in the respective processing zone.
It should be understood that processes and techniques described herein are not inherently related to any particular apparatus and may be implemented by any suitable combination of components. Further, various types of general purpose devices may be used in accordance with the teachings described herein. It may also prove advantageous to construct specialized apparatus to perform the method steps described herein. The present invention has been described in relation to particular examples, which are intended in all respects to be illustrative rather than restrictive. Those skilled in the art will appreciate that many different combinations of hardware, software, and firmware will be suitable for practicing the present invention. Moreover, other implementations of the invention will be apparent to those skilled in the art from consideration of the specification and practice of the invention disclosed herein. Various aspects and/or components of the described embodiments may be used singly or in any combination in the server arts. It is intended that the specification and examples be considered as exemplary only, with a true scope and spirit of the invention being indicated by the following claims.

Claims (14)

1. A capacitively coupled switchable reactor operable in plasma chemical vapor deposition (CVD) mode and etch mode to process a substrate, comprising:
a chamber body;
an anode situated at the ceiling of the chamber body, the anode being coupled to ground;
a gas injection apparatus coupled to a deposition gas source for selectively injecting deposition gas, etching gas, or a mixture of deposition gas and etching gas into the chamber;
a susceptor situated within the chamber body;
a heater situated within the susceptor;
an electrode situated within the susceptor;
a high frequency RF generator coupled to the electrode;
a low frequency RF generator coupled to the electrode; and,
a controller operable to switch the operational parameters of the gas injection apparatus, the high frequency RF generator and the low frequency RF generator so as to selectively operate the chamber in one of CVD mode, etch mode, and a combined CVD and etch mode.
2. The reactor of claim 1, wherein the controller operates the heater so as to maintain the substrate at a temperature of 100° C.-500° C.
3. The reactor of claim 1, further comprising a mechanical pump and wherein the controller operates the mechanical pump to maintain the pressure within the reactor to be at 50 mTorr to 10 Torr.
4. The reactor of claim 1, wherein the low frequency RF generator provides RF energy of less than 27 MHz to the electrode.
5. The reactor of claim 4, wherein the high frequency RF generator provides RF energy at 27 MHz or higher to the electrode.
6. The reactor of claim 1, wherein the gas injection apparatus is further coupled to a cleaning gas source for performing in-situ reactor cleaning process.
7. The reator of claim 1, wherein the gas injection apparatus is operable to alternatingly inject deposition gas and etching gas so as to perform alternating CVD and etch steps.
8. The reactor of claim 7, wherein the controller increases the power of the low RF frequency generator during the etch step.
9. The reactor of claim 8, further comprising a mechanical pump and wherein the controller increases the pumping power of the mechanical pump during the etch step.
10. The reactor of claim 7, wherein the controller reduces the temperature of the substrate during the etch step.
11. A capacitively coupled plasma chemical vapor deposition (CVD) reactor operable in deposition mode and in-situ cleaning mode, comprising:
a chamber body;
an anode situated at the ceiling of the chamber body, the anode being coupled to ground;
a gas injection apparatus coupled to a deposition gas source and to a cleaning gas source, for selectively injecting deposition gas and cleaning gas into the chamber in deposition mode and in-situ cleaning mode, respectively;
a susceptor situated within the chamber body;
a heater situated within the susceptor;
an electrode situated within the susceptor;
a high frequency RF generator coupled to the electrode; and,
a low frequency RF generator coupled to the electrode.
12. The reactor of claim 11, the high frequency RF generator operates at frequency of 27 MHz or higher and the low frequency RF generator operates at frequency of 13.56 MHz or lower.
13. The reactor of claim 12, further comprising energy source coupled to the heater to maintain the heater at temperature in the range of 100° C.-500° C.
14. The reactor of claim 13, further comprising a mechanical pump operable to control the pressure within the reactor to be at 50 mTorr to 10 Torr.
US12/498,295 2008-11-26 2009-07-06 Capacitive CVD reactor and methods for plasma CVD process Active 2030-04-24 US8297225B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US13/663,408 US20130048216A1 (en) 2008-11-26 2012-10-29 Capacitive cvd reactor and methods for plasma cvd process

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
CN200810203405XA CN101736326B (en) 2008-11-26 2008-11-26 Capacitively coupled plasma processing reactor
CN200810203405 2008-11-26
CN200810203405.X 2008-11-26

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US13/663,408 Division US20130048216A1 (en) 2008-11-26 2012-10-29 Capacitive cvd reactor and methods for plasma cvd process

Publications (2)

Publication Number Publication Date
US20100126667A1 US20100126667A1 (en) 2010-05-27
US8297225B2 true US8297225B2 (en) 2012-10-30

Family

ID=42195150

Family Applications (2)

Application Number Title Priority Date Filing Date
US12/498,295 Active 2030-04-24 US8297225B2 (en) 2008-11-26 2009-07-06 Capacitive CVD reactor and methods for plasma CVD process
US13/663,408 Abandoned US20130048216A1 (en) 2008-11-26 2012-10-29 Capacitive cvd reactor and methods for plasma cvd process

Family Applications After (1)

Application Number Title Priority Date Filing Date
US13/663,408 Abandoned US20130048216A1 (en) 2008-11-26 2012-10-29 Capacitive cvd reactor and methods for plasma cvd process

Country Status (2)

Country Link
US (2) US8297225B2 (en)
CN (1) CN101736326B (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2014149336A1 (en) * 2013-03-15 2014-09-25 Applied Materials, Inc. Apparatus and methods for pulsed photo-excited deposition and etch
US20170352574A1 (en) * 2016-06-02 2017-12-07 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for treating wafer

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101736326B (en) * 2008-11-26 2011-08-10 中微半导体设备(上海)有限公司 Capacitively coupled plasma processing reactor
WO2011051410A1 (en) * 2009-10-30 2011-05-05 Solvay Fluor Gmbh Method for removing deposits
KR101092172B1 (en) * 2009-12-24 2011-12-13 주식회사 디엠에스 Plasma reactor for changing selectively combination structure of inductive coils according to predetermined etching condition, and etching method using the plasma reactor
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
WO2013092770A1 (en) * 2011-12-22 2013-06-27 Solvay Sa Method for removing deposits performed with varying parameters
CN103327723A (en) * 2012-03-23 2013-09-25 中微半导体设备(上海)有限公司 Capacity coupling plasma reactor and control method thereof
US9349595B2 (en) * 2012-07-11 2016-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of manufacturing semiconductor devices
CN102751160B (en) * 2012-07-13 2016-02-10 中微半导体设备(上海)有限公司 The lithographic method of etching device and correspondence
JP6538300B2 (en) 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated Method for depositing a film on a sensitive substrate
CN104616956B (en) * 2013-11-05 2017-02-08 北京北方微电子基地设备工艺研究中心有限责任公司 Plasma etching apparatus and plasma etching method
CN106876303B (en) * 2014-09-01 2019-09-13 上海华力微电子有限公司 A kind of lithographic method
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
CN104962880B (en) * 2015-07-31 2017-12-01 合肥京东方光电科技有限公司 A kind of vapor deposition apparatus
CN106128996A (en) * 2016-06-24 2016-11-16 武汉新芯集成电路制造有限公司 A kind of forming method of seamless polysilicon plug
US9773643B1 (en) * 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
CN110767545A (en) * 2019-10-17 2020-02-07 上海华力集成电路制造有限公司 Silicon wafer etching method
CN111554590B (en) * 2020-04-16 2021-04-13 上海陛通半导体能源科技股份有限公司 Semiconductor hole filling vacuum system and hole filling method
TW202143799A (en) * 2020-05-11 2021-11-16 洪再和 Semiconductor procedure equipment with external plasma source and external plasma source thereof
CN113502461A (en) * 2021-07-29 2021-10-15 合肥科晶材料技术有限公司 System and method for preparing thin film material used by combining ALD (atomic layer deposition) and CVD (chemical vapor deposition)

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5200232A (en) 1990-12-11 1993-04-06 Lam Research Corporation Reaction chamber design and method to minimize particle generation in chemical vapor deposition reactors
US5882424A (en) 1997-01-21 1999-03-16 Applied Materials, Inc. Plasma cleaning of a CVD or etch reactor using a low or mixed frequency excitation field
US6071573A (en) 1997-12-30 2000-06-06 Lam Research Corporation Process for precoating plasma CVD reactors
US6207590B1 (en) * 1999-11-19 2001-03-27 Wafertech, Inc. Method for deposition of high stress silicon dioxide using silane based dual frequency PECVD process
US6465366B1 (en) 2000-09-12 2002-10-15 Applied Materials, Inc. Dual frequency plasma enhanced chemical vapor deposition of silicon carbide layers
US6974523B2 (en) 2001-05-16 2005-12-13 Lam Research Corporation Hollow anode plasma reactor and method
US20070084563A1 (en) * 2005-10-18 2007-04-19 Applied Materials, Inc. Independent control of ion density, ion energy distribution and ion dissociation in a plasma reactor
US20080011424A1 (en) * 2005-08-05 2008-01-17 Advanced Micro-Fabrication Equipment, Inc. Asia Multi-station decoupled reactive ion etch chamber
US20080251207A1 (en) * 2007-04-13 2008-10-16 Advanced Micro-Fabrication Equipment, Inc. Asia, Multiple frequency plasma chamber, switchable rf system, and processes using same
US20090236043A1 (en) * 2008-03-21 2009-09-24 Tokyo Electron Limited Plasma processing apparatus
US20100126667A1 (en) * 2008-11-26 2010-05-27 Advanced Micro-Fabrication Equipment, Inc. Asia Capacitive cvd reactor and methods for plasma cvd process

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7500445B2 (en) * 2003-01-27 2009-03-10 Applied Materials, Inc. Method and apparatus for cleaning a CVD chamber
JP4472372B2 (en) * 2003-02-03 2010-06-02 株式会社オクテック Plasma processing apparatus and electrode plate for plasma processing apparatus
US7976673B2 (en) * 2003-05-06 2011-07-12 Lam Research Corporation RF pulsing of a narrow gap capacitively coupled reactor
JP2006165093A (en) * 2004-12-03 2006-06-22 Tokyo Electron Ltd Plasma processing device
CN100539000C (en) * 2004-12-03 2009-09-09 东京毅力科创株式会社 Capacitive coupling plasma processing apparatus
JP4704088B2 (en) * 2005-03-31 2011-06-15 東京エレクトロン株式会社 Plasma processing equipment
US7264688B1 (en) * 2006-04-24 2007-09-04 Applied Materials, Inc. Plasma reactor apparatus with independent capacitive and toroidal plasma sources
US20080178805A1 (en) * 2006-12-05 2008-07-31 Applied Materials, Inc. Mid-chamber gas distribution plate, tuned plasma flow control grid and electrode
CN101245446A (en) * 2007-02-14 2008-08-20 北京行者多媒体科技有限公司 Method for improving homogeneity of large area film coating
CN100577866C (en) * 2007-02-27 2010-01-06 中微半导体设备(上海)有限公司 Gas sprayer assembly applied in plasma reaction chamber, manufacture method and renewing reutilization method thereof

Patent Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5200232A (en) 1990-12-11 1993-04-06 Lam Research Corporation Reaction chamber design and method to minimize particle generation in chemical vapor deposition reactors
US5882424A (en) 1997-01-21 1999-03-16 Applied Materials, Inc. Plasma cleaning of a CVD or etch reactor using a low or mixed frequency excitation field
US6071573A (en) 1997-12-30 2000-06-06 Lam Research Corporation Process for precoating plasma CVD reactors
US6207590B1 (en) * 1999-11-19 2001-03-27 Wafertech, Inc. Method for deposition of high stress silicon dioxide using silane based dual frequency PECVD process
US6465366B1 (en) 2000-09-12 2002-10-15 Applied Materials, Inc. Dual frequency plasma enhanced chemical vapor deposition of silicon carbide layers
US6974523B2 (en) 2001-05-16 2005-12-13 Lam Research Corporation Hollow anode plasma reactor and method
US20080011424A1 (en) * 2005-08-05 2008-01-17 Advanced Micro-Fabrication Equipment, Inc. Asia Multi-station decoupled reactive ion etch chamber
US20070084563A1 (en) * 2005-10-18 2007-04-19 Applied Materials, Inc. Independent control of ion density, ion energy distribution and ion dissociation in a plasma reactor
US7695983B2 (en) * 2005-10-18 2010-04-13 Applied Materials, Inc. Independent control of ion density, ion energy distribution and ion dissociation in a plasma reactor
US20080251207A1 (en) * 2007-04-13 2008-10-16 Advanced Micro-Fabrication Equipment, Inc. Asia, Multiple frequency plasma chamber, switchable rf system, and processes using same
US20090236043A1 (en) * 2008-03-21 2009-09-24 Tokyo Electron Limited Plasma processing apparatus
US20100126667A1 (en) * 2008-11-26 2010-05-27 Advanced Micro-Fabrication Equipment, Inc. Asia Capacitive cvd reactor and methods for plasma cvd process

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
K. Schade, et al., "Very high frequency plasma CVD of silicon oxide," Surface & Coatings Technology, vol. 200, Issue 1-4, pp. 364-367, 2005, Elsevier B.V.
P. Kucher, et al., "Advanced metallization technology for 256M DRAM," Applied Surface Science, vol. 91, Issue 1-4, pp. 359-366, 1995, Elsevier Science B.V.

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2014149336A1 (en) * 2013-03-15 2014-09-25 Applied Materials, Inc. Apparatus and methods for pulsed photo-excited deposition and etch
US9123527B2 (en) 2013-03-15 2015-09-01 Applied Materials, Inc. Apparatus and methods for pulsed photo-excited deposition and etch
CN105103271A (en) * 2013-03-15 2015-11-25 应用材料公司 Apparatus and methods for pulsed photo-excited deposition and etch
CN105103271B (en) * 2013-03-15 2018-05-22 应用材料公司 For pulsed light excitation deposition and the apparatus and method of etching
US10508341B2 (en) 2013-03-15 2019-12-17 Applied Materials, Inc. Apparatus and methods for pulsed photo-excited deposition and etch
US20170352574A1 (en) * 2016-06-02 2017-12-07 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for treating wafer

Also Published As

Publication number Publication date
US20100126667A1 (en) 2010-05-27
CN101736326A (en) 2010-06-16
CN101736326B (en) 2011-08-10
US20130048216A1 (en) 2013-02-28

Similar Documents

Publication Publication Date Title
US8297225B2 (en) Capacitive CVD reactor and methods for plasma CVD process
US5882424A (en) Plasma cleaning of a CVD or etch reactor using a low or mixed frequency excitation field
US11257685B2 (en) Apparatus and process for electron beam mediated plasma etch and deposition processes
US6184158B1 (en) Inductively coupled plasma CVD
JP5211332B2 (en) Plasma CVD apparatus, DLC film and thin film manufacturing method
US20120009356A1 (en) Contamination reducing liner for inductively coupled chamber
WO2014030558A1 (en) Method for forming silicon nitride film, method for manufacturing organic electronic device, and apparatus for forming silicon nitride film
JP2013175730A (en) Improved chamber cleaning method and apparatus
KR101881470B1 (en) Silicon nitride film deposition method, organic electronic device manufacturing method, and silicon nitride film deposition device
EP2080817B1 (en) Method and apparatus for chamber cleaning by in-situ plasma excitation
KR20230078743A (en) How to Use Dual Frequency RF Power in a Process Chamber
KR20180124773A (en) Plasma processing apparatus cleaning method
KR101869949B1 (en) Deposition method for multilayer and substrate process apparatus
JP2006319042A (en) Plasma cleaning method and method for forming film
CN116568862A (en) Method for aging a processing chamber
US6633132B2 (en) Plasma gereration apparatus and method
KR20200108780A (en) Method of manufacturing semiconductor device
KR20170044777A (en) Method for forming silicon oxide film using plasmas
JP4958658B2 (en) Plasma processing method
TW202312238A (en) Methods, apparatus, and systems for maintaining film modulus within a predetermined modulus range
JP4180333B2 (en) Plasma CVD apparatus and plasma CVD method
KR20100078334A (en) Plasma treatment apparatus and method for forming anti reflective coating
KR20200001631A (en) Selective in-situ cleaning of high-K films from the processing chamber using a reactive gas precursor

Legal Events

Date Code Title Description
AS Assignment

Owner name: ADVANCED MICRO-FABRICATION EQUIPMENT, INC. ASIA, C

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:YIN, GERALD;CHEN, JINYUAN;NI, TUQIANG;SIGNING DATES FROM 20090619 TO 20090620;REEL/FRAME:022919/0030

STCF Information on status: patent grant

Free format text: PATENTED CASE

AS Assignment

Owner name: ADVANCED MICRO-FABRICATION EQUIPMENT, INC. CHINA,

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:ADVANCED MICRO-FABRICATION EQUIPMENT, INC. ASIA;REEL/FRAME:037578/0026

Effective date: 20150814

FPAY Fee payment

Year of fee payment: 4

AS Assignment

Owner name: ADVANCED MICRO-FABRICATION EQUIPMENT INC. CHINA, CHINA

Free format text: CORRECTION OF NAME;ASSIGNOR:ADVANCED MICRO-FABRICATION EQUIPMENT, INC. CHINA AND ADVANCED MICRO-FABRICATION EQUIPMENT INC, CHINA OR ANY OTHER VARIATIONS;REEL/FRAME:051343/0271

Effective date: 20190418

Owner name: ADVANCED MICRO-FABRICATION EQUIPMENT INC. CHINA, C

Free format text: CORRECTION OF NAME;ASSIGNOR:ADVANCED MICRO-FABRICATION EQUIPMENT, INC. CHINA AND ADVANCED MICRO-FABRICATION EQUIPMENT INC, CHINA OR ANY OTHER VARIATIONS;REEL/FRAME:051343/0271

Effective date: 20190418

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 8TH YR, SMALL ENTITY (ORIGINAL EVENT CODE: M2552); ENTITY STATUS OF PATENT OWNER: SMALL ENTITY

Year of fee payment: 8

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 12TH YR, SMALL ENTITY (ORIGINAL EVENT CODE: M2553); ENTITY STATUS OF PATENT OWNER: SMALL ENTITY

Year of fee payment: 12