US20170084483A1 - Method of Forming Metal Interconnection - Google Patents

Method of Forming Metal Interconnection Download PDF

Info

Publication number
US20170084483A1
US20170084483A1 US14/858,010 US201514858010A US2017084483A1 US 20170084483 A1 US20170084483 A1 US 20170084483A1 US 201514858010 A US201514858010 A US 201514858010A US 2017084483 A1 US2017084483 A1 US 2017084483A1
Authority
US
United States
Prior art keywords
trench
barrier layer
forming
conductive feature
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US14/858,010
Other versions
US9613856B1 (en
Inventor
Shin-Yi Yang
Ming-Han Lee
Shau-Lin Shue
Tz-Jun Kuo
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority to US14/858,010 priority Critical patent/US9613856B1/en
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Assigned to TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. reassignment TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: KUO, TZ-JUN, LEE, MING-HAN, SHUE, SHAU-LIN, YANG, SHIN-YI
Priority to TW104139352A priority patent/TWI575604B/en
Priority to CN201510860211.7A priority patent/CN106548974B/en
Priority to US15/458,078 priority patent/US10163786B2/en
Publication of US20170084483A1 publication Critical patent/US20170084483A1/en
Publication of US9613856B1 publication Critical patent/US9613856B1/en
Application granted granted Critical
Priority to US16/230,534 priority patent/US10714424B2/en
Priority to US16/927,328 priority patent/US11715689B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76844Bottomless liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76864Thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76865Selective removal of parts of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53214Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being aluminium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53233Copper alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53242Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a noble metal, e.g. gold
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53271Conductive materials containing semiconductor material, e.g. polysilicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/1052Formation of thin functional dielectric layers
    • H01L2221/1057Formation of thin functional dielectric layers in via holes or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • H01L29/42376Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out characterised by the length or the sectional shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Definitions

  • This scaling down process generally provides benefits by increasing production efficiency and lowering associated costs. Such scaling down has also increased the complexity of IC processing and manufacturing. For these advances to be realized, similar developments in IC processing and manufacturing are needed.
  • One area is the wiring, or interconnects, between the transistors and other devices.
  • existing methods of fabricating IC devices have been generally adequate for their intended purposes, they have not been entirely satisfactory in all respects. For example, challenges rise to develop robust process for forming metal interconnection with low via resistance.
  • FIG. 1 is a flowchart of an example method for fabricating a semiconductor device constructed in accordance with some embodiments.
  • FIG. 2 is a cross-sectional view of an exemplary initial structure of a semiconductor device in accordance with some embodiments.
  • FIGS. 3, 4, 5A, 5B, 6, 7, 8, 9, 10A, and 10B are cross-sectional views of an exemplary semiconductor device in accordance with some embodiments.
  • first and second features are formed in direct contact
  • additional features may be formed between the first and second features, such that the first and second features may not be in direct contact
  • present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.
  • spatially relative terms such as “beneath,” “below,” “lower,” “above,” “upper” and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures.
  • the spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures.
  • the apparatus may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein may likewise be interpreted accordingly.
  • FIG. 1 is a flowchart of a method 100 of fabricating one or more semiconductor devices in accordance with some embodiments. The method 100 is discussed in detail below, with reference to an initial structure 205 of a semiconductor device 200 showed in FIG. 2 and the semiconductor device 200 shown in FIGS. 3, 4, 5A, 5B, 6, 7, 8, 9, 10A , and 10 B.
  • the method 100 starts at step 102 by providing the initial structure 205 .
  • the initial structure 205 includes a substrate 210 , which may include silicon. Alternatively or additionally, the substrate 210 may include other elementary semiconductor such as germanium.
  • the substrate 210 may also include a compound semiconductor such as silicon carbide, gallium arsenic, indium arsenide, and indium phosphide.
  • the substrate 210 may include an alloy semiconductor such as silicon germanium, silicon germanium carbide, gallium arsenic phosphide, and gallium indium phosphide.
  • the substrate 210 includes an epitaxial layer.
  • the substrate may have an epitaxial layer overlying a bulk semiconductor.
  • the substrate 210 may include a semiconductor-on-insulator (SOI) structure.
  • the substrate 210 may include a buried oxide (BOX) layer formed by a process such as separation by implanted oxygen (SIMOX) or other suitable technique, such as wafer bonding and grinding.
  • BOX buried oxide
  • SIMOX separation by implanted oxygen
  • the substrate 210 also includes various p-type doped regions and/or n-type doped regions, implemented by a process such as ion implantation and/or diffusion. Those doped regions include n-well, p-well, light doped region (LDD), heavily doped source and drain (S/D), and various channel doping profiles configured to form various integrated circuit (IC) devices, such as a complimentary metal-oxide-semiconductor field-effect transistor (CMOSFET), imaging sensor, and/or light emitting diode (LED).
  • CMOSFET complimentary metal-oxide-semiconductor field-effect transistor
  • the substrate 210 may further include other functional features such as a resistor or a capacitor formed in and on the substrate.
  • the substrate 210 may further include lateral isolation features provided to separate various devices formed in the substrate 210 . In one embodiment, shallow trench isolation (STI) features are used for lateral isolation.
  • the various IC devices may further include other features, such as silicide disposed on S/
  • the initial structure 205 may also include a plurality of dielectric layers and conductive features integrated to form an interconnect structure configured to couple the various p-type and n-type doped regions and the other functional features (such as gate electrodes), resulting a functional integrated circuit.
  • the initial structure 205 may include a portion of the interconnect structure and is collectively referred to as the substrate 210 .
  • the interconnect structure is further described later.
  • the substrate 210 includes an interconnect structure.
  • the interconnect structure includes a multi-layer interconnect (MLI) structure and an inter-level dielectric (ILD) integrated with the MLI structure, providing an electrical routing to couple various devices in the substrate 210 to the input/output power and signals.
  • the interconnect structure includes various metal lines, contacts and via features (or via plugs). The metal lines provide horizontal electrical routing. The contacts provide vertical connection between silicon substrate and metal lines while via features provide vertical connection between metal lines in different metal layers.
  • Exemplary conductive features 214 are shown in FIG. 2 for illustration.
  • the conductive features 214 include a portion of the interconnect structure.
  • the conductive feature 214 includes a contact, a metal via, and/or a metal line.
  • the conductive feature 214 may include aluminum (Al), copper (Cu), and/or tungsten (W).
  • the conductive feature 214 includes an electrode of a capacitor, a resistor or a portion of a resistor.
  • the conductive features 214 include a doped region (such as a source or a drain), or a gate electrode.
  • the conductive features 214 are silicide features disposed on respective source, drain or gate electrode.
  • the conductive features 214 may be further surrounded by a barrier layer 216 to prevent diffusion and/or provide material adhesion.
  • the barrier layer 216 may include titanium nitride (TiN), tantalum nitride (TaN), tungsten nitride (WN), titanium silicon nitride (TiSiN) and/or tantalum silicon nitride (TaSiN).
  • the conductive features 214 and the barrier layer 216 may be formed by a procedure including lithography, etching and deposition.
  • An example lithography process may include coating, exposure, post exposure baking, and developing processes.
  • the etch process may include a wet etch, a dry etch, and/or a combination thereof.
  • the deposition technique may include physical vapor deposition (PVD), chemical vapor deposition (CVD), metal-organic chemical vapor deposition (MOCVD) and atomic layer deposition (ALD), and/or other suitable technique.
  • the initial structure 205 also includes a dielectric layer 220 deposited over the substrate 210 , including over the conductive features 214 .
  • the dielectric layer 220 may include silicon oxide, silicon nitride, a dielectric material layer having a dielectric constant (k) lower than thermal silicon oxide (therefore referred to as low-k dielectric material layer), and/or other suitable dielectric material layer.
  • the dielectric layer 220 may include a single layer or multiple layers.
  • the dielectric layer 220 may be deposited by CVD, atomic layer deposition (ALD) or spin-on coating.
  • the method of 100 proceeds to step 104 by removing a portion of the dielectric layer 220 to form trenches 310 in the dielectric layer 220 .
  • Trenches 310 are placeholders for conductive lines to be formed therein.
  • Trenches 310 may be formed by a first lithography and etch processes.
  • the first lithography process may include forming a photoresist (or resist) layer over the dielectric layer 220 , exposing the resist to a pattern, performing post-exposure bake processes, and developing the resist to form a masking element including the resist.
  • the masking element is then used for etching trenches into the dielectric layer 220 .
  • the etching process may include dry etching, wet etching, and/or other suitable processes.
  • the method 100 proceeds to step 106 by performing a second lithography process to define via trenches 410 over trenches 310 .
  • a second lithography process using three layers of material (tri-layer lithography).
  • the three layers are a first material layer referred to as bottom layer (BL) 311 , a second material layer referred to as a middle layer (ML) 312 , and a third material layer referred to as resist 313 .
  • the BL layer 311 protects the dielectric layer 220 in a subsequent etch process.
  • the BL layer 112 includes an organic polymer free of silicon.
  • the ML 312 may include a silicon-containing layer designed to provide etch selectivity from the BL layer 311 .
  • the ML 312 is also designed to function as a bottom anti-reflective coating that reduces reflection during a lithography exposure process, thereby increasing the imaging contrast and enhancing the imaging resolution.
  • the BL 311 fills trenches 310
  • the ML 312 is formed over the BL 311
  • the resist 313 is formed over the ML 312 .
  • Resist 313 is patterned by a photolithography process to provide via trenches 410 therein. As shown, via trenches 410 are aligned with the respective conductive features 214 .
  • the method 100 proceeds to step 108 by extending via trenches 410 through various underlying layers.
  • the ML 312 , the BL 311 and the dielectric layer 220 are etched through via trenches 410 to expose a portion of the conductive feature 214 .
  • trenches 310 have a first width w 1 which is wider than a second width w 2 of via trenches 410 .
  • the etch process may include a wet etch, a dry etch, and/or a combination thereof.
  • the etch process includes a plasma dry etching process using a fluorine-based chemistry, such as CF 4 , SF 6 , CH 2 F 2 , CHF 3 , and/or C 2 F 6 .
  • a fluorine-based chemistry such as CF 4 , SF 6 , CH 2 F 2 , CHF 3 , and/or C 2 F 6 .
  • the respective etch process may be tuned with various etching parameters, such as etchant used, etching temperature, etching solution concentration, etching pressure, etchant flow rate, and/or other suitable parameters.
  • the remaining portions of resist 313 , ML 312 and BL 311 are removed by another etch process, such as a wet stripping and/or plasma ashing. As shown in FIG. 5B , after removing the remaining portions of resist 313 , ML 312 and BL 311 , trenches 310 are revealed and in communication (or connected) with via trenches 410 . A portion of the conductive feature 214 is exposed in the respective via trenches 410 .
  • trench 301 and via trench 410 may be generally referred to as stepped trench (or deep trench) 411 .
  • the deep trench 411 has a upper portion with the first width w 1 and a lower portion with the second width w 2 .
  • the method 100 proceeds to step 110 by depositing a first barrier layer 510 in trenches 411 (i.e. the combination of via trenches 410 and trenches 310 ), as well as on the top of the dielectric layer 220 .
  • the first barrier layer 510 may include manganese (Mn), manganese nitride (MnN), titanium (Ti), tantalum (Ta), cobalt (Co), cobalt tungsten (CoW), molybdenum (Mo), and/or other suitable conductive material.
  • the first barrier layer 510 may be deposited by ALD, PVD, CVD, MOCVD, and/or other suitable technique. In some embodiment, the first barrier 510 is deposited by ALD to achieve good step coverage with a quit thin thickness.
  • the first barrier layer 510 includes MnN layer deposited by ALD.
  • the first barrier layer 510 is conformably deposited along and physical contacts with first sidewalls 315 of trenches 310 , second sidewalls 415 of via trenches 410 and a bottom 416 of via trenches 410 defined by the conductive features 214 . Therefore, a first portion of the first barrier layer 510 extending along first sidewalls 315 and second sidewalls 415 physical contacts with the dielectric layer 220 while a second portion of the first barrier layer 510 extending along the bottom 416 physical contacts with the conductive feature 214 .
  • the first portion is designated with the reference numeral 510 D while the second portion is designated with the reference numeral 510 M.
  • the method 100 proceeds to step 112 by performing a thermal treatment to transform (or convert) the first portion 510 D and the second portion 510 M into different barrier layers.
  • the first portion 510 D reacts with the dielectric layer 220 to thereby transform into a second barrier layer 610 while the second portion 510 M reacts with the conductive feature 214 to thereby transform into a third barrier layer 620 (or bottom-barrier layer).
  • the second barrier layer 610 is formed of a different material than the third barrier layer 620 .
  • the second portion 510 M remains intact and the third barrier layer 620 is formed of the same material as the first barrier 510 .
  • the second barrier layer 610 has a substantial different etch selectivity comparing with the bottom-barrier layer 620 in a subsequent etch.
  • the first barrier layer 510 and the dielectric layer 220 are chosen such that the second barrier 610 formed with adequate ability to enhance adhesion and prevent inter-diffusion and reactions between the dielectric layer 220 and metal layers to be filled in via trenches 410 and trenches 310 .
  • the first barrier layer 510 includes MnN while the dielectric layer 220 includes silicon oxide.
  • the first portion 510 D converts to MnSi x O y N z while the second portion 510 M has almost no reaction with the conductive feature 214 and thus the bottom-barrier layer 620 remains as the MnN layer 510 M.
  • x represents Si composition in atomic percent
  • y represents oxygen composition in atomic percent
  • z represents nitrogen composition in atomic percent.
  • the second and third barrier layers, 610 and 620 are formed with self-selective-formation nature, which provides process simplicity and relaxes process constrains.
  • the second barrier layer 610 may carry film characteristics of the first barrier layer 510 , such as good step coverage with a thin thickness, which provides a good sidewall protection for a metal layer to be filled in via trenches 410 and avoids formation of overhang.
  • the thermal treatment may comprise a rapid thermal anneal (RTA), a laser anneal, a furnace anneal, and/or a flash lamp anneal.
  • RTA rapid thermal anneal
  • the thermal treatment is performed, with a temperature range from 100° C. to 400° C., by using noble gases such as helium (He), neon (Ne), argon (Ar), krypton (Kr), xenon (Xe) and nitrogen (N 2 ).
  • the thermal treatment is performed in a vacuum environment.
  • the method 100 proceeds to step 114 by removing the third barrier layer 620 .
  • a selective etch is performed such that the etch process etches the third barrier layer 620 without substantially etching the second barrier layer 610 and the conductive feature 214 .
  • a selective etch process provides process simplicity and relaxes process constrains.
  • the selective etch may include a selective wet etch, a selective dry etch, and/or a combination thereof.
  • the third barrier layer 620 is formed of the same material as the first barrier layer 510 , namely MnN, while the second barrier layer 610 is MnSi x O y N z and the first conductive feature is Cu.
  • the MnN third barrier layer 620 is removed by an aqueous wet clean process with a weak acid solution (pH value less than 7).
  • the aqueous wet clean process adds simplicity to the manufacturing process and also minimizes process-induced-damage to the conductive feature 214 .
  • the contact features 214 are exposed within via trenches 410 .
  • the resistance of a bottom barrier layer (e.g. third barrier layer 620 ) deposited on the bottom of via trenches 410 is usually much higher than a resistance of a metal layer deposited in via trenches 410 over such a bottom barrier layer. Therefore, the resistance of a bottom barrier layer dominates a resistance of a conductive interconnection formed by the combination of the bottom barrier layer and the metal layer. This resistance is referred to as via resistance.
  • the method 100 provides a bottom-barrier-free scheme.
  • the method 100 proceeds to step 116 by forming a via metal 710 in via trenches 410 .
  • the via metal 710 physical contacts with the conductive feature 214 .
  • the via metal 710 may include copper or copper alloy, such as copper manganese (CuMn), copper aluminum (CuAl), copper titanium, (CuTi), copper vanadium (CuV), copper chromium (CuCr), copper silicon (CuSi) and/or copper niobium (CuNb).
  • the via metal 710 may be formed by PVD, CVD, MOCVD, electroless deposition (ELD), and/or other suitable technique.
  • the via metal 710 is formed by ELD process, which provides a low process temperature, an intrinsic process selectivity and conformal bottom-up deposition to reduce via trench gap-fill challenge.
  • the via metal 710 is Cu deposited by ELD process.
  • the vial metal 710 has the second barrier 610 as its sidewall barrier and thereby it improves device reliability by limiting electron migration (EM) and time-dependent dielectric breakdown (TDDB) associated with via metal diffusion into the underlying dielectric layer 220 . Also, by having sidewall barrier layer, constrains in choosing candidates for via metal layer 710 is also relaxed.
  • the method 100 proceeds to step 118 by filling in trenches 310 with a metal layer 720 .
  • the metal layer 720 is deposited over and directly contacts with the via metal 710 .
  • the metal layer 720 may include Cu, Co, W, Ru, Ag, Au, CoW, CoF, CoSi, or other suitable metals.
  • the conductive layer 720 may be deposited by PVD, CVD, MOCVD, or plating.
  • the conductive layer 720 includes a Cu layer deposited by PVD.
  • the conductive layer 720 includes a Cu layer deposited by plating.
  • Cu deposition may be implemented by other techniques. A Cu reflow process may be added to enhance Cu filling profile.
  • via metal 710 and the metal layer 720 By forming via metal 710 and the metal layer 720 separately, it provides the benefit of using different deposition processes to better suit the different needs of the via trench 410 and the trench 310 .
  • the scheme is sometimes referred as via pre-fill scheme.
  • an ELD process is used to form the via metal 710 for its adequate conformal deposition in gap-filling while a PVD process is used to fill in the trench 310 , which has a wider gap, for its higher deposition rate and lower process cost comparing with the ELD process.
  • CMP chemical mechanical polishing
  • step 116 and step 118 respectively via metal 710 and metal layer 720 are formed by one deposition process.
  • the semiconductor devices, 200 may include additional features, which may be formed by subsequent processing. For example, various vias/lines and multilayers interconnect features (e.g., metal layers and interlayer dielectrics) are formed over the substrate 210 .
  • a multilayer interconnection includes vertical interconnects, such as conventional vias or contacts, and horizontal interconnects, such as metal lines.
  • the present disclosure provide methods of forming a bottom-barrier-free metal interconnection for achieving low via contact resistance, such that bottom-barrier-free at the bottom of via metal and at the bottom of the metal line, which is formed over and physical contacts the via metal.
  • the method provides sidewall barrier for ELD via metal to improve TDDB and electron migration (EM).
  • EM electron migration
  • the method provides a robust metal interconnection formation process with selective formation and selective etch to relax process constrains and simplify the manufacturing process.
  • a method for fabricating a semiconductor device includes forming a first conductive feature over a substrate, forming a dielectric layer over the first conductive feature, forming a trench in the dielectric layer.
  • the trench has a first width in its lower portion and a second width in its upper portion and the second width is greater than the first width.
  • the first conductive feature is exposed within the trench.
  • the method also includes forming a first barrier layer in the trench. The first barrier has a first portion disposed over the dielectric layer and a second portion disposed over the first conductive feature.
  • the method also includes applying a thermal treatment to convert the first portion of the barrier layer to a second barrier layer, exposing the first conductive feature in the trench while a portion of the second barrier layer is disposed over the dielectric layer and forming a second conductive feature in the trench.
  • a method in yet another embodiment, includes forming a dielectric layer over a first conductive feature disposed on a substrate, forming a trench in the dielectric layer.
  • the trench has a first width in its upper portion and a second width in its lower portion. The first width is greater than the second width.
  • the first conductive feature is exposed within the trench.
  • the method also includes forming a first barrier layer in the trench. A first portion of the first barrier is formed along a sidewall surface of the trench defined by the dielectric layer and a second portion of the first barrier layer is formed along a bottom surface of the trench defined by the first conductive feature.
  • the method also includes converting the first portion of the first barrier layer into a second barrier layer.
  • the second barrier layer is formed of a different material than the first barrier layer.
  • the method also includes exposing the first conductive feature in the trench while a portion of the second barrier layer is disposed over the dielectric layer and forming a second conductive feature in the trench.
  • a semiconductor device in yet another embodiment, includes a first conductive feature disposed over a substrate, a second conductive feature disposed over the first conductive feature.
  • the second conductive feature has an upper portion having a first width and a lower portion having a second width that is different than the first width.
  • the lower portion is in physical contact with a top portion of the first conductive feature.
  • the device also includes a first barrier layer disposed along sidewalls of the second conductive feature and a dielectric layer disposed along the first barrier layer. The dielectric layer is in physical contact with a side of the first barrier layer that faces away from the second conductive feature.

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

A method of fabricating a semiconductor device is disclosed. The method includes forming a first conductive feature over a substrate, forming a dielectric layer over the first conductive feature, forming a trench in the dielectric layer, forming a first barrier layer in the trench, applying a thermal treatment to convert a first portion of the barrier layer to a second barrier layer, exposing the first conductive feature in the trench while a portion of the second barrier layer is disposed over the dielectric layer and forming a second conductive feature in the trench.

Description

    BACKGROUND
  • The semiconductor integrated circuit (IC) industry has experienced rapid growth. Technological advances in IC design and material have produced generations of ICs where each generation has smaller and more complex circuits than previous generations. In the course of IC evolution, functional density (i.e., the number of interconnected devices per chip area) has generally increased while geometry size (i.e., the smallest component (or line) that can be created using a fabrication process) has decreased.
  • This scaling down process generally provides benefits by increasing production efficiency and lowering associated costs. Such scaling down has also increased the complexity of IC processing and manufacturing. For these advances to be realized, similar developments in IC processing and manufacturing are needed. One area is the wiring, or interconnects, between the transistors and other devices. Although existing methods of fabricating IC devices have been generally adequate for their intended purposes, they have not been entirely satisfactory in all respects. For example, challenges rise to develop robust process for forming metal interconnection with low via resistance.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • Aspects of the present disclosure are best understood from the following detailed description when read in association with the accompanying figures. It is noted that, in accordance with the standard practice in the industry, various features in drawings are not drawn to scale. In fact, the dimensions of illustrated features may be arbitrarily increased or decreased for clarity of discussion.
  • FIG. 1 is a flowchart of an example method for fabricating a semiconductor device constructed in accordance with some embodiments.
  • FIG. 2 is a cross-sectional view of an exemplary initial structure of a semiconductor device in accordance with some embodiments.
  • FIGS. 3, 4, 5A, 5B, 6, 7, 8, 9, 10A, and 10B are cross-sectional views of an exemplary semiconductor device in accordance with some embodiments.
  • DETAILED DESCRIPTION
  • The following disclosure provides many different embodiments, or examples, for implementing different features of the invention. Specific examples of components and arrangements are described below to simplify the present disclosure. These are, of course, merely examples and are not intended to be limiting. For example, the formation of a first feature over or on a second feature in the description that follows may include embodiments in which the first and second features are formed in direct contact, and may also include embodiments in which additional features may be formed between the first and second features, such that the first and second features may not be in direct contact. In addition, the present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.
  • Further, spatially relative terms, such as “beneath,” “below,” “lower,” “above,” “upper” and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures. The spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. The apparatus may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein may likewise be interpreted accordingly.
  • FIG. 1 is a flowchart of a method 100 of fabricating one or more semiconductor devices in accordance with some embodiments. The method 100 is discussed in detail below, with reference to an initial structure 205 of a semiconductor device 200 showed in FIG. 2 and the semiconductor device 200 shown in FIGS. 3, 4, 5A, 5B, 6, 7, 8, 9, 10A, and 10B.
  • Referring to FIGS. 1 and 2, the method 100 starts at step 102 by providing the initial structure 205. The initial structure 205 includes a substrate 210, which may include silicon. Alternatively or additionally, the substrate 210 may include other elementary semiconductor such as germanium. The substrate 210 may also include a compound semiconductor such as silicon carbide, gallium arsenic, indium arsenide, and indium phosphide. The substrate 210 may include an alloy semiconductor such as silicon germanium, silicon germanium carbide, gallium arsenic phosphide, and gallium indium phosphide. In one embodiment, the substrate 210 includes an epitaxial layer. For example, the substrate may have an epitaxial layer overlying a bulk semiconductor. Furthermore, the substrate 210 may include a semiconductor-on-insulator (SOI) structure. For example, the substrate 210 may include a buried oxide (BOX) layer formed by a process such as separation by implanted oxygen (SIMOX) or other suitable technique, such as wafer bonding and grinding.
  • The substrate 210 also includes various p-type doped regions and/or n-type doped regions, implemented by a process such as ion implantation and/or diffusion. Those doped regions include n-well, p-well, light doped region (LDD), heavily doped source and drain (S/D), and various channel doping profiles configured to form various integrated circuit (IC) devices, such as a complimentary metal-oxide-semiconductor field-effect transistor (CMOSFET), imaging sensor, and/or light emitting diode (LED). The substrate 210 may further include other functional features such as a resistor or a capacitor formed in and on the substrate. The substrate 210 may further include lateral isolation features provided to separate various devices formed in the substrate 210. In one embodiment, shallow trench isolation (STI) features are used for lateral isolation. The various IC devices may further include other features, such as silicide disposed on S/D and gate stacks overlying channels.
  • The initial structure 205 may also include a plurality of dielectric layers and conductive features integrated to form an interconnect structure configured to couple the various p-type and n-type doped regions and the other functional features (such as gate electrodes), resulting a functional integrated circuit. In one example, the initial structure 205 may include a portion of the interconnect structure and is collectively referred to as the substrate 210. The interconnect structure is further described later.
  • As noted above, the substrate 210 includes an interconnect structure. The interconnect structure includes a multi-layer interconnect (MLI) structure and an inter-level dielectric (ILD) integrated with the MLI structure, providing an electrical routing to couple various devices in the substrate 210 to the input/output power and signals. The interconnect structure includes various metal lines, contacts and via features (or via plugs). The metal lines provide horizontal electrical routing. The contacts provide vertical connection between silicon substrate and metal lines while via features provide vertical connection between metal lines in different metal layers.
  • Exemplary conductive features 214 are shown in FIG. 2 for illustration. In one embodiment, the conductive features 214 include a portion of the interconnect structure. For example, the conductive feature 214 includes a contact, a metal via, and/or a metal line. The conductive feature 214 may include aluminum (Al), copper (Cu), and/or tungsten (W). In another embodiment, the conductive feature 214 includes an electrode of a capacitor, a resistor or a portion of a resistor. Alternatively, the conductive features 214 include a doped region (such as a source or a drain), or a gate electrode. In another example, the conductive features 214 are silicide features disposed on respective source, drain or gate electrode.
  • In some embodiments, the conductive features 214 may be further surrounded by a barrier layer 216 to prevent diffusion and/or provide material adhesion. The barrier layer 216 may include titanium nitride (TiN), tantalum nitride (TaN), tungsten nitride (WN), titanium silicon nitride (TiSiN) and/or tantalum silicon nitride (TaSiN). The conductive features 214 and the barrier layer 216 may be formed by a procedure including lithography, etching and deposition. An example lithography process may include coating, exposure, post exposure baking, and developing processes. The etch process may include a wet etch, a dry etch, and/or a combination thereof. The deposition technique may include physical vapor deposition (PVD), chemical vapor deposition (CVD), metal-organic chemical vapor deposition (MOCVD) and atomic layer deposition (ALD), and/or other suitable technique.
  • The initial structure 205 also includes a dielectric layer 220 deposited over the substrate 210, including over the conductive features 214. The dielectric layer 220 may include silicon oxide, silicon nitride, a dielectric material layer having a dielectric constant (k) lower than thermal silicon oxide (therefore referred to as low-k dielectric material layer), and/or other suitable dielectric material layer. The dielectric layer 220 may include a single layer or multiple layers. The dielectric layer 220 may be deposited by CVD, atomic layer deposition (ALD) or spin-on coating.
  • Referring to FIGS. 1 and 3, once the initial structure 205 is received, the method of 100 proceeds to step 104 by removing a portion of the dielectric layer 220 to form trenches 310 in the dielectric layer 220. Trenches 310 are placeholders for conductive lines to be formed therein. Trenches 310 may be formed by a first lithography and etch processes. The first lithography process may include forming a photoresist (or resist) layer over the dielectric layer 220, exposing the resist to a pattern, performing post-exposure bake processes, and developing the resist to form a masking element including the resist. The masking element is then used for etching trenches into the dielectric layer 220. The etching process may include dry etching, wet etching, and/or other suitable processes.
  • Referring to FIGS. 1 and 4, the method 100 proceeds to step 106 by performing a second lithography process to define via trenches 410 over trenches 310. As shown, illustrated therein is an exemplary lithography process using three layers of material (tri-layer lithography). The three layers are a first material layer referred to as bottom layer (BL) 311, a second material layer referred to as a middle layer (ML) 312, and a third material layer referred to as resist 313. The BL layer 311 protects the dielectric layer 220 in a subsequent etch process. In some embodiments, the BL layer 112 includes an organic polymer free of silicon. The ML 312 may include a silicon-containing layer designed to provide etch selectivity from the BL layer 311. In some embodiments, the ML 312 is also designed to function as a bottom anti-reflective coating that reduces reflection during a lithography exposure process, thereby increasing the imaging contrast and enhancing the imaging resolution. The BL 311 fills trenches 310, the ML 312 is formed over the BL 311, and the resist 313 is formed over the ML 312. Resist 313 is patterned by a photolithography process to provide via trenches 410 therein. As shown, via trenches 410 are aligned with the respective conductive features 214.
  • Referring to FIGS. 1 and 5A, the method 100 proceeds to step 108 by extending via trenches 410 through various underlying layers. As shown, the ML 312, the BL 311 and the dielectric layer 220 are etched through via trenches 410 to expose a portion of the conductive feature 214. In the present embodiment, trenches 310 have a first width w1 which is wider than a second width w2 of via trenches 410. The etch process may include a wet etch, a dry etch, and/or a combination thereof. As an example, the etch process includes a plasma dry etching process using a fluorine-based chemistry, such as CF4, SF6, CH2F2, CHF3, and/or C2F6. The respective etch process may be tuned with various etching parameters, such as etchant used, etching temperature, etching solution concentration, etching pressure, etchant flow rate, and/or other suitable parameters.
  • After forming via trenches 410, the remaining portions of resist 313, ML 312 and BL 311 are removed by another etch process, such as a wet stripping and/or plasma ashing. As shown in FIG. 5B, after removing the remaining portions of resist 313, ML 312 and BL 311, trenches 310 are revealed and in communication (or connected) with via trenches 410. A portion of the conductive feature 214 is exposed in the respective via trenches 410.
  • The combination of trench 301 and via trench 410 may be generally referred to as stepped trench (or deep trench) 411. Thus, the deep trench 411 has a upper portion with the first width w1 and a lower portion with the second width w2.
  • Referring to FIGS. 1 and 6, the method 100 proceeds to step 110 by depositing a first barrier layer 510 in trenches 411 (i.e. the combination of via trenches 410 and trenches 310), as well as on the top of the dielectric layer 220. The first barrier layer 510 may include manganese (Mn), manganese nitride (MnN), titanium (Ti), tantalum (Ta), cobalt (Co), cobalt tungsten (CoW), molybdenum (Mo), and/or other suitable conductive material. The first barrier layer 510 may be deposited by ALD, PVD, CVD, MOCVD, and/or other suitable technique. In some embodiment, the first barrier 510 is deposited by ALD to achieve good step coverage with a quit thin thickness. As an example, the first barrier layer 510 includes MnN layer deposited by ALD.
  • In the present embodiment, the first barrier layer 510 is conformably deposited along and physical contacts with first sidewalls 315 of trenches 310, second sidewalls 415 of via trenches 410 and a bottom 416 of via trenches 410 defined by the conductive features 214. Therefore, a first portion of the first barrier layer 510 extending along first sidewalls 315 and second sidewalls 415 physical contacts with the dielectric layer 220 while a second portion of the first barrier layer 510 extending along the bottom 416 physical contacts with the conductive feature 214. For the sake of clarity and simplicity, the first portion is designated with the reference numeral 510D while the second portion is designated with the reference numeral 510M.
  • Referring to FIGS. 1 and 7, the method 100 proceeds to step 112 by performing a thermal treatment to transform (or convert) the first portion 510D and the second portion 510M into different barrier layers. In some embodiments, during the thermal treatment, the first portion 510D reacts with the dielectric layer 220 to thereby transform into a second barrier layer 610 while the second portion 510M reacts with the conductive feature 214 to thereby transform into a third barrier layer 620 (or bottom-barrier layer). In such an embodiment, the second barrier layer 610 is formed of a different material than the third barrier layer 620.
  • Alternatively, in some embodiments, during the performance of the thermal treatment to transform (or convert) the first portion 510D into the second barrier 610, the second portion 510M remains intact and the third barrier layer 620 is formed of the same material as the first barrier 510.
  • In the present embodiment, the second barrier layer 610 has a substantial different etch selectivity comparing with the bottom-barrier layer 620 in a subsequent etch. The first barrier layer 510 and the dielectric layer 220 are chosen such that the second barrier 610 formed with adequate ability to enhance adhesion and prevent inter-diffusion and reactions between the dielectric layer 220 and metal layers to be filled in via trenches 410 and trenches 310. In an example, the first barrier layer 510 includes MnN while the dielectric layer 220 includes silicon oxide. After the thermal treatment, the first portion 510D converts to MnSixOyNz while the second portion 510M has almost no reaction with the conductive feature 214 and thus the bottom-barrier layer 620 remains as the MnN layer 510M. Here, x represents Si composition in atomic percent, y represents oxygen composition in atomic percent and z represents nitrogen composition in atomic percent.
  • With the thermal treatment, the second and third barrier layers, 610 and 620, are formed with self-selective-formation nature, which provides process simplicity and relaxes process constrains. Especially, converting by the thermal treatment, the second barrier layer 610 may carry film characteristics of the first barrier layer 510, such as good step coverage with a thin thickness, which provides a good sidewall protection for a metal layer to be filled in via trenches 410 and avoids formation of overhang.
  • The thermal treatment may comprise a rapid thermal anneal (RTA), a laser anneal, a furnace anneal, and/or a flash lamp anneal. As an example, the thermal treatment is performed, with a temperature range from 100° C. to 400° C., by using noble gases such as helium (He), neon (Ne), argon (Ar), krypton (Kr), xenon (Xe) and nitrogen (N2). As another example, the thermal treatment is performed in a vacuum environment.
  • Referring to FIGS. 1 and 8, the method 100 proceeds to step 114 by removing the third barrier layer 620. In the present embodiment, a selective etch is performed such that the etch process etches the third barrier layer 620 without substantially etching the second barrier layer 610 and the conductive feature 214. A selective etch process provides process simplicity and relaxes process constrains. The selective etch may include a selective wet etch, a selective dry etch, and/or a combination thereof. As discussed above, in some embodiments, the third barrier layer 620 is formed of the same material as the first barrier layer 510, namely MnN, while the second barrier layer 610 is MnSixOyNz and the first conductive feature is Cu. In such an embodiment, without substantially etching the MnSixOyNz barrier layer 610, the MnN third barrier layer 620 is removed by an aqueous wet clean process with a weak acid solution (pH value less than 7). The aqueous wet clean process adds simplicity to the manufacturing process and also minimizes process-induced-damage to the conductive feature 214.
  • In the present embodiment, after removing the third barrier layer 620, the contact features 214 are exposed within via trenches 410. The resistance of a bottom barrier layer (e.g. third barrier layer 620) deposited on the bottom of via trenches 410 is usually much higher than a resistance of a metal layer deposited in via trenches 410 over such a bottom barrier layer. Therefore, the resistance of a bottom barrier layer dominates a resistance of a conductive interconnection formed by the combination of the bottom barrier layer and the metal layer. This resistance is referred to as via resistance. In the present embodiment, the method 100 provides a bottom-barrier-free scheme.
  • Referring to FIGS. 1 and 9, the method 100 proceeds to step 116 by forming a via metal 710 in via trenches 410. The via metal 710 physical contacts with the conductive feature 214. The via metal 710 may include copper or copper alloy, such as copper manganese (CuMn), copper aluminum (CuAl), copper titanium, (CuTi), copper vanadium (CuV), copper chromium (CuCr), copper silicon (CuSi) and/or copper niobium (CuNb). The via metal 710 may be formed by PVD, CVD, MOCVD, electroless deposition (ELD), and/or other suitable technique. In the present embodiment, the via metal 710 is formed by ELD process, which provides a low process temperature, an intrinsic process selectivity and conformal bottom-up deposition to reduce via trench gap-fill challenge. In one embodiment, the via metal 710 is Cu deposited by ELD process. As shown, the vial metal 710 has the second barrier 610 as its sidewall barrier and thereby it improves device reliability by limiting electron migration (EM) and time-dependent dielectric breakdown (TDDB) associated with via metal diffusion into the underlying dielectric layer 220. Also, by having sidewall barrier layer, constrains in choosing candidates for via metal layer 710 is also relaxed.
  • Referring to FIGS. 1 and 10A, the method 100 proceeds to step 118 by filling in trenches 310 with a metal layer 720. In the present embodiment, the metal layer 720 is deposited over and directly contacts with the via metal 710. In the present embodiment, without a barrier layer on an interface of the via metal 710 and the metal layer 720, a resistance contributed by the via metal 710 and the metal layer 720 together is reduced. The metal layer 720 may include Cu, Co, W, Ru, Ag, Au, CoW, CoF, CoSi, or other suitable metals. The conductive layer 720 may be deposited by PVD, CVD, MOCVD, or plating. In one embodiment, the conductive layer 720 includes a Cu layer deposited by PVD. In one embodiment, the conductive layer 720 includes a Cu layer deposited by plating. In various other examples, Cu deposition may be implemented by other techniques. A Cu reflow process may be added to enhance Cu filling profile.
  • By forming via metal 710 and the metal layer 720 separately, it provides the benefit of using different deposition processes to better suit the different needs of the via trench 410 and the trench 310. The scheme is sometimes referred as via pre-fill scheme. For example, an ELD process is used to form the via metal 710 for its adequate conformal deposition in gap-filling while a PVD process is used to fill in the trench 310, which has a wider gap, for its higher deposition rate and lower process cost comparing with the ELD process.
  • Additionally, a chemical mechanical polishing (CMP) process is performed to planarize the top surface of the device 200 to remove excessive metal layer 720 and the second barrier layer 610 over the dielectric layer 220, as shown in FIG. 10B. The second barrier layer 610 and metal layer 720 in trenches 310 remain, forming the conductive lines 725. As a result of the CMP process, the top surface of the dielectric layer 220 and the top surface of the conductive lines 725 are substantially coplanar.
  • Additional steps can be provided before, during, and after the method 100, and some of the steps described can be replaced, eliminated, or moved around for additional embodiments of the method 100. For example, instead of forming via metal 710 and depositing metal layer 720 separately, in step 116 and step 118 respectively, via metal 710 and metal layer 720 are formed by one deposition process.
  • The semiconductor devices, 200, may include additional features, which may be formed by subsequent processing. For example, various vias/lines and multilayers interconnect features (e.g., metal layers and interlayer dielectrics) are formed over the substrate 210. For example, a multilayer interconnection includes vertical interconnects, such as conventional vias or contacts, and horizontal interconnects, such as metal lines.
  • Based on the above, it can be seen that the present disclosure provide methods of forming a bottom-barrier-free metal interconnection for achieving low via contact resistance, such that bottom-barrier-free at the bottom of via metal and at the bottom of the metal line, which is formed over and physical contacts the via metal. The method provides sidewall barrier for ELD via metal to improve TDDB and electron migration (EM). The method provides a robust metal interconnection formation process with selective formation and selective etch to relax process constrains and simplify the manufacturing process.
  • The present disclosure provides many different embodiments of fabricating a semiconductor device that provide one or more improvements over existing approaches. In one embodiment, a method for fabricating a semiconductor device includes forming a first conductive feature over a substrate, forming a dielectric layer over the first conductive feature, forming a trench in the dielectric layer. The trench has a first width in its lower portion and a second width in its upper portion and the second width is greater than the first width. The first conductive feature is exposed within the trench. The method also includes forming a first barrier layer in the trench. The first barrier has a first portion disposed over the dielectric layer and a second portion disposed over the first conductive feature. The method also includes applying a thermal treatment to convert the first portion of the barrier layer to a second barrier layer, exposing the first conductive feature in the trench while a portion of the second barrier layer is disposed over the dielectric layer and forming a second conductive feature in the trench.
  • In yet another embodiment, a method includes forming a dielectric layer over a first conductive feature disposed on a substrate, forming a trench in the dielectric layer. The trench has a first width in its upper portion and a second width in its lower portion. The first width is greater than the second width. The first conductive feature is exposed within the trench. The method also includes forming a first barrier layer in the trench. A first portion of the first barrier is formed along a sidewall surface of the trench defined by the dielectric layer and a second portion of the first barrier layer is formed along a bottom surface of the trench defined by the first conductive feature. The method also includes converting the first portion of the first barrier layer into a second barrier layer. The second barrier layer is formed of a different material than the first barrier layer. The method also includes exposing the first conductive feature in the trench while a portion of the second barrier layer is disposed over the dielectric layer and forming a second conductive feature in the trench.
  • In yet another embodiment, a semiconductor device includes a first conductive feature disposed over a substrate, a second conductive feature disposed over the first conductive feature. The second conductive feature has an upper portion having a first width and a lower portion having a second width that is different than the first width. The lower portion is in physical contact with a top portion of the first conductive feature. The device also includes a first barrier layer disposed along sidewalls of the second conductive feature and a dielectric layer disposed along the first barrier layer. The dielectric layer is in physical contact with a side of the first barrier layer that faces away from the second conductive feature.
  • The foregoing outlines features of several embodiments so that those skilled in the art may better understand the aspects of the present disclosure. Those skilled in the art should appreciate that they may readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments introduced herein. Those skilled in the art should also realize that such equivalent constructions do not depart from the spirit and scope of the present disclosure, and that they may make various changes, substitutions, and alterations herein without departing from the spirit and scope of the present disclosure.

Claims (22)

1. A method comprising:
forming a first conductive feature over a substrate;
forming a dielectric layer over the first conductive feature;
forming a trench in the dielectric layer, wherein the trench has a first width in its lower portion and a second width in its upper portion, wherein the second width is greater than the first width, wherein the first conductive feature is exposed within the trench;
forming a first barrier layer in the trench, wherein the first barrier layer has a first portion disposed over the dielectric layer and a second portion disposed over the first conductive feature;
applying a thermal treatment to convert the first portion of the first barrier layer to a second barrier layer;
after the thermal treatment, exposing the first conductive feature in the trench by removing the second portion of the first barrier layer while keeping a portion of the second barrier layer disposed over the dielectric layer; and
forming a second conductive feature in the trench after the exposing of the first conductive feature.
2. The method of claim 1, wherein the forming of the trench in the dielectric layer includes forming the upper portion of the trench by a first lithography process and an etching process.
3. The method of claim 2, wherein the forming of the trench in the dielectric layer further includes forming the lower portion of the trench by a second lithography process after forming the upper portion of the trench.
4. The method of claim 1, wherein the forming of the second conductive feature in the trench includes depositing a first copper layer by electroless deposition (ELD) in the lower portion of the trench, wherein the first copper layer physically contacts the first conductive feature.
5. The method of claim 4, wherein the forming of the second conductive feature in the trench further includes depositing a second copper layer by a non-ELD process in the upper portion of the trench.
6. The method of claim 1, wherein the forming of the first barrier layer in the trench includes forming a manganese nitride (MnN) layer in the trench.
7. The method of claim 6, wherein applying the thermal treatment to convert the first portion of the first barrier layer to the second barrier layer includes converting the MnN layer to a MnSixOyNz layer, where, x represents Si composition in atomic percent, y represents oxygen composition in atomic percent and z represents nitrogen composition in atomic percent.
8. The method of claim 1, wherein the exposing of the first conductive feature in the trench includes removing the second portion of the first barrier layer by performing a wet etch process that includes an acid.
9. The method of claim 1, wherein the forming of the first barrier layer in the trench includes forming the first portion along a sidewall surface of the trench defined by the dielectric layer and the second portion along a bottom surface of the trench defined by the first conductive feature.
10. A method comprising:
forming a dielectric layer over a first conductive feature disposed on a substrate;
forming a trench in the dielectric layer, wherein the trench has a first width in its upper portion and a second width in its lower portion, wherein the first width is greater than the second width, wherein the first conductive feature is exposed within the trench;
forming a first barrier layer in the trench, wherein a first portion of the first barrier layer is formed along a sidewall surface of the trench defined by the dielectric layer and a second portion of the first barrier layer is formed along a bottom surface of the trench defined by the first conductive feature;
converting the first portion of the first barrier layer into a second barrier layer, wherein the second barrier layer is formed of a different material than the first barrier layer;
after the converting of the first portion of the first barrier layer, exposing the first conductive feature in the trench by performing a wet etch process to remove the second portion of the first barrier layer while keeping a portion of the second barrier layer disposed over the dielectric layer; and
forming a second conductive feature in the trench after the exposing of the first conductive feature.
11. The method of claim 10, wherein the forming of the trench in the dielectric layer includes forming the upper portion of the trench by a first lithography process and an etching process, and forming the lower portion of the trench by a second lithography process after forming the upper portion of the trench.
12. (canceled)
13. The method of claim 10, wherein the forming of the second conductive feature in the trench includes depositing a first metal layer by electroless deposition (ELD) in the lower portion of the trench.
14. The method of claim 13, wherein the forming of the second conductive feature in the trench further includes depositing a second metal layer by a non-ELD process in the upper portion of the trench, wherein the second metal layer physically contacts the first metal layer.
15. The method of claim 14, wherein each of the first metal layer and the second metal layer includes copper.
16. The method of claim 10, wherein the first barrier layer includes manganese nitride, and
wherein the second barrier layer includes MnSixOyNz layer, where, x represents Si composition in atomic percent, y represents oxygen composition in atomic percent and z represents nitrogen composition in atomic percent.
17. The method of claim 10, wherein the exposing of the first conductive feature in the trench includes removing the second portion of the first barrier layer by performing a wet etch process that includes an acid.
18-20. (canceled)
21. A method comprising:
forming a dielectric layer over a first conductive feature disposed on a substrate;
forming a trench in the dielectric layer, wherein the trench has a first width in its upper portion and a second width in its lower portion, wherein the first width is greater than the second width, wherein the first conductive feature is exposed within the trench;
forming a first barrier layer in the trench, wherein a first portion of the first barrier layer is formed along a sidewall surface of the trench defined by the dielectric layer and a second portion of the first barrier layer is formed along a bottom surface of the trench defined by the first conductive feature;
applying a thermal treatment to the first barrier layer such that the first portion and the second portion of the first barrier layer comprise different materials;
selectively etching the second portion of the first barrier layer after the applying of the thermal treatment, thereby exposing the first conductive feature in the trench while keeping the first portion of the first barrier layer disposed over the dielectric layer; and
forming a second conductive feature in the trench after the selectively etching of the second portion of the first barrier layer.
22. The method of claim 21, wherein the first portion of the first barrier layer comprises different materials before and after the thermal treatment, and the second portion of the first barrier layer comprises different materials before and after the thermal treatment.
23. The method of claim 21, wherein the first portion of the first barrier layer comprises different materials before and after the thermal treatment, and the second portion of the first barrier layer comprises substantially same material before and after the thermal treatment.
24. The method of claim 21, wherein:
the first portion of the first barrier layer comprises manganese nitride before the thermal treatment and MnSixOyNz after the thermal treatment, where, x represents Si composition in atomic percent, y represents oxygen composition in atomic percent, and z represents nitrogen composition in atomic percent; and
wherein the second portion of the first barrier layer comprises manganese nitride before and after the thermal treatment.
US14/858,010 2015-09-18 2015-09-18 Method of forming metal interconnection Active US9613856B1 (en)

Priority Applications (6)

Application Number Priority Date Filing Date Title
US14/858,010 US9613856B1 (en) 2015-09-18 2015-09-18 Method of forming metal interconnection
TW104139352A TWI575604B (en) 2015-09-18 2015-11-26 Semiconductor devices and methods for manufacturing the same
CN201510860211.7A CN106548974B (en) 2015-09-18 2015-11-30 Semiconductor device and its manufacturing method
US15/458,078 US10163786B2 (en) 2015-09-18 2017-03-14 Method of forming metal interconnection
US16/230,534 US10714424B2 (en) 2015-09-18 2018-12-21 Method of forming metal interconnection
US16/927,328 US11715689B2 (en) 2015-09-18 2020-07-13 Method of forming metal interconnection

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US14/858,010 US9613856B1 (en) 2015-09-18 2015-09-18 Method of forming metal interconnection

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US15/458,078 Division US10163786B2 (en) 2015-09-18 2017-03-14 Method of forming metal interconnection

Publications (2)

Publication Number Publication Date
US20170084483A1 true US20170084483A1 (en) 2017-03-23
US9613856B1 US9613856B1 (en) 2017-04-04

Family

ID=58283114

Family Applications (4)

Application Number Title Priority Date Filing Date
US14/858,010 Active US9613856B1 (en) 2015-09-18 2015-09-18 Method of forming metal interconnection
US15/458,078 Active US10163786B2 (en) 2015-09-18 2017-03-14 Method of forming metal interconnection
US16/230,534 Active US10714424B2 (en) 2015-09-18 2018-12-21 Method of forming metal interconnection
US16/927,328 Active 2035-12-09 US11715689B2 (en) 2015-09-18 2020-07-13 Method of forming metal interconnection

Family Applications After (3)

Application Number Title Priority Date Filing Date
US15/458,078 Active US10163786B2 (en) 2015-09-18 2017-03-14 Method of forming metal interconnection
US16/230,534 Active US10714424B2 (en) 2015-09-18 2018-12-21 Method of forming metal interconnection
US16/927,328 Active 2035-12-09 US11715689B2 (en) 2015-09-18 2020-07-13 Method of forming metal interconnection

Country Status (3)

Country Link
US (4) US9613856B1 (en)
CN (1) CN106548974B (en)
TW (1) TWI575604B (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170330797A1 (en) * 2016-05-13 2017-11-16 Lam Research Corporation Manganese barrier and adhesion layers for cobalt
US20180308802A1 (en) * 2015-12-18 2018-10-25 Texas Instruments Incorporated Oxidation resistant barrier metal process for semiconductor devices

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE112018007144T5 (en) 2018-02-22 2020-11-12 Intel Corporation Sidewall interconnect metallization structures for integrated circuit devices
US10475702B2 (en) 2018-03-14 2019-11-12 Taiwan Semiconductor Manufacturing Co., Ltd. Conductive feature formation and structure using bottom-up filling deposition
US10978337B2 (en) 2018-09-18 2021-04-13 Taiwan Semiconductor Manufacturing Co., Ltd. Aluminum-containing layers and methods of forming the same
US11121025B2 (en) 2018-09-27 2021-09-14 Taiwan Semiconductor Manufacturing Company, Ltd. Layer for side wall passivation
US11335596B2 (en) 2018-10-30 2022-05-17 Taiwan Semiconductor Manufacturing Co., Ltd. Selective deposition for integrated circuit interconnect structures
US11380581B2 (en) * 2018-11-09 2022-07-05 Globalfoundries U.S. Inc. Interconnect structures of semiconductor devices having a via structure through an upper conductive line
US11164780B2 (en) 2019-06-07 2021-11-02 Applied Materials, Inc. Process integration approach for selective metal via fill
US11232953B2 (en) 2019-09-17 2022-01-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US11276637B2 (en) 2019-09-17 2022-03-15 Taiwan Semiconductor Manufacturing Co., Ltd. Barrier-free interconnect structure and manufacturing method thereof
US11251118B2 (en) 2019-09-17 2022-02-15 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned via structures with barrier layers
US11036911B2 (en) 2019-09-26 2021-06-15 Taiwan Semiconductor Manufacturing Co., Ltd. Charging prevention method and structure
US11127684B2 (en) 2019-10-18 2021-09-21 Taiwan Semiconductor Manufacturing Co., Ltd. Low-resistance interconnect structures
JP2023504743A (en) * 2019-12-09 2023-02-06 インテグリス・インコーポレーテッド Diffusion barriers made from multiple barrier materials and related articles and methods
US11152257B2 (en) * 2020-01-16 2021-10-19 International Business Machines Corporation Barrier-less prefilled via formation
US11450609B2 (en) 2020-05-29 2022-09-20 Taiwan Semiconductor Manufacturing Co., Ltd. Electro-migration reduction
US11264326B2 (en) 2020-05-29 2022-03-01 Taiwan Semiconductor Manufacturing Co., Ltd. Contact via formation
US11257926B2 (en) 2020-06-08 2022-02-22 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned contact structures
US11742210B2 (en) 2020-06-29 2023-08-29 Taiwan Semiconductor Manufacturing Co., Ltd. Deposition window enlargement
US11817491B2 (en) 2020-07-21 2023-11-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having an air gap along a gate spacer
US11387331B2 (en) 2020-07-22 2022-07-12 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain contact structure
US11652149B2 (en) 2020-08-13 2023-05-16 Taiwan Semiconductor Manufacturing Co., Ltd. Common rail contact
US11798846B2 (en) 2020-08-14 2023-10-24 Taiwan Semiconductor Manufacturing Co., Ltd. Contact plug
US11658215B2 (en) 2021-02-19 2023-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming contact structures

Family Cites Families (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6046108A (en) * 1999-06-25 2000-04-04 Taiwan Semiconductor Manufacturing Company Method for selective growth of Cu3 Ge or Cu5 Si for passivation of damascene copper structures and device manufactured thereby
US6541374B1 (en) * 2000-12-18 2003-04-01 Novellus Systems, Inc. Method of depositing a diffusion barrier for copper interconnection applications
US6919636B1 (en) * 2003-07-31 2005-07-19 Advanced Micro Devices, Inc. Interconnects with a dielectric sealant layer
KR100558009B1 (en) * 2004-01-12 2006-03-06 삼성전자주식회사 Method of fabricating a semiconductor device forming a diffusion barrier layer selectively and a semiconductor device fabricated thereby
JP2006019480A (en) * 2004-07-01 2006-01-19 Nec Electronics Corp Method for manufacturing semiconductor apparatus
US7148140B2 (en) 2004-07-28 2006-12-12 Texas Instruments Incorporated Partial plate anneal plate process for deposition of conductive fill material
US20060024953A1 (en) 2004-07-29 2006-02-02 Papa Rao Satyavolu S Dual damascene diffusion barrier/liner process with selective via-to-trench-bottom recess
JP2007081113A (en) * 2005-09-14 2007-03-29 Sony Corp Method for manufacturing semiconductor device
US20070249156A1 (en) * 2006-04-20 2007-10-25 Griselda Bonilla Method for enabling hard mask free integration of ultra low-k materials and structures produced thereby
JP2008013848A (en) * 2006-06-08 2008-01-24 Tokyo Electron Ltd Film-forming apparatus and film-forming method
EP2064737A1 (en) * 2006-09-04 2009-06-03 Nxp B.V. CuSiN/SiN DIFFUSION BARRIER FOR COPPER IN INTEGRATED-CIRCUIT DEVICES
US9087877B2 (en) * 2006-10-24 2015-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Low-k interconnect structures with reduced RC delay
US7667271B2 (en) 2007-04-27 2010-02-23 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistors
JP5343369B2 (en) * 2008-03-03 2013-11-13 東京エレクトロン株式会社 Semiconductor device manufacturing method, semiconductor manufacturing apparatus, and storage medium
US7910453B2 (en) 2008-07-14 2011-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Storage nitride encapsulation for non-planar sonos NAND flash charge retention
JP4415100B1 (en) * 2008-12-19 2010-02-17 国立大学法人東北大学 Copper wiring, semiconductor device, and copper wiring forming method
JP4441658B1 (en) * 2008-12-19 2010-03-31 国立大学法人東北大学 Copper wiring forming method, copper wiring, and semiconductor device
JP5190415B2 (en) * 2009-06-04 2013-04-24 パナソニック株式会社 Semiconductor device
US8324738B2 (en) * 2009-09-01 2012-12-04 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned protection layer for copper post structure
US7956463B2 (en) 2009-09-16 2011-06-07 International Business Machines Corporation Large grain size conductive structure for narrow interconnect openings
US8310013B2 (en) 2010-02-11 2012-11-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a FinFET device
US8399931B2 (en) 2010-06-30 2013-03-19 Taiwan Semiconductor Manufacturing Company, Ltd. Layout for multiple-fin SRAM cell
US8729627B2 (en) 2010-05-14 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel integrated circuit devices
US9072075B2 (en) 2011-01-19 2015-06-30 Htc Corporation Method of handling emergency bearer service in wireless communication system
US8816444B2 (en) 2011-04-29 2014-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. System and methods for converting planar design to FinFET design
US8716863B2 (en) 2011-07-13 2014-05-06 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for high performance interconnect
US8466027B2 (en) 2011-09-08 2013-06-18 Taiwan Semiconductor Manufacturing Company, Ltd. Silicide formation and associated devices
US8723272B2 (en) 2011-10-04 2014-05-13 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of manufacturing same
US8377779B1 (en) 2012-01-03 2013-02-19 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of manufacturing semiconductor devices and transistors
US8735993B2 (en) 2012-01-31 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET body contact and method of making same
US8785285B2 (en) 2012-03-08 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US8716765B2 (en) 2012-03-23 2014-05-06 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8860148B2 (en) 2012-04-11 2014-10-14 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET integrated with capacitor
US8736056B2 (en) 2012-07-31 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. Device for reducing contact resistance of a metal
US8823065B2 (en) 2012-11-08 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8772109B2 (en) 2012-10-24 2014-07-08 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for forming semiconductor contacts
US9236300B2 (en) 2012-11-30 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs in SRAM cells and the method of forming the same
JP6186780B2 (en) 2013-03-18 2017-08-30 富士通株式会社 Semiconductor device and manufacturing method thereof
CN104538346A (en) * 2014-12-26 2015-04-22 上海集成电路研发中心有限公司 Method for forming copper interconnection structure

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20180308802A1 (en) * 2015-12-18 2018-10-25 Texas Instruments Incorporated Oxidation resistant barrier metal process for semiconductor devices
US10665543B2 (en) * 2015-12-18 2020-05-26 Texas Instruments Incorporated Oxidation resistant barrier metal process for semiconductor devices
US20170330797A1 (en) * 2016-05-13 2017-11-16 Lam Research Corporation Manganese barrier and adhesion layers for cobalt
US10438847B2 (en) * 2016-05-13 2019-10-08 Lam Research Corporation Manganese barrier and adhesion layers for cobalt

Also Published As

Publication number Publication date
CN106548974A (en) 2017-03-29
US11715689B2 (en) 2023-08-01
TWI575604B (en) 2017-03-21
US10163786B2 (en) 2018-12-25
US20170186685A1 (en) 2017-06-29
US20200343177A1 (en) 2020-10-29
CN106548974B (en) 2019-10-18
US9613856B1 (en) 2017-04-04
US10714424B2 (en) 2020-07-14
TW201712753A (en) 2017-04-01
US20190115297A1 (en) 2019-04-18

Similar Documents

Publication Publication Date Title
US11715689B2 (en) Method of forming metal interconnection
US9972529B2 (en) Method of forming metal interconnection
US10109519B2 (en) Method of semiconductor integrated circuit fabrication
US10043754B2 (en) Semiconductor device having air gap structures and method of fabricating thereof
KR101677345B1 (en) Semiconductor structure and method making the same
US9224643B2 (en) Structure and method for tunable interconnect scheme
US9406614B2 (en) Material and process for copper barrier layer
CN107068555B (en) Method for forming groove
US9646932B2 (en) Method for forming interconnect structure that avoids via recess
US9721887B2 (en) Method of forming metal interconnection
US9385029B2 (en) Method for forming recess-free interconnect structure
US20160365275A1 (en) Fin field effect transistor (finfet) device structure with interconnect structure
KR102024971B1 (en) Method for interconnect scheme
US8835304B2 (en) Method of semiconductor integrated circuit fabrication

Legal Events

Date Code Title Description
AS Assignment

Owner name: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.,

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:YANG, SHIN-YI;LEE, MING-HAN;SHUE, SHAU-LIN;AND OTHERS;REEL/FRAME:037021/0680

Effective date: 20150925

STCF Information on status: patent grant

Free format text: PATENTED CASE

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 4TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1551); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 4