US20160365275A1 - Fin field effect transistor (finfet) device structure with interconnect structure - Google Patents

Fin field effect transistor (finfet) device structure with interconnect structure Download PDF

Info

Publication number
US20160365275A1
US20160365275A1 US14/799,258 US201514799258A US2016365275A1 US 20160365275 A1 US20160365275 A1 US 20160365275A1 US 201514799258 A US201514799258 A US 201514799258A US 2016365275 A1 US2016365275 A1 US 2016365275A1
Authority
US
United States
Prior art keywords
layer
metal layer
semiconductor device
device structure
adhesion
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US14/799,258
Other versions
US9536826B1 (en
Inventor
Che-Cheng Chang
Chih-Han Lin
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Assigned to TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD reassignment TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CHANG, CHE-CHENG, LIN, CHIH-HAN
Priority to US14/799,258 priority Critical patent/US9536826B1/en
Priority claimed from US14/813,775 external-priority patent/US10332790B2/en
Priority to US14/813,775 priority patent/US10332790B2/en
Priority to CN201510770373.1A priority patent/CN106252408B/en
Publication of US20160365275A1 publication Critical patent/US20160365275A1/en
Priority to US15/394,620 priority patent/US9911645B2/en
Publication of US9536826B1 publication Critical patent/US9536826B1/en
Application granted granted Critical
Priority to US15/911,617 priority patent/US10134669B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76844Bottomless liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76865Selective removal of parts of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/101Forming openings in dielectrics
    • H01L2221/1015Forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53214Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being aluminium
    • H01L23/53223Additional layers associated with aluminium layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers

Definitions

  • Semiconductor devices are used in a variety of electronic applications, such as personal computers, cell phones, digital cameras, and other electronic equipment. Semiconductor devices are typically fabricated by sequentially depositing insulating or dielectric layers, conductive layers, and semiconductive layers of material over a semiconductor substrate, and patterning the various material layers using lithography to form circuit components and elements thereon. Many integrated circuits are typically manufactured on a single semiconductor wafer, and individual dies on the wafer are singulated by sawing between the integrated circuits along a scribe line. The individual dies are typically packaged separately, in multi-chip modules, for example, or in other types of packaging.
  • the interconnect structure may include one or more conductive lines and via layers.
  • FIG. 1 shows a three-dimensional view of an interconnect structure on a fin field effect transistor (FinFET) device structure, in accordance with some embodiments of the disclosure.
  • FinFET fin field effect transistor
  • FIGS. 2A-2P show cross-sectional representations of various stages of forming a semiconductor device structure with an interconnect structure, in accordance with some embodiments of the disclosure.
  • FIG. 2P ′ shows an enlarged representation of region A of FIG. 2P , in accordance with some embodiments of the disclosure.
  • first and second features are formed in direct contact
  • additional features may be formed between the first and second features, such that the first and second features may not be in direct contact
  • present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.
  • Embodiments for forming a semiconductor structure with an interconnect structure are provided.
  • the interconnect structure includes a number of metallization layers formed in a dielectric layer (such as inter-metal dielectric, IMD).
  • IMD inter-metal dielectric
  • One process for forming interconnect structures is the dual damascene process.
  • FIG. 1 shows a three-dimensional view of an interconnect structure on a fin field effect transistor (FinFET) device structure 100 , in accordance with some embodiments of the disclosure.
  • FinFET fin field effect transistor
  • the FinFET device structure 100 includes a substrate 102 .
  • the substrate 102 may be made of silicon or other semiconductor materials. Alternatively or additionally, the substrate 102 may include other elementary semiconductor materials such as germanium.
  • the substrate 102 is made of a compound semiconductor such as silicon carbide, gallium arsenic, indium arsenide, or indium phosphide.
  • the substrate 102 is made of an alloy semiconductor such as silicon germanium, silicon germanium carbide, gallium arsenic phosphide, or gallium indium phosphide.
  • the substrate 102 includes an epitaxial layer.
  • the substrate 102 has an epitaxial layer overlying a bulk semiconductor.
  • the FinFET device structure 100 also includes one or more fin structures 20 (e.g., Si fins) that extend from the substrate 102 .
  • the fin structure 20 may optionally include germanium (Ge).
  • the fin structure 20 may be formed by using suitable processes such as photolithography and etching processes. In some embodiments, the fin structure 20 is etched from the substrate 102 using dry etch or plasma processes.
  • An isolation structure 22 such as a shallow trench isolation (STI) structure, is formed to surround the fin structure 20 .
  • a lower portion of the fin structure 20 is surrounded by the isolation structure 22 , and an upper portion of the fin structure 20 protrudes from the isolation structure 22 , as shown in FIG. 1 .
  • a portion of the fin structure 20 is embedded in the isolation structure 22 .
  • the isolation structure 22 prevents electrical interference or crosstalk.
  • the FinFET device structure 110 further includes a gate stack structure including a gate dielectric layer 32 and a gate electrode 34 .
  • the gate stack structure is formed over a central portion of the fin structure 20 .
  • the gate stack structure is a dummy gate stack and is replaced later by a metal gate (MG) after high thermal budget processes are performed.
  • MG metal gate
  • spacers 36 are formed on the opposite sidewalls of the gate electrode 34 .
  • the source/drain (S/D) structures 24 are formed adjacent to the gate stack structure.
  • the contact structures 40 are formed over the source/drain (S/D) structures 24 , and a first metal layer 104 is formed over the contact structure 40 .
  • a trench-via structure 50 is formed over the first metal layer 104 .
  • a second metal layer (not shown) will be formed on the trench-via structure 50 .
  • the trench-via structure 50 is disposed between the first metal layer 104 and the second metal layer and is configured to electrically connect to the first metal layer 104 and the second metal layer.
  • FIG. 1 is a simplified view of the interconnect structure including a first metal layer and trench-via structure 50 over the fin field effect transistor (FinFET) device structure 100 .
  • FIGS. 2A-2P show cross-sectional representations of various stages of forming a semiconductor device structure with an interconnect structure 50 a, in accordance with some embodiments of the disclosure.
  • FIGS. 2A-2P show a trench-first process for forming a dual damascene structure.
  • the semiconductor device structure 100 includes a substrate 102 .
  • the substrate 102 includes a first region 11 and a second region 12 .
  • the first region 11 is a dense region
  • the second region 12 is an isolation region.
  • the substrate 102 may be made of silicon or other semiconductor materials.
  • Some device elements (not shown) are formed in the substrate 102 .
  • Device elements include transistors (e.g., metal oxide semiconductor field effect transistors (MOSFET), complementary metal oxide semiconductor (CMOS) transistors, bipolar junction transistors (BJT), high-voltage transistors, high-frequency transistors, p-channel and/or n channel field effect transistors (PFETs/NFETs), etc.), diodes, and/or other applicable elements.
  • MOSFET metal oxide semiconductor field effect transistors
  • CMOS complementary metal oxide semiconductor
  • BJT bipolar junction transistors
  • PFETs/NFETs p-channel and/or n channel field effect transistors
  • device elements are formed in the substrate 102 in a front-end-of-line (FEOL) process.
  • FETOL front-end-of-line
  • the substrate 102 may include various doped regions such as p-type wells or n-type wells). Doped regions may be doped with p-type dopants, such as boron or BF 2 , and/or n-type dopants, such as phosphorus (P) or arsenic (As). The doped regions may be formed directly on the substrate 102 , in a P-well structure, in an N-well structure, or in a dual-well structure.
  • p-type dopants such as boron or BF 2
  • n-type dopants such as phosphorus (P) or arsenic (As).
  • P phosphorus
  • As arsenic
  • the substrate 102 may further include isolation features (not shown), such as shallow trench isolation (STI) features or local oxidation of silicon (LOCOS) features. Isolation features may define and isolate various device elements.
  • isolation features such as shallow trench isolation (STI) features or local oxidation of silicon (LOCOS) features. Isolation features may define and isolate various device elements.
  • STI shallow trench isolation
  • LOC local oxidation of silicon
  • a first dielectric layer 106 (such as inter-metal dielectric, IMD) is formed on the substrate 102 , and a first metal layer 104 a and a second metal layer 104 b are embedded in first dielectric layer 106 .
  • the first metal layer 104 a is in the first region 11 and the second metal layer 104 b is in the second region 12 .
  • the first dielectric layer 106 , first metal layer 104 a, and second metal layer 104 b are formed in a back-end-of-line (BEOL) process.
  • BEOL back-end-of-line
  • the first dielectric layer 106 may be a single layer or multiple layers.
  • the first dielectric layer 106 is made of silicon oxide (SiOx), silicon nitride (SixNy), silicon oxynitride (SiON), dielectric material(s) with low dielectric constant (low-k), or combinations thereof.
  • the first dielectric layer 106 is made of an extreme low-k (ELK) dielectric material with a dielectric constant (k) less than about 2.5.
  • ELK extreme low-k
  • ELK dielectric materials include carbon doped silicon oxide, amorphous fluorinated carbon, parylene, bis-benzocyclobutenes (BCB), polytetrafluoroethylene (PTFE) (Teflon), or silicon oxycarbide polymers (SiOC).
  • ELK dielectric materials include a porous version of an existing dielectric material, such as hydrogen silsesquioxane (HSQ), porous methyl silsesquioxane (MSQ), porous polyarylether (PAE), porous SiLK, or porous silicon oxide (SiO 2 ).
  • the dielectric layer 106 is deposited by a plasma enhanced chemical vapor deposition (PECVD) process or by a spin coating process.
  • PECVD plasma enhanced chemical vapor deposition
  • the first metal layer 104 a and the second metal layer 104 b are independently made of copper (Cu), copper alloy, aluminum (Al), aluminum alloy, tungsten (W), tungsten alloy, titanium (Ti), titanium alloy, tantalum (Ta) or tantalum alloy.
  • the first metal layer 104 is formed by a plating method.
  • An etch stop layer 110 is formed over the first dielectric layer 106 .
  • the etch stop layer 110 may be a single layer or multiple layers.
  • the etch stop layer 110 protects the underlying layers, such as the first dielectric layer 106 , and also provides improved adhesion for layers formed subsequently.
  • the etch stop layer 110 is made of a metal-containing material, such as aluminum-containing material.
  • the aluminum-containing material is aluminum nitride, aluminum oxide or aluminum oxynitride.
  • the aluminum-containing material may increase the speed of the semiconductor device 100 .
  • a second dielectric layer 112 is formed over the etch stop layer 110 .
  • the second dielectric layer 112 may be a single layer or multiple layers.
  • the second dielectric layer 112 is made of silicon oxide (SiOx), silicon nitride (SixNy), silicon oxynitride (SiON), dielectric material(s) with low dielectric constant (low-k), or combinations thereof.
  • the second dielectric layer 112 is made of an extreme low-k (ELK) dielectric material with a dielectric constant (k) less than about 2.5.
  • ELK extreme low-k
  • An antireflection layer 114 and a hard mask layer 116 are sequentially formed over the second dielectric layer 112 .
  • the antireflection layer 114 is made of nitrogen-free material, such as silicon oxycarbide (SiOC).
  • the hard mask layer 116 is made of a metal material, such as titanium nitride (TiN), tantalum nitride (TaN), or tungsten nitride (WN).
  • TiN titanium nitride
  • TaN tantalum nitride
  • WN tungsten nitride
  • a tri-layer photoresist structure 120 is formed on the hard mask layer 116 .
  • the tri-layer photoresist structure 120 includes a bottom layer 124 , a middle layer 126 and a top layer 128 .
  • the bottom layer 124 is a bottom anti-reflective coating (BARC) layer which is used to reduce reflection during the photolithography process.
  • the bottom layer 124 is made of nitrogen-free material, such as silicon rich oxide, or silicon oxycarbide (SiOC).
  • the middle layer 126 is made of silicon-based material, such as silicon nitride, silicon oxynitride or silicon oxide.
  • the top layer 128 may be a positive photoresist layer or a negative photoresist layer.
  • the top layer 128 is made of Poly (methyl methacrylate) (PMMA), Poly (methyl glutarimide) (PMGI), Phenol formaldehyde resin (DNQ/Novolac) or SU-8.
  • PMMA Poly (methyl methacrylate)
  • PMGI Poly (methyl glutarimide)
  • PMGI Poly (methyl glutarimide)
  • DNQ/Novolac Phenol formaldehyde resin
  • SU-8 Poly (methyl methacrylate)
  • the ratio of the thickness of the bottom layer 124 to the thickness of the middle layer 126 is in a range from about 4 to about 8.
  • the top layer 128 is patterned to form a patterned top layer 128 as shown in FIG. 2B , in accordance with some embodiments of the disclosure.
  • the patterned top layer 128 includes a first portion 128 a , a second portion 128 b and a third portion 128 c.
  • the middle layer 126 is patterned by using the patterned top layer 128 as a mask as shown in FIG. 2C , in accordance with some embodiments of the disclosure. As a result, the pattern of the top layer 128 is transferred to the middle layer 126 to form the patterned middle layer 126 .
  • the bottom layer 124 is patterned by using the patterned middle layer 126 as a mask as shown in FIG. 2D , in accordance with some embodiments of the disclosure.
  • the hard mask layer 116 is patterned by using the patterned bottom layer 124 as a mask as shown in FIG. 2E , in accordance with some embodiments of the disclosure.
  • the tri-layer photoresist structure 120 is removed by an etching process. Therefore, the patterned hard mask layer 116 is obtained, and it includes a first portion 116 a , a second portion 116 b and a third portion 116 c.
  • the first width W 1 is formed between the first portion 116 a and the second portion 116 b .
  • the second width W 2 is formed between the second portion 116 b and the third portion 116 c. In some embodiments, the first width W 1 is substantially equal to the second width W 2 .
  • a second photoresist structure 220 is formed over the patterned hard mask layer 116 as shown in FIG. 2F , in accordance with some embodiments of the disclosure.
  • the second photoresist structure 220 includes a bottom layer 224 , a middle layer 226 and a top layer 228 .
  • the top layer 228 of the second photoresist structure 220 is firstly patterned to form a patterned top layer 228 as shown in FIG. 2G , in accordance with some embodiments of the disclosure.
  • the patterned top layer 228 includes a first portion 228 a , a second portion 228 b and a third portion 228 c.
  • a third width W 3 is formed between the first portion 228 a and the second portion 228 b .
  • a fourth width W 4 is formed between the second portion 228 b and the third portion 228 c.
  • the third width W 3 is substantially equal to the fourth width W 4 .
  • the third width W 3 between the first portion 228 a and the second portion 228 b is smaller than the first width W 1 (as shown in FIG. 2E ) between the first portion 116 a and the second portion 116 b of the patterned hard mask layer 116 .
  • the middle layer 226 is pattered by using the patterned top layer 228 as a mask as shown in FIG. 2H , in accordance with some embodiments of the disclosure.
  • the bottom layer 224 and a portion of the antireflection layer 114 is removed as shown in FIG. 2I , in accordance with some embodiments of the disclosure.
  • the portion of antireflection layer 114 is removed by a first etching process 310 to form a first recess 302 a in the first region 11 and a second recess 302 b in the second region 12 .
  • the sidewalls of the recess 302 are vertical to the antireflection layer 114 .
  • the width of the first recess 302 a is substantially equal to the width of the second recess 302 b.
  • the first plasma process 310 includes using a first etch gas including oxygen gas (O 2 ), carbon dioxide (CO 2 ) or another applicable gas.
  • a first etch gas including oxygen gas (O 2 ), carbon dioxide (CO 2 ) or another applicable gas.
  • the first etching process 310 may be fine-tuned with various parameters, such as pressure, power, temperature and/or other suitable parameters.
  • the antireflection layer 114 is etched through and a portion of the second dielectric layer 112 is removed by a second etching process 330 as shown in FIG. 2J , in accordance with some embodiments of the disclosure.
  • the recess 302 is elongated to form a first opening 304 a and a second opening 304 b .
  • the sidewalls of the first opening 304 a and second opening 304 b are vertical to the second dielectric layer 112 .
  • the first opening 304 a and the second opening 304 b both have a substantially vertical profile.
  • the second etching process 330 is performed by using a second etch gas including fluorine-containing gas, nitrogen (N 2 ), oxygen (O 2 ) or combinations thereof.
  • the fluorine-containing gas includes nitrogen hexafluoroethane (C 2 F 6 ), tetrafluoromethane (CF 4 ), trifluoromethane (CHF 3 ), difluoromethane (CH 2 F 2 ), octofluoropropane (C 3 F 8 ), octofluorocyclobutane (C 4 F 8 ), or combinations thereof.
  • the second photoresist structure 220 is removed as shown in FIG. 2K , in accordance with some embodiments of the disclosure. Therefore, the patterned hard mask layer 116 is exposed.
  • the second dielectric layer 112 and the etch stop layer 110 are etched through to expose the first metal layer 104 by a third etching process 350 as shown in FIG. 2L , in accordance with some embodiments of the disclosure.
  • a first via opening 306 a and a first trench opening 308 a are formed and they collectively constitute a first trench-via structure for use as a dual damascene cavity.
  • the first via opening 306 a has a first width D 1 .
  • the first width D 1 is in a range from about 30 nm to about 60 nm.
  • the first trench opening 308 a has a third width D 3 .
  • the third width D 3 is greater than the first width D 1 .
  • the first width D 1 is smaller than 30 nm, the dimensions are too small to fill the conductive material. If the first width D 1 is greater than 60 nm, the pitch between two adjacent via openings may be smaller than the predetermined value.
  • the third etching process 350 is performed by using a third etch gas including fluorine-containing gas, nitrogen (N 2 ), oxygen ( 0 2 ) or combinations thereof.
  • the fluorine-containing gas includes nitrogen hexafluoroethane (C 2 F 6 ), tetrafluoromethane (CF 4 ), trifluoromethane (CHF 3 ), difluoromethane (CH 2 F 2 ), octofluoropropane (C 3 F 8 ), octofluorocyclobutane (C 4 F 8 ), or combinations thereof.
  • the third etch gas used in the third etching process 350 further includes a diluting gas, such as an inert gas like argon (Ar) or helium (He).
  • a diluting gas such as an inert gas like argon (Ar) or helium (He).
  • the diluting gas is used to decrease the loading effect.
  • an adhesion layer 130 is formed on sidewalls and the bottom surfaces of the via openings 306 a , 306 b and trench openings 308 a , 308 b as shown in FIG. 2M , in accordance with some embodiments of the disclosure.
  • the adhesion layer 130 is also formed on the hard mask layer 116 .
  • the adhesion layer 130 is used to provide improved adhesion for layers formed subsequently.
  • the adhesion layer 130 is made of titanium (Ti), titanium nitride (TiN), tantalum (Ta), tantalum nitride (TaN), or aluminum nitride (AlN).
  • etch stop layer 110 is removed to expose the first metal layer 104 a and the second metal layer 104 b by a fourth etching process 370 , as shown in FIG. 2N , in accordance with some embodiments of the disclosure.
  • the fourth etching process 370 is a dry etching process, such as plasma process.
  • the electric field concentrates at corners region of the opening. Therefore, corner region may be damaged.
  • a portion of the adhesion layer 130 is also removed, especially at corner regions. As a result, the adhesion layer 130 becomes a discontinuous layer.
  • the adhesion layer 130 includes a first portion 130 a and a second portion 130 b below the first portion 130 a .
  • the first portion 130 a is adjacent to or lines with the trench openings 308 a , 308 b
  • the second portion 130 b is adjacent to or lines with the via openings 306 a , 306 b.
  • the first portion 130 a of the adhesion layer 130 has an extended bottom surface in a horizontal direction (in parallel to the top surface of the metal layer 104 ).
  • the extended bottom surface is larger than a top surface of the first portion 130 a of the adhesion layer 130 .
  • the second portion 130 b of the adhesion layer 130 has a sloped surface. In some embodiments, the second portion 130 b of the adhesion layer 130 has a top surface which is not parallel to a top surface of the first metal layer 104 a , 104 b.
  • the first metal layers 104 a and the second metal layer 104 b are exposed and some by-products (such as metal-containing material) may form on the first via opening 306 a and the second via opening 306 b .
  • some by-products such as metal-containing material
  • the widths of the via openings 306 a , 306 b may become smaller, and the conductive feature 142 are difficult to fill into the via openings 306 a , 306 b .
  • some metal-containing material may re-sputter on the sidewalls of the via openings 306 a , 306 b .
  • the adhesion between the conductive feature 142 and the second dielectric layer is decreased. Therefore, the adhesion layer 130 is formed before the first metal layers 104 a and the second metal layer 104 b are exposed.
  • a conductive feature 142 is formed in the trench openings 308 a , 308 b , the via opening 306 a , 306 b and on the hard mask layer 116 , as shown in FIG. 20 , in accordance with some embodiments of the disclosure.
  • the conductive feature 142 is electrically connected to the first metal layer 104 .
  • the conductive feature 142 is referred to a second metal layer.
  • the first metal layer 104 embedded in the first dielectric layer 106 and the conductive feature 142 embedded in second dielectric layer 112 construct a portion of the interconnect structure 50 a .
  • the conductive feature 142 is made of copper (Cu), copper alloy, aluminum (Al), aluminum alloys, or combinations thereof.
  • the adhesion between the dielectric layer 112 (especially low-k material) and the conductive feature 142 is poor. If no adhesion layer 130 formed between the dielectric layer 112 and the conductive feature 142 , the conductive feature 142 may be shrunk by a baking process which is performed after FIG. 2P . Therefore, the adhesion layer 130 is configured to increase the adhesion and prevent the shrinkage problem of the conductive feature 142 .
  • FIG. 2P ′ shows an enlarged representation of region A of FIG. 2P , in accordance with some embodiments of the disclosure.
  • the antireflection layer 114 and hard mask layer 116 are removed by a chemical mechanical polishing (CMP) process.
  • CMP chemical mechanical polishing
  • the conductive feature 142 includes an upper portion (also called a trench portion) 142 a , a lower portion 142 c (also called a via portion), and a middle portion (also called an interface portion) 142 b between the upper portion 142 a and the lower portion 142 c. No obvious interfaces exist between the upper portion 142 a and the middle portion 142 b , and between the middle portion 142 b (or interface portion) and the lower portion 142 c.
  • the dashed lines shown in FIG. 2P are used to clarify the disclosure.
  • the upper portion 142 a has a constant width W 5
  • the lower portion 142 c has a constant width W 6
  • the middle portion 142 b has a tapered width which is gradually tapered from the upper portion 142 a to the lower portion 142 c.
  • the middle portion 142 b has a pair of curved sidewalls.
  • the width W 5 is larger than the width W 6
  • the tapered width is smaller than the width W 5 and larger than the width W 6 .
  • the adhesion layer 130 is formed between the conductive feature 142 and the second dielectric layer 112 , and portions of the upper portion 142 a and the lower portion 142 c of the conductive feature 142 are not formed on the adhesion layer 130 . But, a portion of the middle portion 142 b of the conductive feature 142 is not formed on the adhesion layer 130 . Instead of being in contact with the adhesion layer 130 , the middle portion 142 b of the conductive feature 142 is in direct contact with the second dielectric layer 112 because the adhesion layer 130 is a discontinuous layer.
  • the adhesion layer 130 includes the first portion 130 a and the second portion 130 b . As shown in FIG. 2P and 2P ′, the first portion 130 a lines with the upper portion 142 a of the conductive feature 142 , and the second portion 130 b lines with the lower portion 142 c of the conductive feature 142 . A portion of the interconnect structure is surrounded by the etch stop layer. More specifically, a portion of the conductive feature 142 is surrounded by the etch stop layer 110 .
  • the first metal layers 104 a , 104 b are exposed and some by-products (such as metal-containing material) may form on the first via opening 306 a and the second via opening 306 b .
  • some by-products such as metal-containing material
  • undesirable by-products are deposited on the sidewalls of the via openings 306 a , 306 b .
  • the widths of the first via opening 306 a and the second opening 306 b become smaller, and the conductive feature 142 are difficult to fill into the first via opening 306 a and the second opening 306 b.
  • some metal-containing material may re-sputter on the sidewalls of the first via opening 306 a and the second via opening 306 b .
  • the adhesion between the conductive feature 142 and the second dielectric layer is decreased.
  • the conductive feature 142 may easily become delaminated while performing a baking process after the removing process shown in FIG. 2P .
  • the adhesion layer 130 is formed as shown in FIG. 2M before the metal layers 104 a , 104 b are exposed.
  • the adhesion layer 130 protects the sidewalls of the first via opening 306 a and the second via opening 306 b from being polluted. Furthermore, the adhesion layer 130 increases the adhesion between the conductive feature 142 and the second dielectric layer 112 .
  • Embodiments for forming a semiconductor device structure and method for formation of the same are provided.
  • the semiconductor device structure includes a FinFET structure formed over a substrate, and an interconnect structure formed over the FinFET structure.
  • the interconnect structure includes a dual damascene structure with a trench-via structure. A trench opening and a via opening are formed in a dielectric layer, and a conductive feature is filled into the trench opening and the via opening to form the trench-via structure.
  • the adhesion layer is formed on the trench-via structure before the first metal layer is exposed.
  • the adhesion layer is a discontinuous layer and has an extending portion.
  • the adhesion layer is configured to improve the adhesion between the dielectric layer and conductive feature. Therefore, the delamination problem of the conductive feature is prevented. Furthermore, the performance of the semiconductor device structure is improved.
  • a semiconductor device structure in some embodiments, includes a first metal layer formed over a substrate and an interconnect structure formed over the first metal layer.
  • the interconnect structure includes an upper portion, a middle portion and a lower portion, the middle portion is connected between the upper portion and the lower portion.
  • the upper portion and the lower portion each have a constant width, and the middle portion has a tapered width which is gradually tapered from the upper portion to the lower portion.
  • a method for forming a semiconductor device structure includes a first metal layer formed over a substrate and a dielectric layer formed over the first metal layer.
  • the method includes an adhesion layer formed in the dielectric layer and over the first metal layer, and the adhesion layer is a discontinuous layer.
  • the method includes a second metal layer formed in the dielectric layer, and the adhesion layer is formed between the second metal layer and the dielectric layer.
  • the second metal layer includes a via portion and a trench portion over the via portion, and the trench portion is wider than the via portion.
  • a method for forming a semiconductor device structure includes forming a first metal layer over a substrate and forming an etch stop layer over the first metal layer.
  • the method includes forming a dielectric layer over the etch stop layer and forming a trench opening and a via opening in the dielectric layer.
  • the method includes a forming an adhesion layer on sidewalls and bottom surfaces of the trench opening and the via opening and removing a portion of the etch stop layer directly above the first metal layer and removing a portion of the adhesion layer to expose a portion of the dielectric layer.
  • the method includes a filling a second metal layer in the via opening and the trench opening, and the second metal layer is electrically connected to the first metal layer.

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Geometry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

A semiconductor device structure is provided. The semiconductor device structure includes a first metal layer formed over a substrate and an interconnect structure formed over the first metal layer. The interconnect structure includes an upper portion, a middle portion and a lower portion, the middle portion is connected between the upper portion and the lower portion. The upper portion and the lower portion each have a constant width, and the middle portion has a tapered width which is gradually tapered from the upper portion to the lower portion.

Description

    CROSS REFERENCE TO RELATED APPLICATIONS
  • This Application claims the benefit of U.S. Provisional Application No. 62/175,849, filed on Jun. 15, 2015, and entitled “Fin field effect transistor (FinFET) device structure with interconnect structure”, the entirety of which is incorporated by reference herein. This application is related to the following co-pending an commonly assigned patent applications: U.S. Ser. No. ______, filed on Month. date, year and entitled “Fin field effect transistor (FinFET) device structure with interconnect structure”, the entirety of which is incorporated by reference herein. (Applicant Docket no. P20150390US01)
  • BACKGROUND
  • Semiconductor devices are used in a variety of electronic applications, such as personal computers, cell phones, digital cameras, and other electronic equipment. Semiconductor devices are typically fabricated by sequentially depositing insulating or dielectric layers, conductive layers, and semiconductive layers of material over a semiconductor substrate, and patterning the various material layers using lithography to form circuit components and elements thereon. Many integrated circuits are typically manufactured on a single semiconductor wafer, and individual dies on the wafer are singulated by sawing between the integrated circuits along a scribe line. The individual dies are typically packaged separately, in multi-chip modules, for example, or in other types of packaging.
  • In the fabrication of semiconductor devices, the size of semiconductor devices has been continuously reduced in order to increase device density. Accordingly, a multi-layered interconnect structure is provided. The interconnect structure may include one or more conductive lines and via layers.
  • Although existing interconnect structures and methods of fabricating interconnect structures have been generally adequate for their intended purposes, they have not been entirely satisfactory in all respects.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • Aspects of the present disclosure are best understood from the following detailed description when read with the accompanying figures. It should be noted that, in accordance with the standard practice in the industry, various features are not drawn to scale. In fact, the dimensions of the various features may be arbitrarily increased or reduced for clarity of discussion.
  • FIG. 1 shows a three-dimensional view of an interconnect structure on a fin field effect transistor (FinFET) device structure, in accordance with some embodiments of the disclosure.
  • FIGS. 2A-2P show cross-sectional representations of various stages of forming a semiconductor device structure with an interconnect structure, in accordance with some embodiments of the disclosure.
  • FIG. 2P′ shows an enlarged representation of region A of FIG. 2P, in accordance with some embodiments of the disclosure.
  • DETAILED DESCRIPTION
  • The following disclosure provides many different embodiments, or examples, for implementing different features of the subject matter provided. Specific examples of components and arrangements are described below to simplify the present disclosure. These are, of course, merely examples and are not intended to be limiting. For example, the formation of a first feature over or on a second feature in the description that follows may include embodiments in which the first and second features are formed in direct contact, and may also include embodiments in which additional features may be formed between the first and second features, such that the first and second features may not be in direct contact. In addition, the present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.
  • Some variations of the embodiments are described. Throughout the various views and illustrative embodiments, like reference numbers are used to designate like elements. It should be understood that additional operations can be provided before, during, and after the method, and some of the operations described can be replaced or eliminated for other embodiments of the method.
  • Embodiments for forming a semiconductor structure with an interconnect structure are provided. The interconnect structure includes a number of metallization layers formed in a dielectric layer (such as inter-metal dielectric, IMD). One process for forming interconnect structures is the dual damascene process.
  • FIG. 1 shows a three-dimensional view of an interconnect structure on a fin field effect transistor (FinFET) device structure 100, in accordance with some embodiments of the disclosure.
  • The FinFET device structure 100 includes a substrate 102. The substrate 102 may be made of silicon or other semiconductor materials. Alternatively or additionally, the substrate 102 may include other elementary semiconductor materials such as germanium. In some embodiments, the substrate 102 is made of a compound semiconductor such as silicon carbide, gallium arsenic, indium arsenide, or indium phosphide. In some embodiments, the substrate 102 is made of an alloy semiconductor such as silicon germanium, silicon germanium carbide, gallium arsenic phosphide, or gallium indium phosphide. In some embodiments, the substrate 102 includes an epitaxial layer. For example, the substrate 102 has an epitaxial layer overlying a bulk semiconductor.
  • The FinFET device structure 100 also includes one or more fin structures 20 (e.g., Si fins) that extend from the substrate 102. The fin structure 20 may optionally include germanium (Ge). The fin structure 20 may be formed by using suitable processes such as photolithography and etching processes. In some embodiments, the fin structure 20 is etched from the substrate 102 using dry etch or plasma processes.
  • An isolation structure 22, such as a shallow trench isolation (STI) structure, is formed to surround the fin structure 20. In some embodiments, a lower portion of the fin structure 20 is surrounded by the isolation structure 22, and an upper portion of the fin structure 20 protrudes from the isolation structure 22, as shown in FIG. 1. In other words, a portion of the fin structure 20 is embedded in the isolation structure 22. The isolation structure 22 prevents electrical interference or crosstalk.
  • The FinFET device structure 110 further includes a gate stack structure including a gate dielectric layer 32 and a gate electrode 34. The gate stack structure is formed over a central portion of the fin structure 20. In some other embodiments, the gate stack structure is a dummy gate stack and is replaced later by a metal gate (MG) after high thermal budget processes are performed.
  • As shown in FIG. 1, spacers 36 are formed on the opposite sidewalls of the gate electrode 34. The source/drain (S/D) structures 24 are formed adjacent to the gate stack structure. The contact structures 40 are formed over the source/drain (S/D) structures 24, and a first metal layer 104 is formed over the contact structure 40. A trench-via structure 50 is formed over the first metal layer 104. A second metal layer (not shown) will be formed on the trench-via structure 50. The trench-via structure 50 is disposed between the first metal layer 104 and the second metal layer and is configured to electrically connect to the first metal layer 104 and the second metal layer.
  • FIG. 1 is a simplified view of the interconnect structure including a first metal layer and trench-via structure 50 over the fin field effect transistor (FinFET) device structure 100. Some features, such as the inter-layer dielectric (ILD) layer and doped regions, are not shown in FIG. 1.
  • FIGS. 2A-2P show cross-sectional representations of various stages of forming a semiconductor device structure with an interconnect structure 50 a, in accordance with some embodiments of the disclosure. FIGS. 2A-2P show a trench-first process for forming a dual damascene structure.
  • As shown in FIG. 2A, the semiconductor device structure 100 includes a substrate 102. The substrate 102 includes a first region 11 and a second region 12. IN some embodiments, the first region 11 is a dense region, and the second region 12 is an isolation region. The substrate 102 may be made of silicon or other semiconductor materials. Some device elements (not shown) are formed in the substrate 102. Device elements include transistors (e.g., metal oxide semiconductor field effect transistors (MOSFET), complementary metal oxide semiconductor (CMOS) transistors, bipolar junction transistors (BJT), high-voltage transistors, high-frequency transistors, p-channel and/or n channel field effect transistors (PFETs/NFETs), etc.), diodes, and/or other applicable elements. Various processes are performed to form device elements, such as deposition, etching, implantation, photolithography, annealing, and/or other applicable processes. In some embodiments, device elements are formed in the substrate 102 in a front-end-of-line (FEOL) process.
  • The substrate 102 may include various doped regions such as p-type wells or n-type wells). Doped regions may be doped with p-type dopants, such as boron or BF2, and/or n-type dopants, such as phosphorus (P) or arsenic (As). The doped regions may be formed directly on the substrate 102, in a P-well structure, in an N-well structure, or in a dual-well structure.
  • The substrate 102 may further include isolation features (not shown), such as shallow trench isolation (STI) features or local oxidation of silicon (LOCOS) features. Isolation features may define and isolate various device elements.
  • As shown in FIG. 2A, a first dielectric layer 106 (such as inter-metal dielectric, IMD) is formed on the substrate 102, and a first metal layer 104 a and a second metal layer 104 b are embedded in first dielectric layer 106. The first metal layer 104 a is in the first region 11 and the second metal layer 104 b is in the second region 12. The first dielectric layer 106, first metal layer 104 a, and second metal layer 104 b are formed in a back-end-of-line (BEOL) process.
  • The first dielectric layer 106 may be a single layer or multiple layers. The first dielectric layer 106 is made of silicon oxide (SiOx), silicon nitride (SixNy), silicon oxynitride (SiON), dielectric material(s) with low dielectric constant (low-k), or combinations thereof. In some embodiments, the first dielectric layer 106 is made of an extreme low-k (ELK) dielectric material with a dielectric constant (k) less than about 2.5. In some embodiments, ELK dielectric materials include carbon doped silicon oxide, amorphous fluorinated carbon, parylene, bis-benzocyclobutenes (BCB), polytetrafluoroethylene (PTFE) (Teflon), or silicon oxycarbide polymers (SiOC). In some embodiments, ELK dielectric materials include a porous version of an existing dielectric material, such as hydrogen silsesquioxane (HSQ), porous methyl silsesquioxane (MSQ), porous polyarylether (PAE), porous SiLK, or porous silicon oxide (SiO2). In some embodiments, the dielectric layer 106 is deposited by a plasma enhanced chemical vapor deposition (PECVD) process or by a spin coating process.
  • In some embodiments, the first metal layer 104 a and the second metal layer 104 b are independently made of copper (Cu), copper alloy, aluminum (Al), aluminum alloy, tungsten (W), tungsten alloy, titanium (Ti), titanium alloy, tantalum (Ta) or tantalum alloy. In some embodiments, the first metal layer 104 is formed by a plating method.
  • An etch stop layer 110 is formed over the first dielectric layer 106. The etch stop layer 110 may be a single layer or multiple layers. The etch stop layer 110 protects the underlying layers, such as the first dielectric layer 106, and also provides improved adhesion for layers formed subsequently.
  • The etch stop layer 110 is made of a metal-containing material, such as aluminum-containing material. In some embodiments, the aluminum-containing material is aluminum nitride, aluminum oxide or aluminum oxynitride. The aluminum-containing material may increase the speed of the semiconductor device 100.
  • A second dielectric layer 112 is formed over the etch stop layer 110. The second dielectric layer 112 may be a single layer or multiple layers. The second dielectric layer 112 is made of silicon oxide (SiOx), silicon nitride (SixNy), silicon oxynitride (SiON), dielectric material(s) with low dielectric constant (low-k), or combinations thereof. In some embodiments, the second dielectric layer 112 is made of an extreme low-k (ELK) dielectric material with a dielectric constant (k) less than about 2.5.
  • An antireflection layer 114 and a hard mask layer 116 are sequentially formed over the second dielectric layer 112. In some embodiments, the antireflection layer 114 is made of nitrogen-free material, such as silicon oxycarbide (SiOC). In some embodiments, the hard mask layer 116 is made of a metal material, such as titanium nitride (TiN), tantalum nitride (TaN), or tungsten nitride (WN). The hard mask layer 116 made of metal material is configured to provide a high etch selectivity relative to the second dielectric layer 112 during the plasma process.
  • A tri-layer photoresist structure 120 is formed on the hard mask layer 116. The tri-layer photoresist structure 120 includes a bottom layer 124, a middle layer 126 and a top layer 128. In some embodiments, the bottom layer 124 is a bottom anti-reflective coating (BARC) layer which is used to reduce reflection during the photolithography process. In some embodiments, the bottom layer 124 is made of nitrogen-free material, such as silicon rich oxide, or silicon oxycarbide (SiOC). In some embodiments, the middle layer 126 is made of silicon-based material, such as silicon nitride, silicon oxynitride or silicon oxide.
  • The top layer 128 may be a positive photoresist layer or a negative photoresist layer. In some embodiments, the top layer 128 is made of Poly (methyl methacrylate) (PMMA), Poly (methyl glutarimide) (PMGI), Phenol formaldehyde resin (DNQ/Novolac) or SU-8. In some embodiments, the ratio of the thickness of the bottom layer 124 to the thickness of the middle layer 126 is in a range from about 4 to about 8.
  • Afterwards, the top layer 128 is patterned to form a patterned top layer 128 as shown in FIG. 2B, in accordance with some embodiments of the disclosure. The patterned top layer 128 includes a first portion 128 a, a second portion 128 b and a third portion 128 c.
  • After the top layer 128 is patterned, the middle layer 126 is patterned by using the patterned top layer 128 as a mask as shown in FIG. 2C, in accordance with some embodiments of the disclosure. As a result, the pattern of the top layer 128 is transferred to the middle layer 126 to form the patterned middle layer 126.
  • After the middle layer 126 is patterned, the bottom layer 124 is patterned by using the patterned middle layer 126 as a mask as shown in FIG. 2D, in accordance with some embodiments of the disclosure.
  • Afterwards, the hard mask layer 116 is patterned by using the patterned bottom layer 124 as a mask as shown in FIG. 2E, in accordance with some embodiments of the disclosure. Afterwards, the tri-layer photoresist structure 120 is removed by an etching process. Therefore, the patterned hard mask layer 116 is obtained, and it includes a first portion 116 a, a second portion 116 b and a third portion 116 c. The first width W1 is formed between the first portion 116 a and the second portion 116 b. The second width W2 is formed between the second portion 116 b and the third portion 116 c. In some embodiments, the first width W1 is substantially equal to the second width W2.
  • After the hard mask layer 116 is patterned, a second photoresist structure 220 is formed over the patterned hard mask layer 116 as shown in FIG. 2F, in accordance with some embodiments of the disclosure. The second photoresist structure 220 includes a bottom layer 224, a middle layer 226 and a top layer 228.
  • The top layer 228 of the second photoresist structure 220 is firstly patterned to form a patterned top layer 228 as shown in FIG. 2G, in accordance with some embodiments of the disclosure. The patterned top layer 228 includes a first portion 228 a, a second portion 228 b and a third portion 228 c. A third width W3 is formed between the first portion 228 a and the second portion 228 b. A fourth width W4 is formed between the second portion 228 b and the third portion 228 c. The third width W3 is substantially equal to the fourth width W4. The third width W3 between the first portion 228 a and the second portion 228 b is smaller than the first width W1 (as shown in FIG. 2E) between the first portion 116 a and the second portion 116 b of the patterned hard mask layer 116.
  • Afterwards, the middle layer 226 is pattered by using the patterned top layer 228 as a mask as shown in FIG. 2H, in accordance with some embodiments of the disclosure.
  • After the middle layer 226 is pattered, the bottom layer 224 and a portion of the antireflection layer 114 is removed as shown in FIG. 2I, in accordance with some embodiments of the disclosure. The portion of antireflection layer 114 is removed by a first etching process 310 to form a first recess 302 a in the first region 11 and a second recess 302 b in the second region 12. The sidewalls of the recess 302 are vertical to the antireflection layer 114. The width of the first recess 302 a is substantially equal to the width of the second recess 302 b.
  • The first plasma process 310 includes using a first etch gas including oxygen gas (O2), carbon dioxide (CO2) or another applicable gas. In addition to gas, the first etching process 310 may be fine-tuned with various parameters, such as pressure, power, temperature and/or other suitable parameters.
  • After forming the first recess 302 a and the second recess 302 b, the antireflection layer 114 is etched through and a portion of the second dielectric layer 112 is removed by a second etching process 330 as shown in FIG. 2J, in accordance with some embodiments of the disclosure.
  • As a result, the recess 302 is elongated to form a first opening 304 a and a second opening 304 b. It should be noted that the sidewalls of the first opening 304 a and second opening 304 b are vertical to the second dielectric layer 112. In other words, the first opening 304 a and the second opening 304 b both have a substantially vertical profile.
  • The second etching process 330 is performed by using a second etch gas including fluorine-containing gas, nitrogen (N2), oxygen (O2) or combinations thereof. The fluorine-containing gas includes nitrogen hexafluoroethane (C2F6), tetrafluoromethane (CF4), trifluoromethane (CHF3), difluoromethane (CH2F2), octofluoropropane (C3F8), octofluorocyclobutane (C4F8), or combinations thereof.
  • Afterwards, the second photoresist structure 220 is removed as shown in FIG. 2K, in accordance with some embodiments of the disclosure. Therefore, the patterned hard mask layer 116 is exposed.
  • After the second photoresist structure 220 is removed, the second dielectric layer 112 and the etch stop layer 110 are etched through to expose the first metal layer 104 by a third etching process 350 as shown in FIG. 2L, in accordance with some embodiments of the disclosure.
  • Therefore, a first via opening 306 a and a first trench opening 308 a are formed and they collectively constitute a first trench-via structure for use as a dual damascene cavity. The first via opening 306 a has a first width D1. In some embodiments, the first width D1 is in a range from about 30 nm to about 60 nm. The first trench opening 308 a has a third width D3. In some embodiments, the third width D3 is greater than the first width D1.
  • If the first width D1 is smaller than 30 nm, the dimensions are too small to fill the conductive material. If the first width D1 is greater than 60 nm, the pitch between two adjacent via openings may be smaller than the predetermined value.
  • The third etching process 350 is performed by using a third etch gas including fluorine-containing gas, nitrogen (N2), oxygen (0 2) or combinations thereof. The fluorine-containing gas includes nitrogen hexafluoroethane (C2F6), tetrafluoromethane (CF4), trifluoromethane (CHF3), difluoromethane (CH2F2), octofluoropropane (C3F8), octofluorocyclobutane (C4F8), or combinations thereof.
  • The third etch gas used in the third etching process 350 further includes a diluting gas, such as an inert gas like argon (Ar) or helium (He). The diluting gas is used to decrease the loading effect.
  • After the third etching process 350, an adhesion layer 130 is formed on sidewalls and the bottom surfaces of the via openings 306 a, 306 b and trench openings 308 a, 308 b as shown in FIG. 2M, in accordance with some embodiments of the disclosure. In addition, the adhesion layer 130 is also formed on the hard mask layer 116.
  • The adhesion layer 130 is used to provide improved adhesion for layers formed subsequently. In some embodiments, the adhesion layer 130 is made of titanium (Ti), titanium nitride (TiN), tantalum (Ta), tantalum nitride (TaN), or aluminum nitride (AlN).
  • After formation of the adhesion layer 130, a portion of the etch stop layer 110 is removed to expose the first metal layer 104 a and the second metal layer 104 b by a fourth etching process 370, as shown in FIG. 2N, in accordance with some embodiments of the disclosure.
  • In some embodiments, the fourth etching process 370 is a dry etching process, such as plasma process. During the fourth etching process 370, the electric field concentrates at corners region of the opening. Therefore, corner region may be damaged. As shown in FIG. 2N, a portion of the adhesion layer 130 is also removed, especially at corner regions. As a result, the adhesion layer 130 becomes a discontinuous layer.
  • The adhesion layer 130 includes a first portion 130 a and a second portion 130 b below the first portion 130 a. The first portion 130 a is adjacent to or lines with the trench openings 308 a, 308 b, and the second portion 130 b is adjacent to or lines with the via openings 306 a, 306 b.
  • In some embodiments, the first portion 130 a of the adhesion layer 130 has an extended bottom surface in a horizontal direction (in parallel to the top surface of the metal layer 104). The extended bottom surface is larger than a top surface of the first portion 130 a of the adhesion layer 130.
  • In some embodiments, the second portion 130 b of the adhesion layer 130 has a sloped surface. In some embodiments, the second portion 130 b of the adhesion layer 130 has a top surface which is not parallel to a top surface of the first metal layer 104 a, 104 b.
  • If there is no adhesion layer on the first via opening 306 a and the second via opening 306 b, during the fourth etching process 370 (as shown in FIG. 2N), the first metal layers 104 a and the second metal layer 104 b are exposed and some by-products (such as metal-containing material) may form on the first via opening 306 a and the second via opening 306 b. When undesirable by-products are deposited on the sidewalls of the first via opening 306 a and the second via opening 306 b, the widths of the via openings 306 a, 306 b may become smaller, and the conductive feature 142 are difficult to fill into the via openings 306 a, 306 b. In addition, some metal-containing material may re-sputter on the sidewalls of the via openings 306 a, 306 b. As a result, the adhesion between the conductive feature 142 and the second dielectric layer is decreased. Therefore, the adhesion layer 130 is formed before the first metal layers 104 a and the second metal layer 104 b are exposed.
  • After the fourth etching process 370, a conductive feature 142 is formed in the trench openings 308 a, 308 b, the via opening 306 a, 306 b and on the hard mask layer 116, as shown in FIG. 20, in accordance with some embodiments of the disclosure.
  • The conductive feature 142 is electrically connected to the first metal layer 104. In some embodiments, the conductive feature 142 is referred to a second metal layer. The first metal layer 104 embedded in the first dielectric layer 106 and the conductive feature 142 embedded in second dielectric layer 112 construct a portion of the interconnect structure 50 a. In some embodiments, the conductive feature 142 is made of copper (Cu), copper alloy, aluminum (Al), aluminum alloys, or combinations thereof.
  • It should be noted that the adhesion between the dielectric layer 112 (especially low-k material) and the conductive feature 142 is poor. If no adhesion layer 130 formed between the dielectric layer 112 and the conductive feature 142, the conductive feature 142 may be shrunk by a baking process which is performed after FIG. 2P. Therefore, the adhesion layer 130 is configured to increase the adhesion and prevent the shrinkage problem of the conductive feature 142.
  • Afterwards, the antireflection layer 114, the hard mask layer 116 and some conductive feature 142 out of the trench openings 308 a, 308 b are removed, as shown in FIG. 2P, in accordance with some embodiments of the disclosure. FIG. 2P′ shows an enlarged representation of region A of FIG. 2P, in accordance with some embodiments of the disclosure. In some embodiments, the antireflection layer 114 and hard mask layer 116 are removed by a chemical mechanical polishing (CMP) process.
  • As shown in FIG. 2P, a portion of the conductive feature 142 is surrounded by the adhesion layer 130, but not all of the conductive feature 142 is surrounded by the adhesion layer 130. The conductive feature 142 includes an upper portion (also called a trench portion) 142 a, a lower portion 142 c (also called a via portion), and a middle portion (also called an interface portion) 142 b between the upper portion 142 a and the lower portion 142 c. No obvious interfaces exist between the upper portion 142 a and the middle portion 142 b, and between the middle portion 142 b (or interface portion) and the lower portion 142 c. The dashed lines shown in FIG. 2P are used to clarify the disclosure.
  • The upper portion 142 a has a constant width W5, and the lower portion 142 c has a constant width W6. However, the middle portion 142 b has a tapered width which is gradually tapered from the upper portion 142 a to the lower portion 142 c. In other words, the middle portion 142 b has a pair of curved sidewalls. The width W5 is larger than the width W6, and the tapered width is smaller than the width W5 and larger than the width W6.
  • It should be noted that the adhesion layer 130 is formed between the conductive feature 142 and the second dielectric layer 112, and portions of the upper portion 142 a and the lower portion 142 c of the conductive feature 142 are not formed on the adhesion layer 130. But, a portion of the middle portion 142 b of the conductive feature 142 is not formed on the adhesion layer 130. Instead of being in contact with the adhesion layer 130, the middle portion 142 b of the conductive feature 142 is in direct contact with the second dielectric layer 112 because the adhesion layer 130 is a discontinuous layer.
  • As mentioned above, the adhesion layer 130 includes the first portion 130 a and the second portion 130 b. As shown in FIG. 2P and 2P′, the first portion 130 a lines with the upper portion 142 a of the conductive feature 142, and the second portion 130 b lines with the lower portion 142 c of the conductive feature 142. A portion of the interconnect structure is surrounded by the etch stop layer. More specifically, a portion of the conductive feature 142 is surrounded by the etch stop layer 110.
  • As mentioned above, during the fourth etching process 370 (as shown in FIG. 2N), if no adhesion layer 130 is formed on the first via opening 306 a and the second via opening 306 b, the first metal layers 104 a, 104 b are exposed and some by-products (such as metal-containing material) may form on the first via opening 306 a and the second via opening 306 b. As a result, undesirable by-products are deposited on the sidewalls of the via openings 306 a, 306 b. The widths of the first via opening 306 a and the second opening 306 b become smaller, and the conductive feature 142 are difficult to fill into the first via opening 306 a and the second opening 306 b.
  • In addition, some metal-containing material may re-sputter on the sidewalls of the first via opening 306 a and the second via opening 306 b. As a result, the adhesion between the conductive feature 142 and the second dielectric layer is decreased. The conductive feature 142 may easily become delaminated while performing a baking process after the removing process shown in FIG. 2P. In order to prevent the delamination problem and increase the adhesion between the conductive feature 142 and the second dielectric layer 112, the adhesion layer 130 is formed as shown in FIG. 2M before the metal layers 104 a, 104 b are exposed. The adhesion layer 130 protects the sidewalls of the first via opening 306 a and the second via opening 306 b from being polluted. Furthermore, the adhesion layer 130 increases the adhesion between the conductive feature 142 and the second dielectric layer 112.
  • Embodiments for forming a semiconductor device structure and method for formation of the same are provided. The semiconductor device structure includes a FinFET structure formed over a substrate, and an interconnect structure formed over the FinFET structure. The interconnect structure includes a dual damascene structure with a trench-via structure. A trench opening and a via opening are formed in a dielectric layer, and a conductive feature is filled into the trench opening and the via opening to form the trench-via structure.
  • An adhesion layer is formed on the trench-via structure before the first metal layer is exposed. The adhesion layer is a discontinuous layer and has an extending portion. The adhesion layer is configured to improve the adhesion between the dielectric layer and conductive feature. Therefore, the delamination problem of the conductive feature is prevented. Furthermore, the performance of the semiconductor device structure is improved.
  • In some embodiments, a semiconductor device structure is provided. The semiconductor device structure includes a first metal layer formed over a substrate and an interconnect structure formed over the first metal layer. The interconnect structure includes an upper portion, a middle portion and a lower portion, the middle portion is connected between the upper portion and the lower portion. The upper portion and the lower portion each have a constant width, and the middle portion has a tapered width which is gradually tapered from the upper portion to the lower portion.
  • In some embodiments, a method for forming a semiconductor device structure is provided. The method includes a first metal layer formed over a substrate and a dielectric layer formed over the first metal layer. The method includes an adhesion layer formed in the dielectric layer and over the first metal layer, and the adhesion layer is a discontinuous layer. The method includes a second metal layer formed in the dielectric layer, and the adhesion layer is formed between the second metal layer and the dielectric layer. The second metal layer includes a via portion and a trench portion over the via portion, and the trench portion is wider than the via portion.
  • In some embodiments, a method for forming a semiconductor device structure is provided. The method includes forming a first metal layer over a substrate and forming an etch stop layer over the first metal layer. The method includes forming a dielectric layer over the etch stop layer and forming a trench opening and a via opening in the dielectric layer. The method includes a forming an adhesion layer on sidewalls and bottom surfaces of the trench opening and the via opening and removing a portion of the etch stop layer directly above the first metal layer and removing a portion of the adhesion layer to expose a portion of the dielectric layer. The method includes a filling a second metal layer in the via opening and the trench opening, and the second metal layer is electrically connected to the first metal layer.
  • The foregoing outlines features of several embodiments so that those skilled in the art may better understand the aspects of the present disclosure. Those skilled in the art should appreciate that they may readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments introduced herein. Those skilled in the art should also realize that such equivalent constructions do not depart from the spirit and scope of the present disclosure, and that they may make various changes, substitutions, and alterations herein without departing from the spirit and scope of the present disclosure.

Claims (21)

1. A semiconductor device structure, comprising:
a first metal layer formed over a substrate; and
an interconnect structure formed over the first metal layer, wherein the interconnect structure comprises an upper portion, a middle portion and a lower portion, the middle portion is connected between the upper portion and the lower portion, the upper portion and the lower portion each have a constant width, and the middle portion has a tapered width which is tapered from the upper portion to the lower portion,
wherein the interconnect structure comprises an adhesion layer and a second metal layer formed on the adhesion layer, the adhesion layer is a discontinuous layer and comprises a first portion and a second portion below the first portion, and the first portion has an extended bottom portion protruding in a horizontal direction from a curved sidewall of the second metal layer in the middle portion of the interconnect structure.
2. The semiconductor device structure as claimed in claim 1, wherein the upper portion of the interconnect structure has a first width, and the lower portion has a third width, the tapered width is smaller than the first width and larger than the third width.
3. The semiconductor device structure as claimed in claim 1, further comprising:
a dielectric layer formed over the first metal layer, wherein the interconnect structure is formed in the dielectric layer.
4. The semiconductor device structure as claimed in claim 3, wherein, wherein the adhesion layer is formed between the second metal layer and the dielectric layer.
5. The semiconductor device structure as claimed in claim 4, wherein a portion of the second metal layer is in direct contact with the dielectric layer.
6. (canceled)
7. The semiconductor device structure as claimed in claim 1, wherein the second portion has a sloped top surface.
8. The semiconductor device structure as claimed in claim 1, wherein the extended bottom portion of the first portion of the adhesion layer has bottom surface which is larger than a top surface of the first portion.
9. The semiconductor device structure as claimed in claim 1, further comprising:
an etch stop layer formed on the first metal layer, wherein the interconnect structure is electrically connected to the first metal layer through the etch stop layer, and a portion of the interconnect structure is surrounded by the etch stop layer.
10. A semiconductor device structure, comprising:
a first metal layer formed over a substrate;
a dielectric layer formed over the first metal layer;
an adhesion layer formed in the dielectric layer and over the first metal layer, wherein the adhesion layer is a discontinuous layer; and
a second metal layer formed in the dielectric layer, wherein the adhesion layer is formed between the second metal layer and the dielectric layer, wherein the second metal layer comprises a via portion, a trench portion over the via portion, and an interface portion between the via portion and the trench portion, and the trench portion is wider than the via portion,
wherein the adhesion layer comprises a first portion and a second portion, the first portion lines with the trench portion, and the second portion lines with the via portion, and the first portion has an extended bottom portion protruding in a horizontal direction from a curved sidewall of the interface portion of the second metal layer.
11. The semiconductor device structure as claimed in claim 10, wherein the adhesion layer comprises a first portion and a second portion, the first portion lines with the trench portion, and the second portion lines with the via portion.
12. The semiconductor device structure as claimed in claim 11, wherein the second portion of the adhesion layer has a top surface which is not parallel to a top surface of the first metal layer.
13. The semiconductor device structure as claimed in claim 10, wherein the extended bottom portion of the first portion of the adhesion layer has a bottom surface which is larger than a top surface of the first portion.
14. The semiconductor device structure as claimed in claim 10, wherein the second metal layer further comprises an interface portion between the via portion and the trench portion, wherein the interface portion has a pair of curved sidewalls.
15. The semiconductor device structure as claimed in claim 14, wherein a portion of the interface portion is in direct contact with the dielectric layer.
16. The semiconductor device structure as claimed in claim 14, wherein the interface portion has a tapered width which is tapered from the via portion to the trench portion.
17. The semiconductor device structure as claimed in claim 10, further comprising:
a fin field effect transistor (FinFET) device formed over the substrate; and
a contact structure formed over the FinFET structure, wherein the contact structure is electrically connected to the first metal layer.
18-20. (canceled)
21. A semiconductor device structure, comprising:
a fin field effect transistor (FinFET) device formed over a substrate;
a contact structure formed over the FinFET structure;
a first metal layer formed over the contact structure, wherein the contact structure is electrically connected to the first metal layer;
a dielectric layer formed over the first metal layer;
an adhesion layer formed in the dielectric layer and over the first metal layer; and
a second metal layer formed in the dielectric layer, wherein the adhesion layer is formed between the second metal layer and the dielectric layer,
wherein the second metal layer comprises a via portion, a middle portion and a trench portion over the via portion, the middle portion is between the via portion and the trench portion, and the middle portion has a pair of curved sidewalls, and
wherein the adhesion layer comprises a first portion and a second portion below the first portion, and the first portion has an extended bottom portion protruding in a horizontal direction from at least one of the pair of curved sidewalls of the middle portion of the second metal layer.
22. The semiconductor device structure as claimed in claim 21, wherein a portion of the middle portion of the second metal layer is in direct contact with the dielectric layer.
23. The semiconductor device structure as claimed in claim 21, wherein the middle portion of the second metal layer has a tapered width from top to down.
US14/799,258 2015-06-15 2015-07-14 Fin field effect transistor (finFET) device structure with interconnect structure Active US9536826B1 (en)

Priority Applications (5)

Application Number Priority Date Filing Date Title
US14/799,258 US9536826B1 (en) 2015-06-15 2015-07-14 Fin field effect transistor (finFET) device structure with interconnect structure
US14/813,775 US10332790B2 (en) 2015-06-15 2015-07-30 Fin field effect transistor (FinFET) device structure with interconnect structure
CN201510770373.1A CN106252408B (en) 2015-06-15 2015-11-12 Fin formula field effect transistor (FINFET) device architecture with interconnection structure
US15/394,620 US9911645B2 (en) 2015-06-15 2016-12-29 Method for forming fin field effect transistor (FinFET) device structure with interconnect structure
US15/911,617 US10134669B2 (en) 2015-06-15 2018-03-05 Method for forming fin field effect transistor (FinFET) device structure with interconnect structure

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201562175849P 2015-06-15 2015-06-15
US14/799,258 US9536826B1 (en) 2015-06-15 2015-07-14 Fin field effect transistor (finFET) device structure with interconnect structure
US14/813,775 US10332790B2 (en) 2015-06-15 2015-07-30 Fin field effect transistor (FinFET) device structure with interconnect structure

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US15/394,620 Division US9911645B2 (en) 2015-06-15 2016-12-29 Method for forming fin field effect transistor (FinFET) device structure with interconnect structure

Publications (2)

Publication Number Publication Date
US20160365275A1 true US20160365275A1 (en) 2016-12-15
US9536826B1 US9536826B1 (en) 2017-01-03

Family

ID=57517146

Family Applications (3)

Application Number Title Priority Date Filing Date
US14/799,258 Active US9536826B1 (en) 2015-06-15 2015-07-14 Fin field effect transistor (finFET) device structure with interconnect structure
US15/394,620 Active US9911645B2 (en) 2015-06-15 2016-12-29 Method for forming fin field effect transistor (FinFET) device structure with interconnect structure
US15/911,617 Active US10134669B2 (en) 2015-06-15 2018-03-05 Method for forming fin field effect transistor (FinFET) device structure with interconnect structure

Family Applications After (2)

Application Number Title Priority Date Filing Date
US15/394,620 Active US9911645B2 (en) 2015-06-15 2016-12-29 Method for forming fin field effect transistor (FinFET) device structure with interconnect structure
US15/911,617 Active US10134669B2 (en) 2015-06-15 2018-03-05 Method for forming fin field effect transistor (FinFET) device structure with interconnect structure

Country Status (2)

Country Link
US (3) US9536826B1 (en)
CN (1) CN106252408B (en)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11121026B2 (en) * 2018-10-31 2021-09-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US20220223528A1 (en) * 2016-09-30 2022-07-14 Taiwan Semiconductor Manufacturing Co., Ltd. Dielectric Film for Semiconductor Fabrication
TWI790232B (en) * 2017-05-25 2023-01-21 美商康寧公司 Articles having vias with geometry attributes and methods for fabricating the same
US11600519B2 (en) * 2019-09-16 2023-03-07 International Business Machines Corporation Skip-via proximity interconnect
US11774233B2 (en) 2016-06-29 2023-10-03 Corning Incorporated Method and system for measuring geometric parameters of through holes
US11972993B2 (en) 2017-05-25 2024-04-30 Corning Incorporated Silica-containing substrates with vias having an axially variable sidewall taper and methods for forming the same

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10535558B2 (en) * 2016-02-09 2020-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming trenches
KR102521222B1 (en) * 2017-11-15 2023-04-12 삼성전자주식회사 Semiconductor device and method for fabricating the same
US10461149B1 (en) * 2018-06-28 2019-10-29 Micron Technology, Inc. Elevationally-elongated conductive structure of integrated circuitry, method of forming an array of capacitors, method of forming DRAM circuitry, and method of forming an elevationally-elongated conductive structure of integrated circuitry
US10475796B1 (en) 2018-06-28 2019-11-12 Micron Technology, Inc. Method of forming an array of capacitors, a method of forming DRAM circuitry, and a method of forming an elevationally-elongated conductive structure of integrated circuitry
US10950497B2 (en) * 2018-11-26 2021-03-16 Taiwan Semiconductor Manufacturing Co., Ltd. Electrical connection for semiconductor devices

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0720227B1 (en) * 1994-12-29 2004-12-01 STMicroelectronics, Inc. Electrical connection structure on an integrated circuit device comprising a plug with an enlarged head
US6211068B1 (en) * 1999-05-25 2001-04-03 United Microelectronics Corp. Dual damascene process for manufacturing interconnects
JP4858895B2 (en) * 2000-07-21 2012-01-18 富士通セミコンダクター株式会社 Manufacturing method of semiconductor device
US6624066B2 (en) * 2001-02-14 2003-09-23 Texas Instruments Incorporated Reliable interconnects with low via/contact resistance
US6793797B2 (en) * 2002-03-26 2004-09-21 Taiwan Semiconductor Manufacturing Co., Ltd Method for integrating an electrodeposition and electro-mechanical polishing process
US6949461B2 (en) 2002-12-11 2005-09-27 International Business Machines Corporation Method for depositing a metal layer on a semiconductor interconnect structure
US20060024953A1 (en) * 2004-07-29 2006-02-02 Papa Rao Satyavolu S Dual damascene diffusion barrier/liner process with selective via-to-trench-bottom recess
JP4064955B2 (en) * 2004-09-30 2008-03-19 株式会社東芝 Semiconductor device and manufacturing method thereof
US7338893B2 (en) * 2005-11-23 2008-03-04 Texas Instruments Incorporated Integration of pore sealing liner into dual-damascene methods and devices
US8264086B2 (en) * 2005-12-05 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Via structure with improved reliability
KR100660915B1 (en) * 2006-02-03 2006-12-26 삼성전자주식회사 Method for fabricating interconnection of semiconductor device having improved interconnection reliability
US7723786B2 (en) * 2007-04-11 2010-05-25 Ronald Kakoschke Apparatus of memory array using FinFETs
US8703605B2 (en) 2007-12-18 2014-04-22 Byung Chun Yang High yield and high throughput method for the manufacture of integrated circuit devices of improved integrity, performance and reliability
US7803704B2 (en) * 2008-08-22 2010-09-28 Chartered Semiconductor Manufacturing, Ltd. Reliable interconnects
DE102008063429B4 (en) * 2008-12-31 2015-03-26 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Setting the configuration of a multi-gate transistor by controlling individual lands
DE102008063430B4 (en) * 2008-12-31 2016-11-24 Advanced Micro Devices, Inc. Method for producing a metallization system of a semiconductor device with additionally tapered junction contacts
US7956463B2 (en) 2009-09-16 2011-06-07 International Business Machines Corporation Large grain size conductive structure for narrow interconnect openings
TWI441281B (en) * 2012-04-12 2014-06-11 Ind Tech Res Inst Dual damascene structure having through silicon via and manufacturing method thereof

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11774233B2 (en) 2016-06-29 2023-10-03 Corning Incorporated Method and system for measuring geometric parameters of through holes
US20220223528A1 (en) * 2016-09-30 2022-07-14 Taiwan Semiconductor Manufacturing Co., Ltd. Dielectric Film for Semiconductor Fabrication
US11901295B2 (en) * 2016-09-30 2024-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Dielectric film for semiconductor fabrication
TWI790232B (en) * 2017-05-25 2023-01-21 美商康寧公司 Articles having vias with geometry attributes and methods for fabricating the same
US11972993B2 (en) 2017-05-25 2024-04-30 Corning Incorporated Silica-containing substrates with vias having an axially variable sidewall taper and methods for forming the same
US11121026B2 (en) * 2018-10-31 2021-09-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US11600519B2 (en) * 2019-09-16 2023-03-07 International Business Machines Corporation Skip-via proximity interconnect

Also Published As

Publication number Publication date
US10134669B2 (en) 2018-11-20
CN106252408A (en) 2016-12-21
US20170110367A1 (en) 2017-04-20
CN106252408B (en) 2019-08-23
US20180197771A1 (en) 2018-07-12
US9911645B2 (en) 2018-03-06
US9536826B1 (en) 2017-01-03

Similar Documents

Publication Publication Date Title
US11532512B2 (en) Fin field effect transistor (FinFET) device structure with interconnect structure
US10134669B2 (en) Method for forming fin field effect transistor (FinFET) device structure with interconnect structure
US9997401B2 (en) Method for forming a via profile of interconnect structure of semiconductor device structure
US9761488B2 (en) Method for cleaning via of interconnect structure of semiconductor device structure
US11217458B2 (en) Method for forming semiconductor device structure with fine line pitch and fine end-to-end space
TWI766028B (en) Fin field effect transistor device structure and method of forming the same
US10163647B2 (en) Method for forming deep trench structure
US10950728B2 (en) Fin field effect transistor (FinFET) device structure with isolation layer and method for forming the same
US10002933B1 (en) Semiconductor device structure with cap layer with top and bottom portions over gate electrode
US20190140062A1 (en) Fin field effect transistor (finfet) device structure with dual spacers and method for forming the same
US10964636B2 (en) Interconnect structure with low resistivity and method for forming the same
TWI817408B (en) Semiconductor device structure and method of forming the same
US20230411144A1 (en) Semiconductor device and method for fabricating the same

Legal Events

Date Code Title Description
AS Assignment

Owner name: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD, TAIWA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:CHANG, CHE-CHENG;LIN, CHIH-HAN;REEL/FRAME:036083/0436

Effective date: 20150706

STCF Information on status: patent grant

Free format text: PATENTED CASE

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 4TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1551); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 4