US20100059823A1 - Resistive device for high-k metal gate technology and method of making - Google Patents

Resistive device for high-k metal gate technology and method of making Download PDF

Info

Publication number
US20100059823A1
US20100059823A1 US12/432,926 US43292609A US2010059823A1 US 20100059823 A1 US20100059823 A1 US 20100059823A1 US 43292609 A US43292609 A US 43292609A US 2010059823 A1 US2010059823 A1 US 2010059823A1
Authority
US
United States
Prior art keywords
semiconductor device
substrate
layer
isolation structure
metal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US12/432,926
Inventor
Sheng-Chen Chung
Kong-Beng Thei
Harry Chuang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority to US12/432,926 priority Critical patent/US20100059823A1/en
Assigned to TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. reassignment TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CHUANG, HARRY, CHUNG, SHENG-CHEN, THEI, KONG-BENG
Priority to TW098127357A priority patent/TWI433265B/en
Priority to CN2009101673440A priority patent/CN101673738B/en
Publication of US20100059823A1 publication Critical patent/US20100059823A1/en
Priority to US13/216,034 priority patent/US8334572B2/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/525Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body with adaptable interconnections
    • H01L23/5256Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body with adaptable interconnections comprising fuses, i.e. connections having their state changed from conductive to non-conductive
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823878Complementary field-effect transistors, e.g. CMOS isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0611Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region
    • H01L27/0617Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region comprising components of the field-effect type
    • H01L27/0629Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region comprising components of the field-effect type in combination with diodes, or resistors, or capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/301Electrical effects
    • H01L2924/3011Impedance

Definitions

  • the present disclosure relates generally to semiconductor technology, and more particularly, to resistive devices for high-k metal gate technology and method of making the same.
  • Polysilicon resistors have been widely used in conventional integrated circuit design, including for RC oscillators, current limitation resistance, ESD protect, RF post drivers, on-chip termination, impedance matching, etc.
  • the polysilicon resistor typically includes a silicide region, which exhibits lower than desirable resistivity, and accordingly requires higher than desirable area overhead.
  • a single crystalline silicon resistor e.g., a resistor formed in a semiconductor substrate
  • the single crystalline silicon resistor fails to provide precise impedance matching and capacitance for analog circuits, such as radio frequency and mixed-mode circuits.
  • eFuses Polysilicon electronic fuses
  • the eFuse exhibits lower than desirable resistivity due to a metal gate formed under and a silicide region formed over the polysilicon layer, and thus it may be difficult to burn-out the eFuse.
  • Contact, via, and copper metal have been proposed to resolve this issue, however, such proposals fail to address programming voltage issues.
  • FIG. 1 is a flowchart of a method for fabricating a semiconductor device having a resistive structure disposed within an isolation structure according to various aspects of the present disclosure
  • FIGS. 2A to 2F are cross-sectional views of a semiconductor device at various stages of fabrication according to the method of FIG. 1 ;
  • FIG. 3 is a flowchart of a method for fabricating a semiconductor device having a metal gate eFuse disposed on an isolation structure according to various aspects of the present disclosure
  • FIGS. 4A to 4E are cross-sectional views of a semiconductor device at various stages of fabrication according to the method of FIG. 3 ;
  • FIG. 5 is a top view of an eFuse structure that may be implemented in the semiconductor device of FIG. 4 .
  • the present disclosure relates generally to the field of semiconductor integrated circuits. It is understood that the following disclosure provides many different embodiments, or examples, for implementing different features of the invention. Specific examples of components and arrangements are described below to simplify the present disclosure. These are, of course, merely examples and are not intended to be limiting. In addition, the present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.
  • first and second features are formed in direct contact
  • additional features may be formed interposing the first and second features, such that the first and second features may not be in direct contact.
  • FIG. 1 illustrated is a flowchart of a method 100 for fabricating a semiconductor device according to various aspects of the present disclosure.
  • FIGS. 2A to 2F illustrated are cross-sectional views of a semiconductor device 200 at various stages of fabrication according to the method 100 of FIG. 1 .
  • part of the method 100 may be implemented with a CMOS process flow. Accordingly, it is understood that additional processes may be provided before, during, and after the method 100 , and that some other processes may only be briefly described herein.
  • FIGS. 2A to 2F may be simplified for a better understanding of the inventive concepts of the present disclosure.
  • the substrate 202 may include a semiconductor wafer such as a silicon wafer.
  • the substrate 202 may include other elementary semiconductors such as germanium.
  • the substrate 202 may also include a compound semiconductor such as silicon carbide, gallium arsenic, indium arsenide, and indium phosphide.
  • the substrate 202 may include an alloy semiconductor such as silicon germanium, silicon germanium carbide, gallium arsenic phosphide, and gallium indium phosphide.
  • the substrate 202 includes an epitaxial layer (epi layer) overlying a bulk semiconductor.
  • the substrate 202 may include a semiconductor-on-insulator (SOI) structure.
  • the substrate 202 may include a buried oxide (BOX) layer formed by a process such as separation by implanted oxygen (SIMOX).
  • the substrate 202 may include a buried layer such as an N-type buried layer (NBL), a P-type buried layer (PBL), and/or a buried dielectric layer including a buried oxide (BOX) layer.
  • the method 100 continues with block 120 in which an isolation structure may be formed in the substrate for isolating a first region and second region of the substrate.
  • the isolation structure 204 such as a shallow trench isolation (STI) or local oxidation of silicon (LOCOS) including the isolation feature may be formed in the substrate 202 to define and electrically isolate various active regions 206 , 208 .
  • STI shallow trench isolation
  • LOC local oxidation of silicon
  • the isolation structure 204 may be formed by a series of processes such as growing a pad oxide, forming a low pressure chemical vapor deposition (LPCVD) nitride layer 210 , patterning an opening using a photoresist and masking, etching a trench in the substrate 202 , optionally growing a thermal oxide trench liner to improve the trench interface, filling the trench with CVD oxide, and performing a chemical mechanical polishing (CMP) to etch back and planarize the isolation structure 204 .
  • LPCVD low pressure chemical vapor deposition
  • CMP chemical mechanical polishing
  • a resistive structure may be formed within the isolation structure.
  • a photoresist layer 212 may be formed over the substrate 202 and may be patterned with an opening 214 by a photolithography, immersion lithography, ion-beam writing, or other suitable technique.
  • the opening 214 may include various shapes such as a line, rectangle, dog bone, polygon, or other suitable shape.
  • a portion of the isolation structure 204 that is exposed by the opening 214 may be etched to form a trench 216 by a dry etch process, wet etch process, or a combination dry and wet etch process.
  • the trench 216 may include a depth that is precisely controlled by the etch process to tune a resistance value for a resistor device. For example, the trench depth may be controlled by varying an oxide dip time for the etch process having a known etch rate.
  • a polysilicon layer 220 may be deposited over the nitride layer 210 and may fill in the trench 216 within the isolation structure 204 .
  • the polysilicon layer 220 may be deposited by CVD or other suitable deposition process.
  • a CMP process may be performed to etch back the polysilicon layer 220 and may stop at the nitride layer 210 .
  • a polysilicon resistor device 230 may be formed within the isolation structure 204 .
  • the polysilicon resistor device 230 may include a pure polysilicon which may be subsequently doped by a doping process (e.g., formation of lightly doped drain (LDD) regions or source/drain (S/D) regions discussed below).
  • the polysilicon resistor device 230 may optionally be doped by depositing a doped polysilicon material in the trench 216 instead of depositing pure polysilicon subsequently doping the pure polysilicon.
  • the nitride layer 210 may be removed by a nitride stripping process as is known in the art.
  • a device having a high-k dielectric and metal gate may be formed in the first region or second region.
  • a P-type metal-oxide-semiconductor (PMOS) device may be formed in the active region 206 and an N-type MOS (NMOS) device may be formed in the active region 208 .
  • the PMOS and NMOS devices may be formed by performing a CMOS process flow including a replacement poly gate process (or gate “last” process).
  • a dummy poly gate structure may be initially formed and the semiconductor device 200 may continue with the CMOS process flow to form various features (e.g., LDD regions, sidewall spacers, S/D regions, resist protective oxide (RPO), silicide features, contact etch stop layer (CESL), etc.) until deposition of an interlayer dielectric (ILD layer) by a high density plasma (HDP) deposition process or other suitable technique.
  • a CMP process may be performed on the ILD layer to expose the dummy poly gate structure.
  • the dummy poly gate may then be removed by an etch back or other suitable process thereby forming a trench.
  • the trench may be filled with one or more metal layers, and a metal CMP process may then be performed to etch back and planarize the gate structure. Accordingly, the dummy poly gate structure may be replaced with a metal gate structure. Thereafter, the semiconductor device 200 may undergo further processing to form contacts/vias and interconnect features such as metal layers and interlayer dielectric to electrically couple the PMOS devices, NMOS devices, resistor devices, and other microelectronic devices (not shown) to form an integrated circuit.
  • contacts/vias and interconnect features such as metal layers and interlayer dielectric to electrically couple the PMOS devices, NMOS devices, resistor devices, and other microelectronic devices (not shown) to form an integrated circuit.
  • the various features of the semiconductor device 200 including the PMOS and NMOS devices are briefly discussed below.
  • the gate structure may be formed on the substrate 202 , including a gate dielectric 234 and metal gate 236 .
  • the gate dielectric 234 may include a high-k dielectric material such as metal oxides, metal nitrides, metal silicates, transition metal-oxides, transition metal-nitrides, transition metal-silicates, oxynitrides of metals, metal aluminates, zirconium silicate, zirconium aluminate, or combinations thereof.
  • hafnium oxide hafnium silicon oxide (HfSiO), hafnium silicon oxynitride (HfSiON), hafnium tantalum oxide (HfTaO), hafnium titanium oxide (HfTiO), hafnium zirconium oxide (HfZrO), and combinations thereof.
  • the gate dielectric 234 may have a multilayer structure such as one layer of silicon oxide (e.g., interfacial layer) and another layer of high-k material.
  • the gate dielectric 234 layer may have a thickness ranging from about 10 to about 30 angstroms (A).
  • the gate dielectric may be formed using chemical vapor deposition (CVD), physical vapor deposition (PVD), atomic layer deposition (ALD), thermal oxide, other suitable processes, or combinations thereof.
  • the metal gate 236 may be configured to be coupled to metal interconnects and may be disposed overlying the gate dielectric 234 .
  • the metal gate 236 may include TiN, TaN, TaC, CoSi, ZrSi 2 , MoSi 2 , TaSi 2 , NiSi 2 , WN, TiAl, TiNAl, Al, other suitable conductive materials, or combinations thereof.
  • the metal gate 236 may be formed by CVD, PVD, plating, or other suitable processes, and may be followed by a metal CMP process to planarize the gate structure.
  • the metal gate 236 may have a multilayer structure and may be formed in a multiple-step process. In some other embodiments, a capping layer such as lanthanum oxide or aluminum oxide may be formed on the high-k dielectric or under the high-k dielectric for tuning an effective work function of the metal gate for properly performing as a PMOS or NMOS device.
  • the gate structure may be formed using a process including photolithography patterning and etching.
  • One exemplary method for patterning the gate dielectric and dummy poly gate structure is described below.
  • a layer of photoresist is formed on the polysilicon layer by a suitable process, such as spin-on coating, and then patterned to form a patterned photoresist feature by a proper lithography patterning method.
  • the pattern of the photoresist can then be transferred by a dry etching process to the underlying polysilicon layer and the gate dielectric in a plurality of processing steps and various proper sequences.
  • the photoresist layer may be stripped thereafter.
  • a hard mask layer may be used and formed on the polysilicon layer.
  • the patterned photoresist layer is formed on the hard mask layer.
  • the pattern of the photoresist layer is transferred to the hard mask layer and then transferred to the polysilicon layer to form the dummy poly gate.
  • the hard mask layer may include silicon nitride, silicon oxynitride, silicon carbide, and/or other suitable dielectric materials, and may be formed using a method such as CVD or PVD.
  • LDD regions Lightly doped source/drain regions may be formed in the semiconductor substrate 202 after the gate patterning or etching process discussed above.
  • the LDD regions may be a doped P-type (e.g., boron or BF 2 ) and/or doped N-type (e.g., phosphorous or arsenic) by an ion implantation process and may include various doping profiles for the PMOS device 206 and NMOS device 208 as is known in the art.
  • the polysilicon resistor device 230 may be doped during this process.
  • Sidewall spacers 240 may be formed on both sidewalls of the gate structure.
  • the sidewall spacers 240 may include a dielectric material such as silicon oxide.
  • the sidewall spacers 240 may optionally include silicon nitride, silicon carbide, silicon oxynitride, or combinations thereof.
  • the sidewall spacers 240 may have a multilayer structure.
  • the sidewall spacers 240 may be formed by a deposition and etching (anisotropic etching technique) as is known in the art.
  • Source/drain (S/D) regions of a P-type may be formed in the PMOS device 206 and S/D regions of an N-type may be formed in the NMOS device 208 .
  • the S/D regions may be positioned on both sides of the gate structure and interposed thereby.
  • the S/D regions may be formed directly on the semiconductor substrate 202 , in a P-well structure, in a N-well structure, in a dual-well structure, or using a raised structure.
  • the S/D regions may comprise various doping profiles and may be formed by a plurality of ion implantation processes.
  • a rapid thermal process (RTP) may be performed to activate the doped regions.
  • the polysilicon resistor device 230 may optionally be doped during this process.
  • a resist protective oxide may be formed over some or all of the polysilicon resistor device 230 and may function as a silicide blocking layer during a subsequent silicidation process. Accordingly, the polysilicon resistor device 230 may not include a silicide region that exhibits lower than desirable resistance.
  • the semiconductor device 200 may further include forming various contacts and metal features on the substrate 202 . Silicide features may be formed by silicidation such as self-aligned silicide (salicide) in which a metal material is formed next to a Si structure, then the temperature is raised to anneal and cause a reaction between underlying silicon and the metal to form a silicide, and the un-reacted metal is etched away.
  • the salicide material may be self-aligned to be formed on various features such as the S/D regions or other doped regions to reduce contact resistance.
  • a plurality of patterned dielectric layers and conductive layers are formed on the substrate 202 to form multilayer interconnects configured to couple the PMOS and NMOS devices (e.g., P-type and N-type doped regions, such as the S/D regions, contact region, the metal gate), the polysilicon resistor devices, and other microelectronic devices (not shown) of an integrated circuit.
  • an interlayer dielectric (ILD) and a multilayer interconnect (MLI) structure are formed.
  • the MIL structure includes contacts, vias and metal lines formed on the substrate.
  • the MIL structure may include conductive materials such as aluminum, aluminum/silicon/copper alloy, titanium, titanium nitride, tungsten, polysilicon, metal silicide, or combinations thereof, being referred to as aluminum interconnects.
  • Aluminum interconnects may be formed by a process including physical vapor deposition (or sputtering), CVD, or combinations thereof. Other manufacturing techniques to form the aluminum interconnect may include photolithography processing and etching to pattern the conductive materials for vertical connection (via and contact) and horizontal connection (conductive line). Alternatively, a copper multilayer interconnect may be used to form the metal patterns.
  • the copper interconnect structure may include copper, copper alloy, titanium, titanium nitride, tantalum, tantalum nitride, tungsten, polysilicon, metal silicide, or combinations thereof.
  • the copper interconnect may be formed by a technique including CVD, sputtering, plating, or other suitable processes.
  • the ILD material includes silicon oxide.
  • the ILD includes a material having a low dielectric constant.
  • the dielectric layer includes silicon dioxide, silicon nitride, silicon oxynitride, polyimide, spin-on glass (SOG), fluoride-doped silicate glass (FSG), carbon doped silicon oxide, Black Diamond® (Applied Materials of Santa Clara, Calif.), Xerogel, Aerogel, amorphous fluorinated carbon, Parylene, BCB (bis-benzocyclobutenes), SiLK (Dow Chemical, Midland, Mich.), polyimide, and/or other suitable materials.
  • the dielectric layer may be formed by a technique including spin-on, CVD, or other suitable processes.
  • MLI and ILD structures may be formed in an integrated process such as a damascene process.
  • a metal such as copper is used as conductive material for interconnection.
  • Another metal or metal alloy may be additionally or alternatively used for various conductive features.
  • silicon oxide, fluorinated silica glass, or low dielectric constant (k) materials can be used for ILD.
  • k dielectric constant
  • a trench is formed in a dielectric layer, and copper is filled in the trench.
  • a chemical mechanical polishing (CMP) technique is implemented afterward to etch back and planarize the substrate surface.
  • FIG. 3 illustrated is a flowchart of a method 300 for fabricating a semiconductor device having a metal gate eFuse according to various aspects of the present disclosure.
  • FIGS. 4A to 4E illustrated are cross-sectional views of a semiconductor device 400 at various stages of fabrication according to the method 300 of FIG. 3 .
  • the semiconductor device 400 may be fabricated in a gate “last” process and may be integrated with the fabrication of the semiconductor device 200 of FIG. 2 . Accordingly, similar features in FIGS. 2 and 4 are number the same for the sake of simplicity and clarity.
  • the semiconductor device 400 may be fabricated with the same processes discussed above for fabricating the semiconductor device 200 .
  • the method 300 begins with block 310 in which a semiconductor substrate may be provided.
  • the semiconductor device 400 may include a semiconductor substrate 202 .
  • a plurality of isolation structures such as STI 204 may be formed in the substrate 202 for isolation one or more devices.
  • the STI 204 may be used to isolate a MOS device 402 (similar to the PMOS device 206 and NMOS device 208 of FIG. 2 ).
  • an eFuse device 404 may be formed on the STI 204 .
  • the method 300 continues with block 320 in which a transistor having a dummy gate may be formed in a first region and a fuse having a dummy fuse may be formed in a second region.
  • the MOS device 402 and eFuse 404 may be formed in a gate “last” process in which a dummy poly gate 410 may be formed for the MOS device 402 and a dummy fuse 412 may be formed for the eFuse device 404 .
  • the dummy poly gate 410 and dummy fuse 412 may be formed by depositing various materials layers and patterning the various layers to form a gate structure for the MOS 402 device and a fuse structure for the eFuse device 404 .
  • a gate dielectric layer 234 may be formed over the substrate 202 .
  • the gate dielectric layer 234 may include an interfacial oxide layer and high-k dielectric layer.
  • the gate dielectric 234 layer may have a thickness ranging from about 10 to about 30 angstroms (A).
  • the semiconductor device 400 may further include a capping layer such as lanthanum oxide or aluminum oxide for tuning a work function of a metal layer for properly performing as an NMOS or PMOS device.
  • a metal barrier layer 416 may be formed over the gate dielectric layer 234 .
  • the metal barrier layer 416 may function as a barrier and prevent Fermi level pinning between the high-k dielectric layer and a subsequently deposited polysilicon layer.
  • the metal barrier layer 416 may include TiN having a thickness ranging from about 10 to about 200 angstrom (A).
  • the metal barrier layer 416 may be formed by various deposition techniques such as chemical vapor deposition (CVD), physical vapor deposition (PVD or sputtering), plating, or other suitable technique.
  • a polysilicon layer may be formed on the metal barrier layer 416 by CVD or other suitable technique.
  • the polysilicon layer may include a thickness ranging from about 400 to about 800 angstrom (A).
  • the polysilicon layer may be patterned to form the dummy poly gate 410 of the MOS device 402 and the dummy fuse 412 of the eFuse device 404 .
  • the gate structure of the MOS device 402 and the fuse structure of the eFuse device 404 may be formed by a process including photolithography patterning and etching.
  • a hard mask layer 420 may be used and formed on the polysilicon layer.
  • a patterned photoresist layer is formed on the hard mask layer.
  • the pattern of the photoresist layer is transferred to the hard mask layer and then transferred to the polysilicon layer to form the dummy poly gate 410 and dummy fuse 412 .
  • the hard mask layer 420 may include silicon nitride, silicon oxynitride, silicon carbide, and/or other suitable dielectric materials, and may be formed using a method such as CVD or PVD.
  • the semiconductor device 400 may undergo CMOS process flow to form various features such as lightly doped drain (LDD) regions 425 , sidewall spacers 240 , source/drain regions 430 and silicide regions 432 .
  • a stressed layer may be formed over the MOS device 402 and eFuse device 404 .
  • a contact etch stop layer (CESL) 440 may be formed and may include silicon nitride, silicon oxynitride, and/or other suitable materials.
  • a dielectric layer such as an inter-layer (or level) dielectric (ILD) layer 450 may be formed over the CESL 440 by CVD, high density plasma CVD (HDP-CVD), spin-on, PVD (or sputtering), or other suitable methods.
  • the ILD layer 450 may include silicon oxide or a low k material.
  • the method 300 continues with block 330 in which the dummy gate of the transistor may be removed thereby forming a first trench and the dummy fuse of the fuse may be removed thereby forming a second trench.
  • a CMP process 455 may be performed on the ILD layer 450 to expose the dummy poly gate 410 and the dummy fuse 412 .
  • the CMP process 455 may stop at the hard mask layer 420 and continue with an over-polishing to remove the hard mask layer 420 .
  • an etch back process, dry etch, wet etch, or other suitable process may then be performed to remove the dummy poly gate 410 and the dummy fuse 412 .
  • a wet etch process may include exposure to a hydroxide containing solution (e.g., ammonium hydroxide), de-ionized water, and/or other suitable etchant solutions. Accordingly, a trench 460 may be formed in the gate structure and a trench 462 may be formed in the fuse structure. It should also be noted that during the poly etching process, the risk of damaging the high-k dielectric may be reduced since the metal barrier layer 416 functions as an etch barrier.
  • a hydroxide containing solution e.g., ammonium hydroxide
  • the method 300 continues with block 340 in which a metal may be formed to fill in the first and second trenches.
  • one or more metal layers may be deposited to form a metal gate of the MOS device 402 and a metal fuse of the eFuse device 404 .
  • the metal layer 470 may include any metal material suitable for forming a metal gate or portion thereof, including work function metal layers, fill metal layers, liner layers, interface layers, seed layers, etc.
  • the metal layer 470 may include a work function metal layer (e.g., N-type or P-type work function metal) and a fill metal layer.
  • the work function metal layer may include TiN, TiAlN, TaN, TaSiN, WN, TaC, TaCN, or combinations thereof.
  • the fill metal layer may include Al, Cu, W, or other suitable material.
  • the metal layer 470 may be formed by PVD, CVD, plating, or other suitable technique.
  • a CMP process may be performed.
  • a CMP process 480 may be performed on the metal layer 470 to planarize the gate structure of the MOS device 402 and the fuse structure of the eFuse device 404 .
  • the eFuse device 404 may include a gate dielectric layer 234 , metal barrier layer 416 , and metal gate layer 470 .
  • the metal gate layer 470 may include a thickness of about 800 angstrom following the CMP process 480 .
  • the MOS device 402 and eFuse device 404 may be formed in the same process without additional masking layers. It is understood that the semiconductor device 400 may undergo further processing to form various features such as contacts/vias, interconnect metal layers, interlayer dielectric, passivation layers, etc. as discussed above.
  • the eFuse device 500 may include an anode portion 502 , a cathode portion 504 , and a link portion 506 .
  • a plurality of contacts 510 may be coupled to the anode portion 502 and cathode portion 504 to electrically connect the eFuse device 500 to an interconnect structure of the semiconductor device.
  • a programming voltage may be applied across the anode 502 and cathode 504 via the contacts 510 to “burn-out” the eFuse device 500 . That is, the link portion 506 of the eFuse 500 may be burned-out and form an open circuit condition. It has been observed that an all metal fuse structure may improve the programming voltage in high-k metal gate technology as compared to a metal/polysilicon/silicide fuse structure.
  • the semiconductor device may further include a stress layer overlying the substrate and gate structures.
  • the stress layer may comprise silicon nitride, silicon oxynitride, silicon oxide, and silicon carbide.
  • the source and drain regions may have different structures, such as raised, recessed, or strained.
  • Further embodiments may also include, but are not limited to, vertical diffused metal-oxide-semiconductor (VDMOS), other types of high power MOS transistors, Fin structure field effect transistors (FinFET), and strained MOS structures.
  • VDMOS vertical diffused metal-oxide-semiconductor
  • Fin structure field effect transistors Fin structure field effect transistors
  • the resistive structure formed in the isolation structure may include a polysilicon eFuse or other passive device.
  • the present invention achieves different advantages in various embodiments disclosed herein.
  • the present disclosed method provides a simple and cost-effective method for incorporating a polysilicon resistor device and a metal eFuse device in high-k dielectric metal gate technology.
  • the methods and devices disclosed herein may easily be integrated with current CMOS technology processing and semiconductor processing equipment.
  • the methods and devices disclosed herein provide a easy way to control the resistance value of the polysilicon resistor device and avoid silicidation of the polysilicon resistor device during processing.
  • the methods and devices disclosed herein provide a way to improve the programming voltage of the eFuse for advance technology process nodes (e.g., 45 nm and beyond). It is understood that different embodiments disclosed herein offer different advantages, and that no particular advantage is necessarily required for all embodiments.
  • the semiconductor device is described in a gate “last” process
  • the PMOS and NMOS devices may be also fabricated in a gate first process (without dummy poly gate structures), or a hybrid process that includes a gate first process to form one type of metal gate and a gate last process to form the other type of metal gate.

Abstract

A semiconductor device is provided which includes a semiconductor substrate, an isolation structure formed in the substrate for isolating an active region of the substrate, the isolation structure being formed of a first material, an active device formed in the active region of the substrate, the active device having a high-k dielectric and metal gate, and a passive device formed in the isolation structure, the passive device being formed of a second material different from the first material and having a predefined resistivity.

Description

    PRIORITY DATA
  • This application claims priority to Provisional Application Ser. No. 61/095,762 filed on Sep. 10, 2008, entitled “RESISTIVE DEVICE FOR HIGH-K METAL GATE TECHNOLOGY AND METHOD OF MAKING,” the entire disclosure of which is incorporated herein by reference.
  • FIELD OF THE INVENTION
  • The present disclosure relates generally to semiconductor technology, and more particularly, to resistive devices for high-k metal gate technology and method of making the same.
  • BACKGROUND
  • Polysilicon resistors have been widely used in conventional integrated circuit design, including for RC oscillators, current limitation resistance, ESD protect, RF post drivers, on-chip termination, impedance matching, etc. For replacement gate technology (also referred to as gate last process), the polysilicon resistor typically includes a silicide region, which exhibits lower than desirable resistivity, and accordingly requires higher than desirable area overhead. A single crystalline silicon resistor (e.g., a resistor formed in a semiconductor substrate) has been proposed to resolve this issue, however, the single crystalline silicon resistor fails to provide precise impedance matching and capacitance for analog circuits, such as radio frequency and mixed-mode circuits.
  • Polysilicon electronic fuses (eFuses) have been widely used in conventional memory integrated circuit design. For high-k metal gate technology, the eFuse exhibits lower than desirable resistivity due to a metal gate formed under and a silicide region formed over the polysilicon layer, and thus it may be difficult to burn-out the eFuse. Contact, via, and copper metal have been proposed to resolve this issue, however, such proposals fail to address programming voltage issues.
  • What is needed is a method to fabricate a resistor or eFuse device having desirable resistivity.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • Aspects of the present disclosure are best understood from the following detailed description when read with the accompanying figures. It is emphasized that, in accordance with the standard practice in the industry, various features are not drawn to scale. In fact, the dimensions of the various features may be arbitrarily increased or reduced for clarity of discussion.
  • FIG. 1 is a flowchart of a method for fabricating a semiconductor device having a resistive structure disposed within an isolation structure according to various aspects of the present disclosure;
  • FIGS. 2A to 2F are cross-sectional views of a semiconductor device at various stages of fabrication according to the method of FIG. 1;
  • FIG. 3 is a flowchart of a method for fabricating a semiconductor device having a metal gate eFuse disposed on an isolation structure according to various aspects of the present disclosure;
  • FIGS. 4A to 4E are cross-sectional views of a semiconductor device at various stages of fabrication according to the method of FIG. 3; and
  • FIG. 5 is a top view of an eFuse structure that may be implemented in the semiconductor device of FIG. 4.
  • DETAILED DESCRIPTION
  • The present disclosure relates generally to the field of semiconductor integrated circuits. It is understood that the following disclosure provides many different embodiments, or examples, for implementing different features of the invention. Specific examples of components and arrangements are described below to simplify the present disclosure. These are, of course, merely examples and are not intended to be limiting. In addition, the present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed. Moreover, the formation of a first feature over or on a second feature in the description that follows may include embodiments in which the first and second features are formed in direct contact, and may also include embodiments in which additional features may be formed interposing the first and second features, such that the first and second features may not be in direct contact.
  • Referring to FIG. 1, illustrated is a flowchart of a method 100 for fabricating a semiconductor device according to various aspects of the present disclosure. Referring also to FIGS. 2A to 2F, illustrated are cross-sectional views of a semiconductor device 200 at various stages of fabrication according to the method 100 of FIG. 1. It should be noted that part of the method 100 may be implemented with a CMOS process flow. Accordingly, it is understood that additional processes may be provided before, during, and after the method 100, and that some other processes may only be briefly described herein. Further, FIGS. 2A to 2F may be simplified for a better understanding of the inventive concepts of the present disclosure.
  • The method 100 begins with block 110 in which a semiconductor substrate may be provided. In FIG. 2A, the substrate 202 may include a semiconductor wafer such as a silicon wafer. Alternatively, the substrate 202 may include other elementary semiconductors such as germanium. The substrate 202 may also include a compound semiconductor such as silicon carbide, gallium arsenic, indium arsenide, and indium phosphide. The substrate 202 may include an alloy semiconductor such as silicon germanium, silicon germanium carbide, gallium arsenic phosphide, and gallium indium phosphide. In one embodiment, the substrate 202 includes an epitaxial layer (epi layer) overlying a bulk semiconductor. Furthermore, the substrate 202 may include a semiconductor-on-insulator (SOI) structure. For example, the substrate 202 may include a buried oxide (BOX) layer formed by a process such as separation by implanted oxygen (SIMOX). In various embodiments, the substrate 202 may include a buried layer such as an N-type buried layer (NBL), a P-type buried layer (PBL), and/or a buried dielectric layer including a buried oxide (BOX) layer.
  • The method 100 continues with block 120 in which an isolation structure may be formed in the substrate for isolating a first region and second region of the substrate. The isolation structure 204 such as a shallow trench isolation (STI) or local oxidation of silicon (LOCOS) including the isolation feature may be formed in the substrate 202 to define and electrically isolate various active regions 206, 208. In furtherance of the embodiment, the isolation structure 204 may be formed by a series of processes such as growing a pad oxide, forming a low pressure chemical vapor deposition (LPCVD) nitride layer 210, patterning an opening using a photoresist and masking, etching a trench in the substrate 202, optionally growing a thermal oxide trench liner to improve the trench interface, filling the trench with CVD oxide, and performing a chemical mechanical polishing (CMP) to etch back and planarize the isolation structure 204.
  • The method 100 continues with block 130 in which a resistive structure may be formed within the isolation structure. In FIG. 2B, a photoresist layer 212 may be formed over the substrate 202 and may be patterned with an opening 214 by a photolithography, immersion lithography, ion-beam writing, or other suitable technique. The opening 214 may include various shapes such as a line, rectangle, dog bone, polygon, or other suitable shape. A portion of the isolation structure 204 that is exposed by the opening 214 may be etched to form a trench 216 by a dry etch process, wet etch process, or a combination dry and wet etch process. The trench 216 may include a depth that is precisely controlled by the etch process to tune a resistance value for a resistor device. For example, the trench depth may be controlled by varying an oxide dip time for the etch process having a known etch rate.
  • In FIG. 2C, a polysilicon layer 220 may be deposited over the nitride layer 210 and may fill in the trench 216 within the isolation structure 204. The polysilicon layer 220 may be deposited by CVD or other suitable deposition process. In FIG. 2D, a CMP process may be performed to etch back the polysilicon layer 220 and may stop at the nitride layer 210. Accordingly, a polysilicon resistor device 230 may be formed within the isolation structure 204. It should be noted that the polysilicon resistor device 230 may include a pure polysilicon which may be subsequently doped by a doping process (e.g., formation of lightly doped drain (LDD) regions or source/drain (S/D) regions discussed below). Alternatively, the polysilicon resistor device 230 may optionally be doped by depositing a doped polysilicon material in the trench 216 instead of depositing pure polysilicon subsequently doping the pure polysilicon. In FIG. 2E, the nitride layer 210 may be removed by a nitride stripping process as is known in the art.
  • The method 100 continues with block 140 in which a device having a high-k dielectric and metal gate may be formed in the first region or second region. In FIG. 2F, a P-type metal-oxide-semiconductor (PMOS) device may be formed in the active region 206 and an N-type MOS (NMOS) device may be formed in the active region 208. The PMOS and NMOS devices may be formed by performing a CMOS process flow including a replacement poly gate process (or gate “last” process). In a gate last process, a dummy poly gate structure may be initially formed and the semiconductor device 200 may continue with the CMOS process flow to form various features (e.g., LDD regions, sidewall spacers, S/D regions, resist protective oxide (RPO), silicide features, contact etch stop layer (CESL), etc.) until deposition of an interlayer dielectric (ILD layer) by a high density plasma (HDP) deposition process or other suitable technique. A CMP process may be performed on the ILD layer to expose the dummy poly gate structure. The dummy poly gate may then be removed by an etch back or other suitable process thereby forming a trench. The trench may be filled with one or more metal layers, and a metal CMP process may then be performed to etch back and planarize the gate structure. Accordingly, the dummy poly gate structure may be replaced with a metal gate structure. Thereafter, the semiconductor device 200 may undergo further processing to form contacts/vias and interconnect features such as metal layers and interlayer dielectric to electrically couple the PMOS devices, NMOS devices, resistor devices, and other microelectronic devices (not shown) to form an integrated circuit. The various features of the semiconductor device 200 including the PMOS and NMOS devices are briefly discussed below.
  • For example, the gate structure may be formed on the substrate 202, including a gate dielectric 234 and metal gate 236. The gate dielectric 234 may include a high-k dielectric material such as metal oxides, metal nitrides, metal silicates, transition metal-oxides, transition metal-nitrides, transition metal-silicates, oxynitrides of metals, metal aluminates, zirconium silicate, zirconium aluminate, or combinations thereof. Some examples may include hafnium oxide (HfO2) hafnium silicon oxide (HfSiO), hafnium silicon oxynitride (HfSiON), hafnium tantalum oxide (HfTaO), hafnium titanium oxide (HfTiO), hafnium zirconium oxide (HfZrO), and combinations thereof. The gate dielectric 234 may have a multilayer structure such as one layer of silicon oxide (e.g., interfacial layer) and another layer of high-k material. The gate dielectric 234 layer may have a thickness ranging from about 10 to about 30 angstroms (A). The gate dielectric may be formed using chemical vapor deposition (CVD), physical vapor deposition (PVD), atomic layer deposition (ALD), thermal oxide, other suitable processes, or combinations thereof.
  • The metal gate 236 may be configured to be coupled to metal interconnects and may be disposed overlying the gate dielectric 234. The metal gate 236 may include TiN, TaN, TaC, CoSi, ZrSi2, MoSi2, TaSi2, NiSi2, WN, TiAl, TiNAl, Al, other suitable conductive materials, or combinations thereof. The metal gate 236 may be formed by CVD, PVD, plating, or other suitable processes, and may be followed by a metal CMP process to planarize the gate structure. The metal gate 236 may have a multilayer structure and may be formed in a multiple-step process. In some other embodiments, a capping layer such as lanthanum oxide or aluminum oxide may be formed on the high-k dielectric or under the high-k dielectric for tuning an effective work function of the metal gate for properly performing as a PMOS or NMOS device.
  • The gate structure may be formed using a process including photolithography patterning and etching. One exemplary method for patterning the gate dielectric and dummy poly gate structure is described below. A layer of photoresist is formed on the polysilicon layer by a suitable process, such as spin-on coating, and then patterned to form a patterned photoresist feature by a proper lithography patterning method. The pattern of the photoresist can then be transferred by a dry etching process to the underlying polysilicon layer and the gate dielectric in a plurality of processing steps and various proper sequences. The photoresist layer may be stripped thereafter. In another embodiment, a hard mask layer may be used and formed on the polysilicon layer. The patterned photoresist layer is formed on the hard mask layer. The pattern of the photoresist layer is transferred to the hard mask layer and then transferred to the polysilicon layer to form the dummy poly gate. The hard mask layer may include silicon nitride, silicon oxynitride, silicon carbide, and/or other suitable dielectric materials, and may be formed using a method such as CVD or PVD.
  • Lightly doped source/drain regions (also referred to as LDD regions) may be formed in the semiconductor substrate 202 after the gate patterning or etching process discussed above. The LDD regions may be a doped P-type (e.g., boron or BF2) and/or doped N-type (e.g., phosphorous or arsenic) by an ion implantation process and may include various doping profiles for the PMOS device 206 and NMOS device 208 as is known in the art. As previously noted, the polysilicon resistor device 230 may be doped during this process.
  • Sidewall spacers 240 may be formed on both sidewalls of the gate structure. The sidewall spacers 240 may include a dielectric material such as silicon oxide. Alternatively, the sidewall spacers 240 may optionally include silicon nitride, silicon carbide, silicon oxynitride, or combinations thereof. In some embodiments, the sidewall spacers 240 may have a multilayer structure. The sidewall spacers 240 may be formed by a deposition and etching (anisotropic etching technique) as is known in the art.
  • Source/drain (S/D) regions of a P-type may be formed in the PMOS device 206 and S/D regions of an N-type may be formed in the NMOS device 208. The S/D regions may be positioned on both sides of the gate structure and interposed thereby. The S/D regions may be formed directly on the semiconductor substrate 202, in a P-well structure, in a N-well structure, in a dual-well structure, or using a raised structure. The S/D regions may comprise various doping profiles and may be formed by a plurality of ion implantation processes. A rapid thermal process (RTP) may be performed to activate the doped regions. As previously noted, the polysilicon resistor device 230 may optionally be doped during this process.
  • In some embodiments, a resist protective oxide (RPO) may be formed over some or all of the polysilicon resistor device 230 and may function as a silicide blocking layer during a subsequent silicidation process. Accordingly, the polysilicon resistor device 230 may not include a silicide region that exhibits lower than desirable resistance. The semiconductor device 200 may further include forming various contacts and metal features on the substrate 202. Silicide features may be formed by silicidation such as self-aligned silicide (salicide) in which a metal material is formed next to a Si structure, then the temperature is raised to anneal and cause a reaction between underlying silicon and the metal to form a silicide, and the un-reacted metal is etched away. The salicide material may be self-aligned to be formed on various features such as the S/D regions or other doped regions to reduce contact resistance.
  • Also, a plurality of patterned dielectric layers and conductive layers are formed on the substrate 202 to form multilayer interconnects configured to couple the PMOS and NMOS devices (e.g., P-type and N-type doped regions, such as the S/D regions, contact region, the metal gate), the polysilicon resistor devices, and other microelectronic devices (not shown) of an integrated circuit. In one embodiment, an interlayer dielectric (ILD) and a multilayer interconnect (MLI) structure are formed. In furtherance of the example, the MIL structure includes contacts, vias and metal lines formed on the substrate. In one example, the MIL structure may include conductive materials such as aluminum, aluminum/silicon/copper alloy, titanium, titanium nitride, tungsten, polysilicon, metal silicide, or combinations thereof, being referred to as aluminum interconnects.
  • Aluminum interconnects may be formed by a process including physical vapor deposition (or sputtering), CVD, or combinations thereof. Other manufacturing techniques to form the aluminum interconnect may include photolithography processing and etching to pattern the conductive materials for vertical connection (via and contact) and horizontal connection (conductive line). Alternatively, a copper multilayer interconnect may be used to form the metal patterns. The copper interconnect structure may include copper, copper alloy, titanium, titanium nitride, tantalum, tantalum nitride, tungsten, polysilicon, metal silicide, or combinations thereof. The copper interconnect may be formed by a technique including CVD, sputtering, plating, or other suitable processes.
  • The ILD material includes silicon oxide. Alternatively or additionally, the ILD includes a material having a low dielectric constant. In one embodiment, the dielectric layer includes silicon dioxide, silicon nitride, silicon oxynitride, polyimide, spin-on glass (SOG), fluoride-doped silicate glass (FSG), carbon doped silicon oxide, Black Diamond® (Applied Materials of Santa Clara, Calif.), Xerogel, Aerogel, amorphous fluorinated carbon, Parylene, BCB (bis-benzocyclobutenes), SiLK (Dow Chemical, Midland, Mich.), polyimide, and/or other suitable materials. The dielectric layer may be formed by a technique including spin-on, CVD, or other suitable processes.
  • MLI and ILD structures may be formed in an integrated process such as a damascene process. In a damascene process, a metal such as copper is used as conductive material for interconnection. Another metal or metal alloy may be additionally or alternatively used for various conductive features. Accordingly, silicon oxide, fluorinated silica glass, or low dielectric constant (k) materials can be used for ILD. During the damascene process, a trench is formed in a dielectric layer, and copper is filled in the trench. A chemical mechanical polishing (CMP) technique is implemented afterward to etch back and planarize the substrate surface.
  • Referring to FIG. 3, illustrated is a flowchart of a method 300 for fabricating a semiconductor device having a metal gate eFuse according to various aspects of the present disclosure. Referring also to FIGS. 4A to 4E, illustrated are cross-sectional views of a semiconductor device 400 at various stages of fabrication according to the method 300 of FIG. 3. The semiconductor device 400 may be fabricated in a gate “last” process and may be integrated with the fabrication of the semiconductor device 200 of FIG. 2. Accordingly, similar features in FIGS. 2 and 4 are number the same for the sake of simplicity and clarity. Moreover, the semiconductor device 400 may be fabricated with the same processes discussed above for fabricating the semiconductor device 200.
  • The method 300 begins with block 310 in which a semiconductor substrate may be provided. In FIG. 4A, the semiconductor device 400 may include a semiconductor substrate 202. A plurality of isolation structures such as STI 204 may be formed in the substrate 202 for isolation one or more devices. For example, the STI 204 may be used to isolate a MOS device 402 (similar to the PMOS device 206 and NMOS device 208 of FIG. 2). Additionally, an eFuse device 404 may be formed on the STI 204.
  • The method 300 continues with block 320 in which a transistor having a dummy gate may be formed in a first region and a fuse having a dummy fuse may be formed in a second region. The MOS device 402 and eFuse 404 may be formed in a gate “last” process in which a dummy poly gate 410 may be formed for the MOS device 402 and a dummy fuse 412 may be formed for the eFuse device 404. The dummy poly gate 410 and dummy fuse 412 may be formed by depositing various materials layers and patterning the various layers to form a gate structure for the MOS 402 device and a fuse structure for the eFuse device 404.
  • For example, a gate dielectric layer 234 may be formed over the substrate 202. The gate dielectric layer 234 may include an interfacial oxide layer and high-k dielectric layer. The gate dielectric 234 layer may have a thickness ranging from about 10 to about 30 angstroms (A). The semiconductor device 400 may further include a capping layer such as lanthanum oxide or aluminum oxide for tuning a work function of a metal layer for properly performing as an NMOS or PMOS device. A metal barrier layer 416 may be formed over the gate dielectric layer 234. The metal barrier layer 416 may function as a barrier and prevent Fermi level pinning between the high-k dielectric layer and a subsequently deposited polysilicon layer. For example, the metal barrier layer 416 may include TiN having a thickness ranging from about 10 to about 200 angstrom (A). The metal barrier layer 416 may be formed by various deposition techniques such as chemical vapor deposition (CVD), physical vapor deposition (PVD or sputtering), plating, or other suitable technique. A polysilicon layer may be formed on the metal barrier layer 416 by CVD or other suitable technique. The polysilicon layer may include a thickness ranging from about 400 to about 800 angstrom (A). The polysilicon layer may be patterned to form the dummy poly gate 410 of the MOS device 402 and the dummy fuse 412 of the eFuse device 404.
  • The gate structure of the MOS device 402 and the fuse structure of the eFuse device 404 may be formed by a process including photolithography patterning and etching. One exemplary method for patterning the gate structure and fuse structure is described below. A hard mask layer 420 may be used and formed on the polysilicon layer. A patterned photoresist layer is formed on the hard mask layer. The pattern of the photoresist layer is transferred to the hard mask layer and then transferred to the polysilicon layer to form the dummy poly gate 410 and dummy fuse 412. The hard mask layer 420 may include silicon nitride, silicon oxynitride, silicon carbide, and/or other suitable dielectric materials, and may be formed using a method such as CVD or PVD.
  • Thereafter, the semiconductor device 400 may undergo CMOS process flow to form various features such as lightly doped drain (LDD) regions 425, sidewall spacers 240, source/drain regions 430 and silicide regions 432. A stressed layer may be formed over the MOS device 402 and eFuse device 404. For example, a contact etch stop layer (CESL) 440 may be formed and may include silicon nitride, silicon oxynitride, and/or other suitable materials. A dielectric layer such as an inter-layer (or level) dielectric (ILD) layer 450 may be formed over the CESL 440 by CVD, high density plasma CVD (HDP-CVD), spin-on, PVD (or sputtering), or other suitable methods. The ILD layer 450 may include silicon oxide or a low k material.
  • The method 300 continues with block 330 in which the dummy gate of the transistor may be removed thereby forming a first trench and the dummy fuse of the fuse may be removed thereby forming a second trench. In FIG. 4B, a CMP process 455 may be performed on the ILD layer 450 to expose the dummy poly gate 410 and the dummy fuse 412. The CMP process 455 may stop at the hard mask layer 420 and continue with an over-polishing to remove the hard mask layer 420. In FIG. 4C, an etch back process, dry etch, wet etch, or other suitable process may then be performed to remove the dummy poly gate 410 and the dummy fuse 412. For example, a wet etch process may include exposure to a hydroxide containing solution (e.g., ammonium hydroxide), de-ionized water, and/or other suitable etchant solutions. Accordingly, a trench 460 may be formed in the gate structure and a trench 462 may be formed in the fuse structure. It should also be noted that during the poly etching process, the risk of damaging the high-k dielectric may be reduced since the metal barrier layer 416 functions as an etch barrier.
  • The method 300 continues with block 340 in which a metal may be formed to fill in the first and second trenches. In FIG. 4D, one or more metal layers may be deposited to form a metal gate of the MOS device 402 and a metal fuse of the eFuse device 404. The metal layer 470 may include any metal material suitable for forming a metal gate or portion thereof, including work function metal layers, fill metal layers, liner layers, interface layers, seed layers, etc. For example, the metal layer 470 may include a work function metal layer (e.g., N-type or P-type work function metal) and a fill metal layer. The work function metal layer may include TiN, TiAlN, TaN, TaSiN, WN, TaC, TaCN, or combinations thereof. The fill metal layer may include Al, Cu, W, or other suitable material. The metal layer 470 may be formed by PVD, CVD, plating, or other suitable technique.
  • The method 300 continues with block 350 in which a CMP process may be performed. In FIG. 4E, a CMP process 480 may be performed on the metal layer 470 to planarize the gate structure of the MOS device 402 and the fuse structure of the eFuse device 404. Accordingly, the eFuse device 404 may include a gate dielectric layer 234, metal barrier layer 416, and metal gate layer 470. The metal gate layer 470 may include a thickness of about 800 angstrom following the CMP process 480. Thus, the MOS device 402 and eFuse device 404 may be formed in the same process without additional masking layers. It is understood that the semiconductor device 400 may undergo further processing to form various features such as contacts/vias, interconnect metal layers, interlayer dielectric, passivation layers, etc. as discussed above.
  • Referring to FIG. 5, illustrated is a top view of an eFuse device 500 that may be implemented in the semiconductor device 400 of FIG. 4. The eFuse device 500 may include an anode portion 502, a cathode portion 504, and a link portion 506. A plurality of contacts 510 may be coupled to the anode portion 502 and cathode portion 504 to electrically connect the eFuse device 500 to an interconnect structure of the semiconductor device. A programming voltage may be applied across the anode 502 and cathode 504 via the contacts 510 to “burn-out” the eFuse device 500. That is, the link portion 506 of the eFuse 500 may be burned-out and form an open circuit condition. It has been observed that an all metal fuse structure may improve the programming voltage in high-k metal gate technology as compared to a metal/polysilicon/silicide fuse structure.
  • The disclosed structure and method may have various embodiments, modifications and variations. In one example, the semiconductor device may further include a stress layer overlying the substrate and gate structures. The stress layer may comprise silicon nitride, silicon oxynitride, silicon oxide, and silicon carbide. In another embodiment, the source and drain regions may have different structures, such as raised, recessed, or strained. Further embodiments may also include, but are not limited to, vertical diffused metal-oxide-semiconductor (VDMOS), other types of high power MOS transistors, Fin structure field effect transistors (FinFET), and strained MOS structures. In still other embodiments, the resistive structure formed in the isolation structure may include a polysilicon eFuse or other passive device.
  • The present invention achieves different advantages in various embodiments disclosed herein. For example, the present disclosed method provides a simple and cost-effective method for incorporating a polysilicon resistor device and a metal eFuse device in high-k dielectric metal gate technology. Additionally, the methods and devices disclosed herein may easily be integrated with current CMOS technology processing and semiconductor processing equipment. Further, the methods and devices disclosed herein provide a easy way to control the resistance value of the polysilicon resistor device and avoid silicidation of the polysilicon resistor device during processing. Moreover, the methods and devices disclosed herein provide a way to improve the programming voltage of the eFuse for advance technology process nodes (e.g., 45 nm and beyond). It is understood that different embodiments disclosed herein offer different advantages, and that no particular advantage is necessarily required for all embodiments.
  • The foregoing has outlined features of several embodiments. Those skilled in the art should appreciate that they may readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments introduced herein. Those skilled in the art should also realize that such equivalent constructions do not depart from the spirit and scope of the present disclosure, and that they may make various changes, substitutions and alterations herein without departing from the spirit and scope of the present disclosure. For example, although the semiconductor device is described in a gate “last” process, the PMOS and NMOS devices may be also fabricated in a gate first process (without dummy poly gate structures), or a hybrid process that includes a gate first process to form one type of metal gate and a gate last process to form the other type of metal gate.

Claims (20)

1. A semiconductor device comprising:
a semiconductor substrate;
an isolation structure formed in the substrate for isolating an active region of the substrate, the isolation structure being formed of a first material;
an active device formed in the active region of the substrate, the active device having a high-k dielectric and metal gate; and
a passive device formed in the isolation structure, the passive device being formed of a second material different from the first material and having a predefined resistivity.
2. The semiconductor device of claim 1, wherein the second material includes a polysilicon.
3. The semiconductor device of claim 1, wherein the first material includes a silicon oxide.
4. The semiconductor device of claim 1, wherein the active device includes a PMOS device or NMOS device.
5. The semiconductor device of claim 1, wherein the passive device includes a rectangle shape, a dog bone shape, or a polygon shape.
6. The semiconductor device of claim 5, wherein a depth of the shape is associated with the predefined resistivity of the passive device.
7. The semiconductor device of claim 1 wherein the isolation structure includes a shallow trench isolation (STI) structure.
8. A semiconductor device comprising:
a semiconductor substrate;
an isolation structure formed in the substrate for isolating a first region and second region of the substrate;
a first transistor formed in the first region and a second transistor formed in the second region, the first and second transistors each having a high-k dielectric and metal gate; and
a polysilicon resistor device formed in the isolation structure, wherein a surface of the polysilicon resistor device and a surface of the isolation structure lie on a same plane.
9. The semiconductor device of claim 8, wherein the first and second transistors are each a PMOS device or an NMOS device.
10. The semiconductor device of claim 8, wherein the high-k dielectric includes hafnium oxide, hafnium silicon oxide, hafnium silicon oxynitride, hafnium tantalum oxide, hafnium titanium oxide, hafnium zirconium oxide, or combinations thereof.
11. The semiconductor device of claim 8, wherein the metal gate includes TiN, TaN, TaC, CoSi, ZrSi2, MoSi2, TaSi2, NiSi2, WN, TiAl, TiNAl, Al, or combinations thereof
12. The semiconductor device of claim 8, wherein the polysilicon resistor device includes a doped polysilicon material.
13. A semiconductor device comprising:
a semiconductor substrate;
a first isolation structure in the substrate for isolating an active region of the substrate;
a transistor formed in the active region, the transistor having a gate structure that includes a high-k dielectric layer and a metal layer; and
a fuse structure formed on the isolation structure, the fuse structure having a link portion that includes the high-k dielectric layer and the metal layer, wherein the link portion does not include a polysilicon layer disposed on the metal layer.
14. The semiconductor device of claim 13, wherein the transistor include a PMOS device or an NMOS device.
15. The semiconductor device of claim 13, wherein the isolation structure includes a shallow trench isolation (STI) structure.
16. The semiconductor device of claim 13, wherein the metal gate includes TiN, TaN, TaC, WN, TiAl, TiNAl, Al, or combinations thereof.
17. The semiconductor device of claim 13, wherein the gate structure of the transistor does not include the polysilicon layer disposed on the metal layer.
18. The semiconductor device of claim 13, wherein the fuse structure includes an eFuse device.
19. The semiconductor device of claim 13, wherein the metal layer of the transistor is co-planar with the metal layer of the fuse structure.
20. The semiconductor device of claim 13, further including a second isolation structure formed in the substrate; and
a polysilicon resistor disposed within the second isolation structure such that a top surface of the resistor is co-planar with a top surface of the second isolation structure.
US12/432,926 2008-09-10 2009-04-30 Resistive device for high-k metal gate technology and method of making Abandoned US20100059823A1 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
US12/432,926 US20100059823A1 (en) 2008-09-10 2009-04-30 Resistive device for high-k metal gate technology and method of making
TW098127357A TWI433265B (en) 2008-09-10 2009-08-14 Resistive device for high-k metal gate techonolgy and method of making the same
CN2009101673440A CN101673738B (en) 2008-09-10 2009-08-21 Semiconductor device
US13/216,034 US8334572B2 (en) 2008-09-10 2011-08-23 Resistive device for high-k metal gate technology

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US9576208P 2008-09-10 2008-09-10
US12/432,926 US20100059823A1 (en) 2008-09-10 2009-04-30 Resistive device for high-k metal gate technology and method of making

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US13/216,034 Division US8334572B2 (en) 2008-09-10 2011-08-23 Resistive device for high-k metal gate technology

Publications (1)

Publication Number Publication Date
US20100059823A1 true US20100059823A1 (en) 2010-03-11

Family

ID=41798475

Family Applications (2)

Application Number Title Priority Date Filing Date
US12/432,926 Abandoned US20100059823A1 (en) 2008-09-10 2009-04-30 Resistive device for high-k metal gate technology and method of making
US13/216,034 Active US8334572B2 (en) 2008-09-10 2011-08-23 Resistive device for high-k metal gate technology

Family Applications After (1)

Application Number Title Priority Date Filing Date
US13/216,034 Active US8334572B2 (en) 2008-09-10 2011-08-23 Resistive device for high-k metal gate technology

Country Status (3)

Country Link
US (2) US20100059823A1 (en)
CN (1) CN101673738B (en)
TW (1) TWI433265B (en)

Cited By (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090181505A1 (en) * 2008-01-14 2009-07-16 Takashi Ando Method and apparatus for fabricating a high-performance band-edge complementary metal-oxide-semiconductor device
US20100163949A1 (en) * 2008-12-29 2010-07-01 International Business Machines Corporation Vertical metal-insulator-metal (mim) capacitor using gate stack, gate spacer and contact via
US20100237435A1 (en) * 2009-03-17 2010-09-23 International Business Machines Corporation Method and structure for gate height scaling with high-k/metal gate technology
US20110076844A1 (en) * 2009-09-30 2011-03-31 Jens Heinrich Superior fill conditions in a replacement gate approach by performing a polishing process based on a sacrificial fill material
US20110101460A1 (en) * 2009-10-30 2011-05-05 Jens Heinrich Semiconductor fuses in a semiconductor device comprising metal gates
US20110156146A1 (en) * 2009-12-28 2011-06-30 Globalfoundries Inc. eFUSE ENABLEMENT WITH THIN POLYSILICON OR AMORPHOUS-SILICON GATE-STACK FOR HKMG CMOS
US20110215321A1 (en) * 2010-03-08 2011-09-08 International Business Machines Corporation Polysilicon resistor and e-fuse for integration with metal gate and high-k dielectric
US20110241117A1 (en) * 2010-03-31 2011-10-06 Globalfoundries Inc. Semiconductor Device Comprising Metal Gate Structures Formed by a Replacement Gate Approach and eFuses Including a Silicide
DE102010003555A1 (en) * 2010-03-31 2011-10-06 Globalfoundries Dresden Module One Llc & Co. Kg Aluminum fuses in a semiconductor device having metal gate electrode structures
US20120139062A1 (en) * 2010-12-02 2012-06-07 International Business Machines Corporation Self-aligned contact combined with a replacement metal gate/high-k gate dielectric
US20120187563A1 (en) * 2011-01-24 2012-07-26 United Microelectronics Corp. Planarization method applied in process of manufacturing semiconductor component
US20120225524A1 (en) * 2009-12-18 2012-09-06 United Microelectronics Corp. Method of forming an electrical fuse and a metal gate transistor and the related electrical fuse
US20120256267A1 (en) * 2011-04-05 2012-10-11 International Business Machines Corporation Electrical Fuse Formed By Replacement Metal Gate Process
US8377790B2 (en) 2011-01-27 2013-02-19 International Business Machines Corporation Method of fabricating an embedded polysilicon resistor and an embedded eFuse isolated from a substrate
US20130105919A1 (en) * 2011-06-02 2013-05-02 Semiconductor Manufacturing International (Shanghai) Corporation Semiconductor device and method for manufacturing the same
WO2013090638A1 (en) * 2011-12-16 2013-06-20 International Business Machines Corporation Low threshold voltage cmos device
US8492286B2 (en) 2010-11-22 2013-07-23 International Business Machines Corporation Method of forming E-fuse in replacement metal gate manufacturing process
US8497210B2 (en) 2010-10-04 2013-07-30 International Business Machines Corporation Shallow trench isolation chemical mechanical planarization
WO2014011641A1 (en) * 2012-07-09 2014-01-16 Texas Instruments Incorporated Polycrystalline silicon e-fuse and resistor fabrication in a metal replacement gate process
US20140038376A1 (en) * 2010-03-08 2014-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method and Apparatus of Forming ESD Protection Device
US8691659B2 (en) 2011-10-26 2014-04-08 United Microelectronics Corp. Method for forming void-free dielectric layer
US20140131802A1 (en) * 2011-10-03 2014-05-15 International Business Machines Corporation Structure and Method to Form Passive Devices in ETSOI Process Flow
US8779526B2 (en) * 2011-10-28 2014-07-15 United Microelectronics Corp. Semiconductor device
US8981489B2 (en) 2012-12-13 2015-03-17 Samsung Electronics Co., Ltd. Semiconductor devices including a resistor structure and methods of forming the same
KR101504825B1 (en) * 2013-02-21 2015-03-20 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 A method for fabricating a multi-gate device
US20150255540A1 (en) * 2013-06-13 2015-09-10 Stmicroelectronics (Rousset) Sas Component, for example nmos transistor, with active region with relaxed compression stresses, and fabrication method
US9165890B2 (en) 2012-07-16 2015-10-20 Xintec Inc. Chip package comprising alignment mark and method for forming the same
TWI512797B (en) * 2011-01-24 2015-12-11 United Microelectronics Corp Planarization method applied in process of manufacturing semiconductor component
US9219059B2 (en) 2012-09-26 2015-12-22 International Business Machines Corporation Semiconductor structure with integrated passive structures
US9269771B2 (en) 2014-02-28 2016-02-23 Stmicroelectronics (Rousset) Sas Integrated circuit comprising components, for example NMOS transistors, having active regions with relaxed compressive stresses
US9514998B1 (en) 2015-05-11 2016-12-06 International Business Machines Corporation Polysilicon resistor formation in silicon-on-insulator replacement metal gate finFET processes
US9640493B2 (en) 2014-08-29 2017-05-02 Stmicroelectronics (Rousset) Sas Method for fabrication of an integrated circuit rendering a reverse engineering of the integrated circuit more difficult and corresponding integrated circuit
US9799600B1 (en) 2016-09-21 2017-10-24 International Business Machines Corporation Nickel-silicon fuse for FinFET structures
EP3285300A1 (en) * 2012-09-24 2018-02-21 INTEL Corporation Precision resistor for non-planar semiconductor device architecture
WO2018118087A1 (en) * 2016-12-23 2018-06-28 Intel Corporation Metal fuse and self-aligned gate edge (sage) architecture having a metal fuse
US10283361B1 (en) * 2017-11-29 2019-05-07 Taiwan Semiconductor Manufacturing Co., Ltd. Blocking structures on isolation structures
US10510749B1 (en) * 2018-08-08 2019-12-17 Globalfoundries Inc. Resistor within single diffusion break, and related method
US10546853B2 (en) 2018-06-22 2020-01-28 Globalfoundries Inc. Metal resistors integrated into poly-open-chemical-mechanical-polishing (POC) module and method of production thereof
US10784195B2 (en) 2018-04-23 2020-09-22 Globalfoundries Inc. Electrical fuse formation during a multiple patterning process

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5546191B2 (en) * 2009-09-25 2014-07-09 セイコーインスツル株式会社 Semiconductor device
US9324866B2 (en) * 2012-01-23 2016-04-26 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for transistor with line end extension
US8685818B2 (en) * 2010-06-25 2014-04-01 International Business Machines Corporation Method of forming a shallow trench isolation embedded polysilicon resistor
CN102315152A (en) * 2010-07-01 2012-01-11 中国科学院微电子研究所 Isolation area, semiconductor device and forming method thereof
US8377763B2 (en) * 2010-12-06 2013-02-19 International Business Machines Corporation Poly resistor and metal gate fabrication and structure
KR20120081288A (en) * 2011-01-11 2012-07-19 삼성전자주식회사 Integrated circuit device having a resistor and method of manufacturing the same
TWI473239B (en) * 2011-02-01 2015-02-11 Inotera Memories Inc Semiconductor structure and fault location detecting system
TWI548001B (en) * 2011-06-22 2016-09-01 聯華電子股份有限公司 Method for fabricating metal-oxide-semiconductor field-effect transistor
US8658487B2 (en) * 2011-11-17 2014-02-25 United Microelectronics Corp. Semiconductor device and fabrication method thereof
KR102070094B1 (en) 2012-12-13 2020-01-29 삼성전자주식회사 Semiconductor Device Having a Resistor Electrode
US9000564B2 (en) * 2012-12-21 2015-04-07 Stmicroelectronics, Inc. Precision polysilicon resistors
US9093534B2 (en) 2013-07-29 2015-07-28 International Business Machines Corporation Dielectric filler fins for planar topography in gate level
US9613899B1 (en) 2015-11-02 2017-04-04 International Business Machines Corporation Epitaxial semiconductor fuse for FinFET structure
KR102471632B1 (en) * 2015-11-26 2022-11-29 에스케이하이닉스 주식회사 Electronic device and method for fabricating the same
KR102327140B1 (en) 2015-11-30 2021-11-16 삼성전자주식회사 OTP(One Time Programmable) memory device, fabricating method thereof, and electronic apparatus comprising the memory device
US9666527B1 (en) 2015-12-15 2017-05-30 International Business Machines Corporation Middle of the line integrated eFuse in trench EPI structure
US10157770B2 (en) * 2016-11-28 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having isolation structures with different thickness and method of forming the same
US10833067B1 (en) 2019-07-23 2020-11-10 Globalfoundries Inc. Metal resistor structure in at least one cavity in dielectric over TS contact and gate structure
US10832839B1 (en) 2019-09-13 2020-11-10 Globalfoundries Inc. Metal resistors with a non-planar configuration
US11862609B2 (en) * 2021-03-18 2024-01-02 Taiwan Semiconductor Manufacturing Company Limited Semiconductor die including fuse structure and methods for forming the same

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5442223A (en) * 1990-10-17 1995-08-15 Nippondenso Co., Ltd. Semiconductor device with stress relief
US6406956B1 (en) * 2001-04-30 2002-06-18 Taiwan Semiconductor Manufacturing Company Poly resistor structure for damascene metal gate
US6573134B2 (en) * 2001-03-27 2003-06-03 Sharp Laboratories Of America, Inc. Dual metal gate CMOS devices and method for making the same
US7098721B2 (en) * 2004-09-01 2006-08-29 International Business Machines Corporation Low voltage programmable eFuse with differential sensing scheme
US7144784B2 (en) * 2004-07-29 2006-12-05 Freescale Semiconductor, Inc. Method of forming a semiconductor device and structure thereof
US20070099326A1 (en) * 2005-11-03 2007-05-03 International Business Machines Corporation eFuse and methods of manufacturing the same
US20100019344A1 (en) * 2008-07-25 2010-01-28 Taiwan Semiconductor Manufacturing Company, Ltd. Novel poly resistor and poly efuse design for replacement gate technology

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7009222B2 (en) * 2004-04-22 2006-03-07 Taiwan Semiconductor Manufacturing Co., Ltd Protective metal structure and method to protect low-K dielectric layer during fuse blow process
US7241663B2 (en) * 2005-04-19 2007-07-10 Texas Instruments Incorporated Maskless multiple sheet polysilicon resistor
US8304840B2 (en) * 2010-07-29 2012-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Spacer structures of a semiconductor device

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5442223A (en) * 1990-10-17 1995-08-15 Nippondenso Co., Ltd. Semiconductor device with stress relief
US6573134B2 (en) * 2001-03-27 2003-06-03 Sharp Laboratories Of America, Inc. Dual metal gate CMOS devices and method for making the same
US6406956B1 (en) * 2001-04-30 2002-06-18 Taiwan Semiconductor Manufacturing Company Poly resistor structure for damascene metal gate
US7144784B2 (en) * 2004-07-29 2006-12-05 Freescale Semiconductor, Inc. Method of forming a semiconductor device and structure thereof
US7098721B2 (en) * 2004-09-01 2006-08-29 International Business Machines Corporation Low voltage programmable eFuse with differential sensing scheme
US20070099326A1 (en) * 2005-11-03 2007-05-03 International Business Machines Corporation eFuse and methods of manufacturing the same
US20100019344A1 (en) * 2008-07-25 2010-01-28 Taiwan Semiconductor Manufacturing Company, Ltd. Novel poly resistor and poly efuse design for replacement gate technology

Cited By (89)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8097500B2 (en) * 2008-01-14 2012-01-17 International Business Machines Corporation Method and apparatus for fabricating a high-performance band-edge complementary metal-oxide-semiconductor device
US20090181505A1 (en) * 2008-01-14 2009-07-16 Takashi Ando Method and apparatus for fabricating a high-performance band-edge complementary metal-oxide-semiconductor device
US8017997B2 (en) * 2008-12-29 2011-09-13 International Business Machines Corporation Vertical metal-insulator-metal (MIM) capacitor using gate stack, gate spacer and contact via
US20100163949A1 (en) * 2008-12-29 2010-07-01 International Business Machines Corporation Vertical metal-insulator-metal (mim) capacitor using gate stack, gate spacer and contact via
US20100237435A1 (en) * 2009-03-17 2010-09-23 International Business Machines Corporation Method and structure for gate height scaling with high-k/metal gate technology
US8138037B2 (en) 2009-03-17 2012-03-20 International Business Machines Corporation Method and structure for gate height scaling with high-k/metal gate technology
US8227870B2 (en) 2009-03-17 2012-07-24 International Business Machines Corporation Method and structure for gate height scaling with high-k/metal gate technology
US20110076844A1 (en) * 2009-09-30 2011-03-31 Jens Heinrich Superior fill conditions in a replacement gate approach by performing a polishing process based on a sacrificial fill material
US8138038B2 (en) * 2009-09-30 2012-03-20 Globalfoundries Inc. Superior fill conditions in a replacement gate approach by performing a polishing process based on a sacrificial fill material
US8367504B2 (en) * 2009-10-30 2013-02-05 Globalfoundries Inc. Method for forming semiconductor fuses in a semiconductor device comprising metal gates
US20110101460A1 (en) * 2009-10-30 2011-05-05 Jens Heinrich Semiconductor fuses in a semiconductor device comprising metal gates
US20120225524A1 (en) * 2009-12-18 2012-09-06 United Microelectronics Corp. Method of forming an electrical fuse and a metal gate transistor and the related electrical fuse
US20120228718A1 (en) * 2009-12-18 2012-09-13 United Microelectronics Corp. Method of forming an electrical fuse and a metal gate transistor and the related electrical fuse
US8399318B2 (en) * 2009-12-18 2013-03-19 United Microelectronics Corp. Method of forming an electrical fuse and a metal gate transistor and the related electrical fuse
US20110156146A1 (en) * 2009-12-28 2011-06-30 Globalfoundries Inc. eFUSE ENABLEMENT WITH THIN POLYSILICON OR AMORPHOUS-SILICON GATE-STACK FOR HKMG CMOS
US8329515B2 (en) * 2009-12-28 2012-12-11 Globalfoundries Inc. eFUSE enablement with thin polysilicon or amorphous-silicon gate-stack for HKMG CMOS
US8481397B2 (en) * 2010-03-08 2013-07-09 International Business Machines Corporation Polysilicon resistor and E-fuse for integration with metal gate and high-k dielectric
US20140038376A1 (en) * 2010-03-08 2014-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method and Apparatus of Forming ESD Protection Device
US9478633B2 (en) * 2010-03-08 2016-10-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus of forming ESD protection device
US20110215321A1 (en) * 2010-03-08 2011-09-08 International Business Machines Corporation Polysilicon resistor and e-fuse for integration with metal gate and high-k dielectric
DE102010003559A1 (en) * 2010-03-31 2011-10-06 Globalfoundries Dresden Module One Llc & Co. Kg Semiconductor device having metal gate structures made by an exchange gate method and e-fuse having a silicide
US8497554B2 (en) * 2010-03-31 2013-07-30 Globalfoundries Inc. Semiconductor device comprising metal gate structures formed by a replacement gate approach and efuses including a silicide
US20110241117A1 (en) * 2010-03-31 2011-10-06 Globalfoundries Inc. Semiconductor Device Comprising Metal Gate Structures Formed by a Replacement Gate Approach and eFuses Including a Silicide
DE102010003555A1 (en) * 2010-03-31 2011-10-06 Globalfoundries Dresden Module One Llc & Co. Kg Aluminum fuses in a semiconductor device having metal gate electrode structures
US20110241086A1 (en) * 2010-03-31 2011-10-06 Globalfoundries Inc. Aluminum fuses in a semiconductor device comprising metal gate electrode structures
DE102010003559B4 (en) 2010-03-31 2019-07-18 Globalfoundries Dresden Module One Llc & Co. Kg Semiconductor device having metal gate structures made by an exchange gate method and e-fuse having a silicide
US8564089B2 (en) * 2010-03-31 2013-10-22 Globalfoundries Inc. Electronic fuse structure formed using a metal gate electrode material stack configuration
DE102010003555B4 (en) 2010-03-31 2019-12-24 Globalfoundries Dresden Module One Llc & Co. Kg Aluminum fuses in a semiconductor device that has metal gate electrode structures
US8507383B2 (en) 2010-10-04 2013-08-13 International Business Machines Corporation Fabrication of replacement metal gate devices
US8524606B2 (en) 2010-10-04 2013-09-03 International Business Machines Corporation Chemical mechanical planarization with overburden mask
US8497210B2 (en) 2010-10-04 2013-07-30 International Business Machines Corporation Shallow trench isolation chemical mechanical planarization
US8513127B2 (en) 2010-10-04 2013-08-20 International Business Machines Corporation Chemical mechanical planarization processes for fabrication of FinFET devices
US8492286B2 (en) 2010-11-22 2013-07-23 International Business Machines Corporation Method of forming E-fuse in replacement metal gate manufacturing process
US8481415B2 (en) * 2010-12-02 2013-07-09 International Business Machines Corporation Self-aligned contact combined with a replacement metal gate/high-K gate dielectric
US20120139062A1 (en) * 2010-12-02 2012-06-07 International Business Machines Corporation Self-aligned contact combined with a replacement metal gate/high-k gate dielectric
US8759219B2 (en) * 2011-01-24 2014-06-24 United Microelectronics Corp. Planarization method applied in process of manufacturing semiconductor component
US20120187563A1 (en) * 2011-01-24 2012-07-26 United Microelectronics Corp. Planarization method applied in process of manufacturing semiconductor component
TWI512797B (en) * 2011-01-24 2015-12-11 United Microelectronics Corp Planarization method applied in process of manufacturing semiconductor component
US8377790B2 (en) 2011-01-27 2013-02-19 International Business Machines Corporation Method of fabricating an embedded polysilicon resistor and an embedded eFuse isolated from a substrate
US20120256267A1 (en) * 2011-04-05 2012-10-11 International Business Machines Corporation Electrical Fuse Formed By Replacement Metal Gate Process
US8367494B2 (en) * 2011-04-05 2013-02-05 International Business Machines Corporation Electrical fuse formed by replacement metal gate process
US20130105919A1 (en) * 2011-06-02 2013-05-02 Semiconductor Manufacturing International (Shanghai) Corporation Semiconductor device and method for manufacturing the same
US9196697B2 (en) 2011-06-02 2015-11-24 Semiconductor Manufacturing International (Shanghai) Corporation Semiconductor device with an aluminum alloy gate
US8815728B2 (en) * 2011-06-02 2014-08-26 Semiconductor Manufacturing International (Shanghai) Corporation Semiconductor device having metal alloy gate and method for manufacturing the same
US20140131802A1 (en) * 2011-10-03 2014-05-15 International Business Machines Corporation Structure and Method to Form Passive Devices in ETSOI Process Flow
US9570466B2 (en) * 2011-10-03 2017-02-14 Globalfoundries Inc. Structure and method to form passive devices in ETSOI process flow
US8691659B2 (en) 2011-10-26 2014-04-08 United Microelectronics Corp. Method for forming void-free dielectric layer
US8940600B2 (en) 2011-10-28 2015-01-27 United Microelectronics Corp. Method for fabricating semiconductor device
US8779526B2 (en) * 2011-10-28 2014-07-15 United Microelectronics Corp. Semiconductor device
US8941184B2 (en) 2011-12-16 2015-01-27 International Business Machines Corporation Low threshold voltage CMOS device
WO2013090638A1 (en) * 2011-12-16 2013-06-20 International Business Machines Corporation Low threshold voltage cmos device
WO2014011641A1 (en) * 2012-07-09 2014-01-16 Texas Instruments Incorporated Polycrystalline silicon e-fuse and resistor fabrication in a metal replacement gate process
US9165890B2 (en) 2012-07-16 2015-10-20 Xintec Inc. Chip package comprising alignment mark and method for forming the same
EP3285300A1 (en) * 2012-09-24 2018-02-21 INTEL Corporation Precision resistor for non-planar semiconductor device architecture
US10032862B2 (en) 2012-09-26 2018-07-24 International Business Machines Corporation Semiconductor structure with integrated passive structures
US9425079B2 (en) 2012-09-26 2016-08-23 International Business Machines Corporation Semiconductor structure with integrated passive structures
US9219059B2 (en) 2012-09-26 2015-12-22 International Business Machines Corporation Semiconductor structure with integrated passive structures
US10242906B2 (en) 2012-09-26 2019-03-26 International Business Machines Corporation Semiconductor structure with integrated passive structures
US9768195B2 (en) 2012-09-26 2017-09-19 International Business Machines Corporation Semiconductor structure with integrated passive structures
US10580686B2 (en) 2012-09-26 2020-03-03 International Business Machines Corporation Semiconductor structure with integrated passive structures
US9659961B2 (en) 2012-09-26 2017-05-23 International Business Machines Corporation Semiconductor structure with integrated passive structures
US9698159B2 (en) 2012-09-26 2017-07-04 International Business Machines Corporation Semiconductor structure with integrated passive structures
US8981489B2 (en) 2012-12-13 2015-03-17 Samsung Electronics Co., Ltd. Semiconductor devices including a resistor structure and methods of forming the same
KR101504825B1 (en) * 2013-02-21 2015-03-20 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 A method for fabricating a multi-gate device
US9263518B2 (en) * 2013-06-13 2016-02-16 Stmicroelectronics (Rousset) Sas Component, for example NMOS transistor, with active region with relaxed compression stresses, and fabrication method
US20150255540A1 (en) * 2013-06-13 2015-09-10 Stmicroelectronics (Rousset) Sas Component, for example nmos transistor, with active region with relaxed compression stresses, and fabrication method
US10770547B2 (en) 2014-02-28 2020-09-08 Stmicroelectronics (Rousset) Sas Integrated circuit comprising components, for example NMOS transistors, having active regions with relaxed compressive stresses
US9899476B2 (en) 2014-02-28 2018-02-20 Stmicroelectronics (Rousset) Sas Integrated circuit comprising components, for example NMOS transistors, having active regions with relaxed compressive stresses
US9269771B2 (en) 2014-02-28 2016-02-23 Stmicroelectronics (Rousset) Sas Integrated circuit comprising components, for example NMOS transistors, having active regions with relaxed compressive stresses
US10490632B2 (en) 2014-02-28 2019-11-26 Stmicroelectronics (Rousset) Sas Integrated circuit comprising components, for example NMOS transistors, having active regions with relaxed compressive stresses
US10211291B2 (en) 2014-02-28 2019-02-19 Stmicroelectronics (Rousset) Sas Integrated circuit comprising components, for example NMOS transistors, having active regions with relaxed compressive stresses
US9780045B2 (en) 2014-08-29 2017-10-03 Stmicroelectronics (Rousset) Sas Method for fabrication of an integrated circuit rendering a reverse engineering of the integrated circuit more difficult and corresponding integrated circuit
US9640493B2 (en) 2014-08-29 2017-05-02 Stmicroelectronics (Rousset) Sas Method for fabrication of an integrated circuit rendering a reverse engineering of the integrated circuit more difficult and corresponding integrated circuit
US9514998B1 (en) 2015-05-11 2016-12-06 International Business Machines Corporation Polysilicon resistor formation in silicon-on-insulator replacement metal gate finFET processes
US10062643B2 (en) 2016-09-21 2018-08-28 International Business Machines Corporation Nickel-silicon fuse for FinFET structures
US9799600B1 (en) 2016-09-21 2017-10-24 International Business Machines Corporation Nickel-silicon fuse for FinFET structures
US10541203B2 (en) 2016-09-21 2020-01-21 International Business Machines Corporation Nickel-silicon fuse for FinFET structures
US11715737B2 (en) 2016-12-23 2023-08-01 Intel Corporation Metal fuse and self-aligned gate edge (SAGE) architecture having a metal fuse
WO2018118087A1 (en) * 2016-12-23 2018-06-28 Intel Corporation Metal fuse and self-aligned gate edge (sage) architecture having a metal fuse
US11289483B2 (en) 2016-12-23 2022-03-29 Intel Corporation Metal fuse and self-aligned gate edge (SAGE) architecture having a metal fuse
US10930502B2 (en) 2017-11-29 2021-02-23 Taiwan Semiconductor Manufacturing Co., Ltd. Blocking structures on isolation structures
KR102124409B1 (en) 2017-11-29 2020-06-19 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Blocking structures on isolation structures
US10283361B1 (en) * 2017-11-29 2019-05-07 Taiwan Semiconductor Manufacturing Co., Ltd. Blocking structures on isolation structures
US11621165B2 (en) 2017-11-29 2023-04-04 Taiwan Semiconductor Manufacturing Co., Ltd. Blocking structures on isolation structures
KR20190063362A (en) * 2017-11-29 2019-06-07 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Blocking structures on isolation structures
US10784195B2 (en) 2018-04-23 2020-09-22 Globalfoundries Inc. Electrical fuse formation during a multiple patterning process
US11348870B2 (en) 2018-04-23 2022-05-31 Globalfoundries U.S. Inc. Electrical fuse formation during a multiple patterning process
US10546853B2 (en) 2018-06-22 2020-01-28 Globalfoundries Inc. Metal resistors integrated into poly-open-chemical-mechanical-polishing (POC) module and method of production thereof
US10510749B1 (en) * 2018-08-08 2019-12-17 Globalfoundries Inc. Resistor within single diffusion break, and related method

Also Published As

Publication number Publication date
CN101673738A (en) 2010-03-17
TWI433265B (en) 2014-04-01
CN101673738B (en) 2012-09-26
US20110303982A1 (en) 2011-12-15
TW201011860A (en) 2010-03-16
US8334572B2 (en) 2012-12-18

Similar Documents

Publication Publication Date Title
US8334572B2 (en) Resistive device for high-k metal gate technology
KR101785864B1 (en) Structure and method for nfet with high k metal gate
US9406776B2 (en) High temperature gate replacement process
US8658525B2 (en) Methods for a gate replacement process
US7923321B2 (en) Method for gap filling in a gate last process
US8951872B2 (en) High voltage device with reduced leakage
US8586436B2 (en) Method of forming a variety of replacement gate types including replacement gate types on a hybrid semiconductor device
CN108122845B (en) Contact structure manufacturing method and semiconductor device
US8476126B2 (en) Gate stack for high-K/metal gate last process
US20120012948A1 (en) Metal gate semiconductor device
US8969922B2 (en) Field effect transistors and method of forming the same
US11855158B2 (en) Semiconductor device structure having a gate structure and overlying dielectric layer
US20110248351A1 (en) Multi-threshold voltage device and method of making same
US11508845B2 (en) Semiconductor structure and associated fabricating method
TW201724215A (en) Semiconductor devices
US20240096753A1 (en) Semiconductor device including insulating structure surrounding through via and method for forming the same
CN220963349U (en) Semiconductor device with a semiconductor device having a plurality of semiconductor chips
US20240112957A1 (en) Barrier layer for weakened boundary effect
CN113270364A (en) Method for manufacturing semiconductor device

Legal Events

Date Code Title Description
AS Assignment

Owner name: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.,T

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:CHUNG, SHENG-CHEN;THEI, KONG-BENG;CHUANG, HARRY;REEL/FRAME:022618/0798

Effective date: 20081230

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION