US20080273959A1 - Sealed Enclosure for Transporting and Storing Semiconductor Substrates - Google Patents

Sealed Enclosure for Transporting and Storing Semiconductor Substrates Download PDF

Info

Publication number
US20080273959A1
US20080273959A1 US12/096,297 US9629706A US2008273959A1 US 20080273959 A1 US20080273959 A1 US 20080273959A1 US 9629706 A US9629706 A US 9629706A US 2008273959 A1 US2008273959 A1 US 2008273959A1
Authority
US
United States
Prior art keywords
enclosure
container
shells
trays
support means
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US12/096,297
Inventor
Philippe Bunod
Hisanori Kambara
Roland Bernard
Serge Brandolin
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Alcatel Lucent SAS
Original Assignee
Alcatel Lucent SAS
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Alcatel Lucent SAS filed Critical Alcatel Lucent SAS
Assigned to ALCATEL LUCENT reassignment ALCATEL LUCENT ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: KAMBARA, HISANORI, BERNARD, ROLAND, BRANDOLIN, SERGE, BUNOD, PHILIPPE
Publication of US20080273959A1 publication Critical patent/US20080273959A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67778Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving loading and unloading of wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67376Closed carriers characterised by sealing arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67383Closed carriers characterised by substrate supports
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67386Closed carriers characterised by the construction of the closed carrier

Definitions

  • the present invention relates to the field of substrate transport and storage, in particular during the various steps of fabricating microelectronic components, e.g. for making the components of micro-electrical mechanical systems (MEMS) or of opto-micro-electrical mechanical systems (MOEMS).
  • MEMS micro-electrical mechanical systems
  • MOEMS opto-micro-electrical mechanical systems
  • the invention relates more particularly to a sealed enclosure for transporting and storing such substrates, which are generally in the form of glass masks of polygonal shape or of semiconductor-material wafers of circular shape, such as silicon wafers.
  • the enclosures contain one substrate (a silicon wafer having a diameter of 200 millimeters (mm) or of 300 mm) or a plurality of substrates in a stack.
  • the sealed enclosures commonly used contain one to 25 substrates. Each substrate rests on an individual support and the substrates are separated from one another by a space that is minimized in order to obtain storage enclosures of small dimensions.
  • Such sealed enclosures are coupled with inlet/outlet interfaces of fabrication equipment with the help of an airlock and robotic means.
  • a first robot transports the substrate from the transport sealed enclosure to a load chamber.
  • the load chamber is put to low pressure.
  • the robot of the transfer chamber transports the substrate from the load chamber to a process chamber.
  • the space available under the substrate, when placed in the load chamber or in the transport enclosure, must be sufficient to allow the arm of the robot initially to pass under the substrate. Thereafter, the arm must be capable of rising sufficiently for the substrate to rest no longer on its support but instead on the robot's arm, which can then move the substrate towards the process chamber.
  • sealed enclosures capable of satisfying the above-mentioned constraints are large in volume. Unfortunately, the space available for storage in a white room is small because of the cost of making and maintaining a white room. The quantity of enclosures, and thus of substrates, that can be stored therein is limited. It would therefore be appropriate to reduce the size of such sealed enclosures.
  • Patent document US-2002/018 703 describes an installation comprising a process chamber connected to a transfer chamber communicating with load chambers, each containing a cassette for temporary storage of semiconductor substrates.
  • the load chambers are connected by substrate transport means to a shelf having storage compartments for receiving the substrates.
  • a robot transfers the substrate from the storage compartment to the cassette situated in the load chamber.
  • a mechanism included in the cassette comprises a plurality of trays and means for supporting the trays and guiding their displacement.
  • the tray supporting the substrate is moved away from the other trays by support and guide means that move away the stacked trays on either side of the selected tray.
  • a locking device locks the tray in a position such that it is spaced apart from the adjacent trays situated above it and below it. The tray is thus accessible for manipulation by the robot.
  • the sealed enclosure must enable a controlled atmosphere to be conserved around the substrates in order to avoid them being contaminated during the transport and storage stages. Wafers can remain for several weeks in the semiconductor fabrication unit between the various process steps. Throughout this time, the semiconductor substrates need to be protected against any risk of pollution coming from the white room, and also from the substrate itself. That is one of the reasons why provision is made to transport and store them in sealed enclosures under a controlled atmosphere.
  • An object of the present invention is to propose a sealed enclosure structure for semiconductor substrate transport and storage while nevertheless presenting space around the substrate enabling it to be inserted into and/or extracted from the enclosure by the robotic means commonly used in existing installations.
  • the enclosure of the invention must enable a controlled atmosphere to be conserved around the substrates in order to prevent them being contaminated during transport and storage stages, by ensuring sufficient sealing in a manner that is simple and inexpensive.
  • transport enclosure must be capable of coupling with the loading/unloading interfaces of common fabrication equipment.
  • the present invention provides a sealed enclosure for transporting and storing semiconductor substrates, the enclosure comprising a sealed container and support means placed inside said container and including trays for supporting said substrates, the enclosure being characterized in that said container comprises two touching half-shells that move apart to open said container, and in that each end of said support means is mechanically secured to a respective one of said half-shells.
  • the total height of the support means varies depending on whether the container is open or closed, the trays being spaced apart by equal distances.
  • the sealed enclosure of the present invention has the advantage of being compact once closed, thereby facilitating storage and transport. Because of its small inside volume, controlling its atmosphere is simpler, particularly with respect to pollution. In addition, its weight and its manufacturing costs are reduced, and it requires an interface of small size with the installation.
  • the support means include alternating segments and ball joints. More preferably, the alternation of segments and ball joints has a segment at each end, each of said end segments being mechanically connected to a respective one of said half-shells.
  • every other ball joint carries a tray on which a said substrate rests.
  • the total height of the support means preferably varies by a concertina movement of the is alternating segments and ball joints.
  • the half-shells making up the container are joined together via a flexible gasket.
  • the support means compress said flexible gasket in order to seal said container.
  • the container includes at least one handle and/or a locking device.
  • the locking device preferably co-operates with the handle.
  • the present invention also provides a method of extracting a substrate from an enclosure as described above.
  • the low-pressure enclosure is placed in a load chamber that is likewise at low pressure.
  • the method comprises the following steps:
  • the present invention also provides a method of inserting a substrate in an enclosure as described above.
  • the low-pressure enclosure is placed in a load chamber at low pressure.
  • the method comprises the following steps:
  • FIG. 1 is a fragmentary diagrammatic view in vertical section of the transport and storage enclosure of the invention in the storage position;
  • FIG. 2 is a fragmentary diagrammatic view in vertical section of the FIG. 1 enclosure while a substrate is being inserted or extracted;
  • FIGS. 3A , 3 B, and 3 C are fragmentary diagrammatic views in section showing a substrate being extracted from an enclosure of the invention for transfer into a process chamber;
  • FIG. 4 shows a particular embodiment of the invention.
  • FIG. 5 is a diagrammatic horizontal section view of the transport and storage enclosure of the invention.
  • FIG. 1 there can be seen an enclosure 1 of the invention in its closed position for storage.
  • FIG. 2 shows the same enclosure 1 in the open position during an operation of extracting or inserting a substrate wafer, while the enclosure 1 is inside a load/unload chamber of a fabrication and processing installation.
  • Identical references designate the same elements as in FIG. 1 .
  • the enclosure 1 comprises a container 2 made up of a top half-shell 2 a and a bottom half-shell 2 b .
  • the two half-shells 2 a and 2 b are in contact via a flexible gasket 3 which is compressed to seal the enclosure 1 .
  • the circularly arcuate shape of the half-shells 2 a and 2 b enables them to withstand better the pressure of the outside atmosphere.
  • the enclosure 1 is provided with a manipulation handle 4 made up of two portions 4 a and 4 b connected respectively to the two half-shells 2 a and 2 b .
  • the handle 4 is provided with a locking device 5 to prevent any untimely opening.
  • the locking device 5 can be opened by a robot inside the load chamber of the processing installation once it is evacuated.
  • the enclosure 1 may advantageously be provided with legs 6 for stabilizing it on a plane surface and facilitating its alignment during automatic manipulations.
  • the support means 7 for supporting the substrate wafers 8 are flexible and capable of deploying when the enclosure 1 is opened, as shown in FIG. 2 , so as to provide accessibility to the substrate wafers 8 for standard robotic means. When the enclosure 1 is closed, the support means 7 fold so as to minimize their height.
  • the support means 7 comprise movable segments 7 a interconnected by ball joints 7 b , 7 c .
  • the set of movable segments 7 a and of ball joints 7 b , 7 c uniting them is fastened by fastener segments 7 d and 7 e respectively to the top half-shell 2 a and to the bottom half-shell 2 b of the enclosure 1 .
  • the set of movable segments 7 a and of ball joints 7 b , 7 c folds concertina-like so that every other ball joint 7 b is offset towards the center of the enclosure 1 , while the ball joints 7 c between them are offset outwards.
  • the ball joints 7 b that move towards the center of the enclosure 1 during closure carry trays 9 .
  • the enclosure 1 In the storage position, the enclosure 1 is closed and the trays 9 are spaced apart by a distance ds. Once the enclosure 1 has been opened for loading and/or unloading substrate wafers 8 , the trays 9 are spaced apart at a distance dc that is much greater than ds.
  • a stud 10 is placed on each tray 9 .
  • the substrate wafers 8 rest on the studs 10 and they are held laterally by stop pieces 11 .
  • the studs 10 must present surfaces that are perfectly clean and free from polluting particles.
  • a portion in relief 12 is placed under the tray 9 in register with the stud 10 .
  • the portions in relief 12 are flexible parts, e.g. of the spring type or else they are constituted by an elastic material such as a silicone or an elastomer.
  • each portion in relief 12 bears resiliently against the substrate wafer 8 situated immediately beneath the tray 9 carrying the portion in relief.
  • the portions in relief 12 thus enable the substrate wafers 8 to be held in stationary position in order to prevent any damage during displacement of the enclosure 1 and in order to prevent them deforming during storage periods.
  • at least three trays 9 are required for the substrates 8 to be held properly.
  • FIGS. 3A to 3C show the successive steps in unloading a substrate wafer.
  • the enclosure 1 in the closed position and containing an atmosphere at low pressure is placed in the load/unload chamber 30 associated with the transfer chamber 31 of a fabrication or process installation for semiconductor substrates.
  • the load chamber 30 contains a mechanical compression system 32 enabling the enclosure 1 to be opened and closed, and the transfer chamber 31 contains a manipulator robot 33 enabling the substrate 8 to be grasped and displaced.
  • the compression system 32 comprises an extensible arm 32 a having an end carrying means 32 b for locking and/or unlocking the locking device 5 placed in the handle 4 of the enclosure 1 , and associated means 32 c for opening the enclosure 1 by raising the top half-shell 2 a .
  • the arms 32 a and the associated means 32 c are carried by a common base 32 d fastened to the wall of the load chamber 30 .
  • the enclosure 1 In order to load one or more substrates 8 into an empty enclosure 1 , the enclosure 1 is opened at atmospheric pressure in the white room, and then placed in the load/unload chamber 30 of the installation. The load chamber 30 is closed and evacuated, thereby also evacuating the open enclosure 1 . Once the enclosure 1 and the load chamber 30 have reached a pressure that is sufficiently low, the transfer chamber 31 , which is also at low pressure, opens. The manipulator robot 33 has enough room to move one or more substrates into the enclosure. Once the substrate(s) 8 is/are placed inside the enclosure 1 , a mechanical compressor system 32 of the actuator or spring type bears down on the transport enclosure 1 , thereby:
  • the size of the enclosure 1 is minimized by the applied compression, thereby making it easy to transport to a storage location or to any other compatible equipment.
  • the number of enclosures that can be stored in a given volume is thus substantially increased compared with the prior art.
  • the load chamber 30 is progressively returned to atmospheric pressure, thereby having the effect of keeping the enclosure 1 in the closed position because of the pressure difference between atmospheric pressure in the load chamber 30 and the low pressure inside the enclosure 1 .
  • the mechanical compression system 32 can then be deactivated since its effect is naturally compensated by the pressure difference. Nevertheless, in order to provide security against possible leaks, the mechanical compression system 32 can remain activated while the load chamber 30 is being opened, thus enabling the loaded enclosure 1 to be retrieved with low internal pressure.
  • the sealing of the enclosure 1 is then maintained naturally by the pressure difference between the inside of the enclosure 1 which is at low pressure and the outside environment in the white room which is at atmospheric pressure.
  • the locking device 5 enables the gasket 3 to be kept under compression as are the flexible support means 7 inside the enclosure 1 , and secondly the stress exerted by the external atmospheric pressure in the white room which applies light mechanical compression all around the enclosure 1 .
  • the closed enclosure 1 In order to unload one or more substrates 8 , the closed enclosure 1 , while maintained at low pressure and in compression by the locking device 5 , is inserted into the load chamber 30 which is at the atmospheric pressure of the white room, as shown in FIG. 3A .
  • the load chamber 30 is then evacuated progressively.
  • the enclosure 1 will expand little by little. So long as the pressure inside the chamber 30 is greater than the pressure inside the enclosure 1 , pressure exerts a force on the container 2 that opposes opening of the enclosure 1 .
  • the handle 4 is unlocked once the pressure inside the load chamber 30 is equal to the pressure inside the enclosure 1 .
  • the height to which the enclosure 1 opens is limited by the above-described compression system 32 acting as a high abutment ( FIG. 3B ).
  • the manipulator robot 33 can come and find the substrates 8 that have become accessible.
  • An arm of the manipulator robot 33 is inserted, as shown by arrow 34 in FIG. 3A , between two trays which are spaced apart sufficiently for this to be possible.
  • the arm lifts the substrate that is placed above it as shown by arrow 35 in FIG. 3B .
  • the manipulator robot 38 carrying the substrate then withdraws along arrow 36 shown in FIG. 3C .
  • the enclosure 1 is fitted with a pressure sensor 40 that measures the pressure inside the enclosure 1 .
  • the measurement is transmitted by a signal at radio frequency (RF). It serves to determine the exact moment the enclosure 1 opens and it can also serve to provide continuous monitoring of the environment inside the enclosure 1 .
  • the signal receiver may be positioned either inside the chamber 30 or outside the installation.
  • the system may also be fitted with temperature sensors, humidity sensors, and/or with sensors for measuring some other property of gas.
  • FIG. 5 is a horizontal section view of the enclosure 1 seen from above.
  • the support means 7 are placed in a triangle configuration around the substrate 8 so as to hold it in place while the enclosure 1 is being manipulated.
  • the arm of the manipulator robot 33 is placed on the side of the container 2 of the enclosure 1 that is remote from its handle 4 provided with the locking device 5 . Once compressed, the gasket 3 projects into the inside of the enclosure 1 and contributes to holding the substrate 8 .

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Details Of Rigid Or Semi-Rigid Containers (AREA)
  • Closures For Containers (AREA)
  • Packaging Frangible Articles (AREA)

Abstract

The present invention relates to a sealed enclosure for transporting and storing semiconductor substrates, the enclosure comprising a sealed container and support means placed inside the container and including trays for supporting substrates. The container comprises two touching half-shells that can be spaced apart in order to open the container, and each end of said support means is secured mechanically to a respective one of said half-shells. The total height of said means varies depending on whether the container is opened or closed, the trays being separated from one another by equal distances. Said support means preferably comprise an alternation of segments and ball joints having end segments that are mechanically connected to respective ones of the half-shells. Under such circumstances, the total height of said support means varies by the alternation of segments and ball joints moving concertina-like.

Description

  • The present invention relates to the field of substrate transport and storage, in particular during the various steps of fabricating microelectronic components, e.g. for making the components of micro-electrical mechanical systems (MEMS) or of opto-micro-electrical mechanical systems (MOEMS). The invention relates more particularly to a sealed enclosure for transporting and storing such substrates, which are generally in the form of glass masks of polygonal shape or of semiconductor-material wafers of circular shape, such as silicon wafers.
  • Between the various fabrication steps, substrates are transported and stored in sealed enclosures containing a controlled atmosphere that protects them from the pollution present in the atmosphere of white rooms. Usually, the enclosures contain one substrate (a silicon wafer having a diameter of 200 millimeters (mm) or of 300 mm) or a plurality of substrates in a stack. At present, the sealed enclosures commonly used contain one to 25 substrates. Each substrate rests on an individual support and the substrates are separated from one another by a space that is minimized in order to obtain storage enclosures of small dimensions.
  • Such sealed enclosures are coupled with inlet/outlet interfaces of fabrication equipment with the help of an airlock and robotic means. A first robot transports the substrate from the transport sealed enclosure to a load chamber. The load chamber is put to low pressure. Thereafter the robot of the transfer chamber transports the substrate from the load chamber to a process chamber. The space available under the substrate, when placed in the load chamber or in the transport enclosure, must be sufficient to allow the arm of the robot initially to pass under the substrate. Thereafter, the arm must be capable of rising sufficiently for the substrate to rest no longer on its support but instead on the robot's arm, which can then move the substrate towards the process chamber.
  • It will be understood that sealed enclosures capable of satisfying the above-mentioned constraints are large in volume. Unfortunately, the space available for storage in a white room is small because of the cost of making and maintaining a white room. The quantity of enclosures, and thus of substrates, that can be stored therein is limited. It would therefore be appropriate to reduce the size of such sealed enclosures.
  • Patent document US-2002/018 703 describes an installation comprising a process chamber connected to a transfer chamber communicating with load chambers, each containing a cassette for temporary storage of semiconductor substrates. The load chambers are connected by substrate transport means to a shelf having storage compartments for receiving the substrates. A robot transfers the substrate from the storage compartment to the cassette situated in the load chamber. A mechanism included in the cassette comprises a plurality of trays and means for supporting the trays and guiding their displacement. To insert and/or extract a substrate into and/or from the cassette, the tray supporting the substrate is moved away from the other trays by support and guide means that move away the stacked trays on either side of the selected tray. A locking device locks the tray in a position such that it is spaced apart from the adjacent trays situated above it and below it. The tray is thus accessible for manipulation by the robot.
  • In addition, the sealed enclosure must enable a controlled atmosphere to be conserved around the substrates in order to avoid them being contaminated during the transport and storage stages. Wafers can remain for several weeks in the semiconductor fabrication unit between the various process steps. Throughout this time, the semiconductor substrates need to be protected against any risk of pollution coming from the white room, and also from the substrate itself. That is one of the reasons why provision is made to transport and store them in sealed enclosures under a controlled atmosphere.
  • An object of the present invention is to propose a sealed enclosure structure for semiconductor substrate transport and storage while nevertheless presenting space around the substrate enabling it to be inserted into and/or extracted from the enclosure by the robotic means commonly used in existing installations.
  • In addition, the enclosure of the invention must enable a controlled atmosphere to be conserved around the substrates in order to prevent them being contaminated during transport and storage stages, by ensuring sufficient sealing in a manner that is simple and inexpensive.
  • Finally, the transport enclosure must be capable of coupling with the loading/unloading interfaces of common fabrication equipment.
  • The present invention provides a sealed enclosure for transporting and storing semiconductor substrates, the enclosure comprising a sealed container and support means placed inside said container and including trays for supporting said substrates, the enclosure being characterized in that said container comprises two touching half-shells that move apart to open said container, and in that each end of said support means is mechanically secured to a respective one of said half-shells.
  • Thus, the total height of the support means varies depending on whether the container is open or closed, the trays being spaced apart by equal distances.
  • The sealed enclosure of the present invention has the advantage of being compact once closed, thereby facilitating storage and transport. Because of its small inside volume, controlling its atmosphere is simpler, particularly with respect to pollution. In addition, its weight and its manufacturing costs are reduced, and it requires an interface of small size with the installation.
  • In a particular embodiment, the support means include alternating segments and ball joints. More preferably, the alternation of segments and ball joints has a segment at each end, each of said end segments being mechanically connected to a respective one of said half-shells.
  • Advantageously, every other ball joint carries a tray on which a said substrate rests. Under such circumstances, the total height of the support means preferably varies by a concertina movement of the is alternating segments and ball joints.
  • The half-shells making up the container are joined together via a flexible gasket. On retracting, the support means compress said flexible gasket in order to seal said container.
  • In order to make the enclosure easier to manipulate, the container includes at least one handle and/or a locking device. The locking device preferably co-operates with the handle.
  • The present invention also provides a method of extracting a substrate from an enclosure as described above. The low-pressure enclosure is placed in a load chamber that is likewise at low pressure. The method comprises the following steps:
      • increasing the distance between the trays by moving the half-shells apart;
      • introducing robotic means between two contiguous trays;
      • lifting the substrate placed above the robotic means;
      • extracting the robotic means together with the substrate; and
      • reducing the distance between the remaining trays by uniting the half-shells.
  • The present invention also provides a method of inserting a substrate in an enclosure as described above. The low-pressure enclosure is placed in a load chamber at low pressure. The method comprises the following steps:
      • increasing the distance between the trays by moving the half-shells apart;
      • inserting robotic means carrying the substrate between two contiguous trays;
      • placing the substrate on the tray located beneath the robotic means;
      • extracting the robotic means; and
      • reducing the distance between the trays by uniting the half-shells.
  • Other characteristics and advantages of the present invention appear from the following description of embodiments given by way of non-limiting illustration and from the accompanying drawings, in which:
  • FIG. 1 is a fragmentary diagrammatic view in vertical section of the transport and storage enclosure of the invention in the storage position;
  • FIG. 2 is a fragmentary diagrammatic view in vertical section of the FIG. 1 enclosure while a substrate is being inserted or extracted;
  • FIGS. 3A, 3B, and 3C are fragmentary diagrammatic views in section showing a substrate being extracted from an enclosure of the invention for transfer into a process chamber;
  • FIG. 4 shows a particular embodiment of the invention; and
  • FIG. 5 is a diagrammatic horizontal section view of the transport and storage enclosure of the invention.
  • In an embodiment of the invention shown in FIG. 1, there can be seen an enclosure 1 of the invention in its closed position for storage. FIG. 2 shows the same enclosure 1 in the open position during an operation of extracting or inserting a substrate wafer, while the enclosure 1 is inside a load/unload chamber of a fabrication and processing installation. Identical references designate the same elements as in FIG. 1.
  • The enclosure 1 comprises a container 2 made up of a top half-shell 2 a and a bottom half-shell 2 b. The two half- shells 2 a and 2 b are in contact via a flexible gasket 3 which is compressed to seal the enclosure 1. The circularly arcuate shape of the half- shells 2 a and 2 b enables them to withstand better the pressure of the outside atmosphere. The enclosure 1 is provided with a manipulation handle 4 made up of two portions 4 a and 4 b connected respectively to the two half- shells 2 a and 2 b. The handle 4 is provided with a locking device 5 to prevent any untimely opening. The locking device 5 can be opened by a robot inside the load chamber of the processing installation once it is evacuated. The enclosure 1 may advantageously be provided with legs 6 for stabilizing it on a plane surface and facilitating its alignment during automatic manipulations.
  • Inside the enclosure 1, there are support means 7 for supporting substrate wafers 8. The support means 7 for supporting the substrate wafers 8 are flexible and capable of deploying when the enclosure 1 is opened, as shown in FIG. 2, so as to provide accessibility to the substrate wafers 8 for standard robotic means. When the enclosure 1 is closed, the support means 7 fold so as to minimize their height.
  • The support means 7 comprise movable segments 7 a interconnected by ball joints 7 b, 7 c. The set of movable segments 7 a and of ball joints 7 b, 7 c uniting them is fastened by fastener segments 7 d and 7 e respectively to the top half-shell 2 a and to the bottom half-shell 2 b of the enclosure 1. While the enclosure 1 is being closed, the set of movable segments 7 a and of ball joints 7 b, 7 c folds concertina-like so that every other ball joint 7 b is offset towards the center of the enclosure 1, while the ball joints 7 c between them are offset outwards. The ball joints 7 b that move towards the center of the enclosure 1 during closure carry trays 9. In the storage position, the enclosure 1 is closed and the trays 9 are spaced apart by a distance ds. Once the enclosure 1 has been opened for loading and/or unloading substrate wafers 8, the trays 9 are spaced apart at a distance dc that is much greater than ds.
  • A stud 10 is placed on each tray 9. The substrate wafers 8 rest on the studs 10 and they are held laterally by stop pieces 11. The studs 10 must present surfaces that are perfectly clean and free from polluting particles. A portion in relief 12 is placed under the tray 9 in register with the stud 10. The portions in relief 12 are flexible parts, e.g. of the spring type or else they are constituted by an elastic material such as a silicone or an elastomer. When the enclosure 1 is closed in the storage position, each portion in relief 12 bears resiliently against the substrate wafer 8 situated immediately beneath the tray 9 carrying the portion in relief. The portions in relief 12 thus enable the substrate wafers 8 to be held in stationary position in order to prevent any damage during displacement of the enclosure 1 and in order to prevent them deforming during storage periods. For the substrates 8 to be held properly, at least three trays 9 are required.
  • FIGS. 3A to 3C show the successive steps in unloading a substrate wafer. The enclosure 1 in the closed position and containing an atmosphere at low pressure is placed in the load/unload chamber 30 associated with the transfer chamber 31 of a fabrication or process installation for semiconductor substrates.
  • The load chamber 30 contains a mechanical compression system 32 enabling the enclosure 1 to be opened and closed, and the transfer chamber 31 contains a manipulator robot 33 enabling the substrate 8 to be grasped and displaced. The compression system 32 comprises an extensible arm 32 a having an end carrying means 32 b for locking and/or unlocking the locking device 5 placed in the handle 4 of the enclosure 1, and associated means 32 c for opening the enclosure 1 by raising the top half-shell 2 a. The arms 32 a and the associated means 32 c are carried by a common base 32 d fastened to the wall of the load chamber 30.
  • There follows an explanation of how a substrate 8 is inserted, transported, and extracted using the transport and storage enclosure 1 of the present invention.
  • In order to load one or more substrates 8 into an empty enclosure 1, the enclosure 1 is opened at atmospheric pressure in the white room, and then placed in the load/unload chamber 30 of the installation. The load chamber 30 is closed and evacuated, thereby also evacuating the open enclosure 1. Once the enclosure 1 and the load chamber 30 have reached a pressure that is sufficiently low, the transfer chamber 31, which is also at low pressure, opens. The manipulator robot 33 has enough room to move one or more substrates into the enclosure. Once the substrate(s) 8 is/are placed inside the enclosure 1, a mechanical compressor system 32 of the actuator or spring type bears down on the transport enclosure 1, thereby:
      • compressing the flexible support means 7 for supporting substrates 8 that are placed inside the enclosure 1 so that the two half- shells 2 a and 2 b come into contact with each other, meeting in sealed manner by virtue of the gasket 3, and being held together by means of the locking device 5; and
      • holding the substrates 8 inside the enclosure 1 by a mechanical positioning and holding system comprising the portions in relief 12 and the studs 10 associated with the centering and holding pieces 11.
  • The size of the enclosure 1 is minimized by the applied compression, thereby making it easy to transport to a storage location or to any other compatible equipment. The number of enclosures that can be stored in a given volume is thus substantially increased compared with the prior art.
  • Finally, with the enclosure 1 being held in compression by the mechanical compression system 32, the load chamber 30 is progressively returned to atmospheric pressure, thereby having the effect of keeping the enclosure 1 in the closed position because of the pressure difference between atmospheric pressure in the load chamber 30 and the low pressure inside the enclosure 1. The mechanical compression system 32 can then be deactivated since its effect is naturally compensated by the pressure difference. Nevertheless, in order to provide security against possible leaks, the mechanical compression system 32 can remain activated while the load chamber 30 is being opened, thus enabling the loaded enclosure 1 to be retrieved with low internal pressure. The sealing of the enclosure 1 is then maintained naturally by the pressure difference between the inside of the enclosure 1 which is at low pressure and the outside environment in the white room which is at atmospheric pressure. Two levels of security are put into place to avoid any leaks: firstly the locking device 5 enables the gasket 3 to be kept under compression as are the flexible support means 7 inside the enclosure 1, and secondly the stress exerted by the external atmospheric pressure in the white room which applies light mechanical compression all around the enclosure 1.
  • In order to unload one or more substrates 8, the closed enclosure 1, while maintained at low pressure and in compression by the locking device 5, is inserted into the load chamber 30 which is at the atmospheric pressure of the white room, as shown in FIG. 3A. The load chamber 30 is then evacuated progressively. The enclosure 1 will expand little by little. So long as the pressure inside the chamber 30 is greater than the pressure inside the enclosure 1, pressure exerts a force on the container 2 that opposes opening of the enclosure 1. The handle 4 is unlocked once the pressure inside the load chamber 30 is equal to the pressure inside the enclosure 1. The height to which the enclosure 1 opens is limited by the above-described compression system 32 acting as a high abutment (FIG. 3B).
  • Once the enclosure 1 is in the open position, the manipulator robot 33 can come and find the substrates 8 that have become accessible. An arm of the manipulator robot 33 is inserted, as shown by arrow 34 in FIG. 3A, between two trays which are spaced apart sufficiently for this to be possible. The arm lifts the substrate that is placed above it as shown by arrow 35 in FIG. 3B. The manipulator robot 38 carrying the substrate then withdraws along arrow 36 shown in FIG. 3C.
  • In a particular embodiment shown in FIG. 4, the enclosure 1 is fitted with a pressure sensor 40 that measures the pressure inside the enclosure 1. The measurement is transmitted by a signal at radio frequency (RF). It serves to determine the exact moment the enclosure 1 opens and it can also serve to provide continuous monitoring of the environment inside the enclosure 1. The signal receiver may be positioned either inside the chamber 30 or outside the installation. In a variant, the system may also be fitted with temperature sensors, humidity sensors, and/or with sensors for measuring some other property of gas.
  • FIG. 5 is a horizontal section view of the enclosure 1 seen from above. The support means 7 are placed in a triangle configuration around the substrate 8 so as to hold it in place while the enclosure 1 is being manipulated. The arm of the manipulator robot 33 is placed on the side of the container 2 of the enclosure 1 that is remote from its handle 4 provided with the locking device 5. Once compressed, the gasket 3 projects into the inside of the enclosure 1 and contributes to holding the substrate 8.

Claims (13)

1. A sealed enclosure for transporting and storing semiconductor substrates, the enclosure comprising a sealed container and support means placed inside said container and including trays for supporting said substrates, the enclosure being characterized in that said container comprises two touching half-shells that move apart to open said container, and in that each end of said support means is mechanically secured to a respective one of said half-shells.
2. An enclosure according to claim 1, in which the total height of said support means varies depending on whether said container is open or closed, said trays being spaced apart at equal distances.
3. An enclosure according to claim 1, in which said support means include alternating segments and ball joints.
4. An enclosure according to claim 3, in which said alternation of segments and ball joints has a segment at each end, each of said end segments being mechanically connected to a respective one of said half-shells.
5. An enclosure according to claim 3, in which the total height of said support means varies by the alternating segments and ball joints moving concertina-like.
6. An enclosure according to claim 3, in which every other ball joint carries a tray on which a said substrate rests.
7. An enclosure according to claim 1, in which said half-shells are united via a flexible gasket.
8. An enclosure according to claim 7, in which said support means compress said flexible gasket to seal said container.
9. An enclosure according to claim 1, in which said container includes at least one handle.
10. An enclosure according to claim 1, in which said container includes a locking device.
11. An enclosure according to claim 10, in which said container includes at least one handle and said locking device co-operates with said handle.
12. A method of extracting a substrate from a low-pressure enclosure placed in a low-pressure load chamber, said enclosure comprising a sealed container and support means placed inside said container and including trays for supporting said substrates, said container comprising two touching half-shells that move apart to open said container, each end of said support means being mechanically secured to a respective one of said half-shells, the method being characterized in that it comprises the following steps:
increasing the distance between the trays by moving the half-shells apart;
introducing robotic means between two contiguous trays;
lifting the substrate placed above the robotic means;
extracting the robotic means together with the substrate; and
reducing the distance between the remaining trays by uniting the half-shells.
13. A method of inserting a substrate in a low-pressure enclosure placed in a low-pressure load chamber, said enclosure comprising a sealed container and support means placed inside said container and including trays for supporting said substrates, said container comprising two touching half-shells that move apart to open said container, each end of said support means being mechanically secured to a respective one of said half-shells, the method being characterized in that it comprises the following steps:
increasing the distance between the trays by moving the half-shells apart;
inserting robotic means carrying the substrate between two contiguous trays;
placing the substrate on the tray located beneath the robotic means;
extracting the robotic means; and
reducing the distance between the trays by uniting the half-shells.
US12/096,297 2005-12-09 2006-12-07 Sealed Enclosure for Transporting and Storing Semiconductor Substrates Abandoned US20080273959A1 (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
FR0553815A FR2896912B1 (en) 2005-12-09 2005-12-09 SEALED ENCLOSURE FOR TRANSPORTING AND STORING SEMICONDUCTOR SUBSTRATES
FR0553815 2005-12-09
PCT/EP2006/069454 WO2007065936A1 (en) 2005-12-09 2006-12-07 A sealed enclosure for transporting and storing semiconductor substrates

Publications (1)

Publication Number Publication Date
US20080273959A1 true US20080273959A1 (en) 2008-11-06

Family

ID=36571994

Family Applications (1)

Application Number Title Priority Date Filing Date
US12/096,297 Abandoned US20080273959A1 (en) 2005-12-09 2006-12-07 Sealed Enclosure for Transporting and Storing Semiconductor Substrates

Country Status (6)

Country Link
US (1) US20080273959A1 (en)
EP (1) EP1973153A1 (en)
JP (1) JP2009518837A (en)
CN (1) CN101326624A (en)
FR (1) FR2896912B1 (en)
WO (1) WO2007065936A1 (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080298935A1 (en) * 2007-06-04 2008-12-04 Michael Lering Wafer Cassette, Wafer Cassette Pod and Minienvironment Chamber Loadport Arrangement with a Minienvironment Chamber and a Wafer Cassette Pod with a Wafer Cassette
US20090081014A1 (en) * 2007-09-24 2009-03-26 Intel Corporation Invertible front opening unified pod
USD749531S1 (en) * 2014-06-09 2016-02-16 Gudeng Precision Industrial Co., Ltd. Diffusion assembly for front opening unified pod
CN112635372A (en) * 2019-10-09 2021-04-09 英飞凌科技股份有限公司 Transport system
US20220051911A1 (en) * 2020-08-12 2022-02-17 Dly Technologies Inc. Adjustable device and an adjustable storage box

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103187347B (en) * 2011-12-29 2016-10-05 中建材浚鑫科技股份有限公司 A kind of method unloading cell silicon chip
CN103811392B (en) * 2014-03-10 2017-01-11 上海华虹宏力半导体制造有限公司 Mounting method for wafer Y-piece
CN107310845B (en) * 2017-08-18 2018-12-21 泉州台商投资区点优网络科技有限公司 Frame is left in filter plate for press filter recycling concentratedly
JP7468925B2 (en) 2021-07-06 2024-04-16 大立▲ギョク▼科技有限公司 Expandable storage mechanism and storage box capable of storing the expandable storage mechanism
CN114284191B (en) * 2022-03-02 2022-08-02 华芯半导体研究院(北京)有限公司 Film box, bearing device, taking and placing device and semiconductor processing equipment

Citations (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2725087A (en) * 1954-02-23 1955-11-29 Clifford S Potter Collapsible container
US3001845A (en) * 1959-10-23 1961-09-26 Daniel T Thompson Collapsible drawer file
US3391698A (en) * 1967-07-13 1968-07-09 Wiles Harry Holding device for expandable files
US3461916A (en) * 1966-12-13 1969-08-19 Exxon Production Research Co Flexible flowline
US3940174A (en) * 1974-01-31 1976-02-24 Mayes Frank E Poultry trailer
US4129211A (en) * 1976-09-07 1978-12-12 Monsanto Company Wafer packaging system
US4135625A (en) * 1976-07-12 1979-01-23 Merrill Kenneth V Multi-compartment container for fragile disks
US5054418A (en) * 1989-05-23 1991-10-08 Union Oil Company Of California Cage boat having removable slats
US5255797A (en) * 1992-02-26 1993-10-26 Fluoroware, Inc. Wafer carrier with wafer retaining cushions
US5314068A (en) * 1991-07-12 1994-05-24 Canon Kabushiki Kaisha Container for a plate-like article
US5353934A (en) * 1992-08-06 1994-10-11 Dai Nippon Printing Co., Ltd. Substrate holding case
US5423503A (en) * 1990-10-31 1995-06-13 Tokyo Electron Sagami Limited Plate-like member conveying apparatus
US6382435B1 (en) * 1998-10-07 2002-05-07 Euroecoenvase, S.L. Collapsible folding container with frames connected by scissor arms
US6428729B1 (en) * 1998-05-28 2002-08-06 Entegris, Inc. Composite substrate carrier
US6704998B1 (en) * 1997-12-24 2004-03-16 Asyst Technologies, Inc. Port door removal and wafer handling robotic system
US6981594B1 (en) * 2003-06-17 2006-01-03 National Semiconductor Corporation Method and apparatus to facilitate transport of semiconductor wafers
US7334979B2 (en) * 2003-12-31 2008-02-26 Innolux Display Corp. Adjustable substrate transfer apparatus
US20080230438A1 (en) * 2005-12-06 2008-09-25 Fujitsu Limited Semiconductor wafer storage case and semiconductor wafer storing method
US7565980B2 (en) * 2003-06-17 2009-07-28 Illinois Tool Works Inc. Wafer box with radially pivoting latch elements
US7854327B2 (en) * 2005-12-05 2010-12-21 Miraial Co., Ltd. Loading tray and thin plate container

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5555963A (en) * 1978-10-04 1980-04-24 Kato Youki Yuugen Conveying container
JP2001230312A (en) * 2000-02-16 2001-08-24 Nec Corp Apparatus for producing semiconductor

Patent Citations (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2725087A (en) * 1954-02-23 1955-11-29 Clifford S Potter Collapsible container
US3001845A (en) * 1959-10-23 1961-09-26 Daniel T Thompson Collapsible drawer file
US3461916A (en) * 1966-12-13 1969-08-19 Exxon Production Research Co Flexible flowline
US3391698A (en) * 1967-07-13 1968-07-09 Wiles Harry Holding device for expandable files
US3940174A (en) * 1974-01-31 1976-02-24 Mayes Frank E Poultry trailer
US4135625A (en) * 1976-07-12 1979-01-23 Merrill Kenneth V Multi-compartment container for fragile disks
US4129211A (en) * 1976-09-07 1978-12-12 Monsanto Company Wafer packaging system
US5054418A (en) * 1989-05-23 1991-10-08 Union Oil Company Of California Cage boat having removable slats
US5423503A (en) * 1990-10-31 1995-06-13 Tokyo Electron Sagami Limited Plate-like member conveying apparatus
US5314068A (en) * 1991-07-12 1994-05-24 Canon Kabushiki Kaisha Container for a plate-like article
US5255797A (en) * 1992-02-26 1993-10-26 Fluoroware, Inc. Wafer carrier with wafer retaining cushions
US5353934A (en) * 1992-08-06 1994-10-11 Dai Nippon Printing Co., Ltd. Substrate holding case
US6704998B1 (en) * 1997-12-24 2004-03-16 Asyst Technologies, Inc. Port door removal and wafer handling robotic system
US6428729B1 (en) * 1998-05-28 2002-08-06 Entegris, Inc. Composite substrate carrier
US6382435B1 (en) * 1998-10-07 2002-05-07 Euroecoenvase, S.L. Collapsible folding container with frames connected by scissor arms
US6981594B1 (en) * 2003-06-17 2006-01-03 National Semiconductor Corporation Method and apparatus to facilitate transport of semiconductor wafers
US7565980B2 (en) * 2003-06-17 2009-07-28 Illinois Tool Works Inc. Wafer box with radially pivoting latch elements
US7334979B2 (en) * 2003-12-31 2008-02-26 Innolux Display Corp. Adjustable substrate transfer apparatus
US7854327B2 (en) * 2005-12-05 2010-12-21 Miraial Co., Ltd. Loading tray and thin plate container
US20080230438A1 (en) * 2005-12-06 2008-09-25 Fujitsu Limited Semiconductor wafer storage case and semiconductor wafer storing method
US7857140B2 (en) * 2005-12-06 2010-12-28 Fujitsu Semiconductor Limited Semiconductor wafer storage case and semiconductor wafer storing method

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080298935A1 (en) * 2007-06-04 2008-12-04 Michael Lering Wafer Cassette, Wafer Cassette Pod and Minienvironment Chamber Loadport Arrangement with a Minienvironment Chamber and a Wafer Cassette Pod with a Wafer Cassette
US20090081014A1 (en) * 2007-09-24 2009-03-26 Intel Corporation Invertible front opening unified pod
US7857139B2 (en) * 2007-09-24 2010-12-28 Intel Corporation Invertible front opening unified pod
USD749531S1 (en) * 2014-06-09 2016-02-16 Gudeng Precision Industrial Co., Ltd. Diffusion assembly for front opening unified pod
CN112635372A (en) * 2019-10-09 2021-04-09 英飞凌科技股份有限公司 Transport system
US20220051911A1 (en) * 2020-08-12 2022-02-17 Dly Technologies Inc. Adjustable device and an adjustable storage box
US11972962B2 (en) * 2020-08-12 2024-04-30 Dly Technologies Inc. Adjustable device and an adjustable storage box

Also Published As

Publication number Publication date
JP2009518837A (en) 2009-05-07
EP1973153A1 (en) 2008-09-24
FR2896912A1 (en) 2007-08-03
FR2896912B1 (en) 2008-11-28
WO2007065936A1 (en) 2007-06-14
CN101326624A (en) 2008-12-17

Similar Documents

Publication Publication Date Title
US20080273959A1 (en) Sealed Enclosure for Transporting and Storing Semiconductor Substrates
JP5244097B2 (en) Device with transport pod and interface for substrates
US5044871A (en) Integrated circuit processing system
US5664925A (en) Batchloader for load lock
JP2020174219A (en) Substrate transfer unit
US6120229A (en) Substrate carrier as batchloader
EP1938370B1 (en) Apparatus to support a cleanspace fabricator
JP5476171B2 (en) Vacuum processing equipment
EP2863422B1 (en) Lid-opening/closing device
US6869263B2 (en) Substrate loading and unloading station with buffer
US20040141831A1 (en) Substrate loading and uploading station with buffer
CN109755169A (en) Chip carries fork, system for manufacturing semiconductor device and chip transportation method
TWI767152B (en) Substrate transfer apparatus
JP4306798B2 (en) Substrate carrier and load lock door drive device
CN102089855A (en) Apparatus for loading and unloading semiconductor wafers
JP2011114319A (en) Gas-replacing device and gas replacement method
CN108962806A (en) A kind of wafer automatic loading device, wafer automatic loading method
KR102300629B1 (en) Apparatus for storing gas cylinders
JP2018093087A (en) Substrate processing apparatus
KR102326014B1 (en) Apparatus for storing gas cylinders
KR20190035720A (en) Load Port and Wafer Transfer Method
US11822257B2 (en) Reticle storage pod and method for securing reticle
JP2010027809A (en) Conveying device provided with opening/closing mechanism of container for conveying workpiece
JP2839830B2 (en) Manufacturing method of integrated circuit
JP2009007075A (en) Plate-like object storage transfer device and plate-like object storage transfer method

Legal Events

Date Code Title Description
AS Assignment

Owner name: ALCATEL LUCENT, FRANCE

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:BUNOD, PHILIPPE;KAMBARA, HISANORI;BERNARD, ROLAND;AND OTHERS;REEL/FRAME:021056/0285;SIGNING DATES FROM 20080519 TO 20080523

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO PAY ISSUE FEE