US20060044016A1 - Integrated circuit with signal skew adjusting cell selected from cell library - Google Patents

Integrated circuit with signal skew adjusting cell selected from cell library Download PDF

Info

Publication number
US20060044016A1
US20060044016A1 US10/925,185 US92518504A US2006044016A1 US 20060044016 A1 US20060044016 A1 US 20060044016A1 US 92518504 A US92518504 A US 92518504A US 2006044016 A1 US2006044016 A1 US 2006044016A1
Authority
US
United States
Prior art keywords
cell
integrated circuit
skew
signal
skew adjusting
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/925,185
Inventor
Martin Gasper
Bernard Morris
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Agere Systems LLC
Original Assignee
Agere Systems LLC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Agere Systems LLC filed Critical Agere Systems LLC
Priority to US10/925,185 priority Critical patent/US20060044016A1/en
Assigned to AGERE SYSTEMS INC. reassignment AGERE SYSTEMS INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: GASPER, MARTIN J., JR., MORRIS, BERNARD L.
Priority to TW094111193A priority patent/TWI351769B/en
Priority to KR1020050077191A priority patent/KR101164683B1/en
Priority to JP2005242010A priority patent/JP2006066914A/en
Publication of US20060044016A1 publication Critical patent/US20060044016A1/en
Priority to US11/774,022 priority patent/US7590961B2/en
Priority to JP2012151026A priority patent/JP5614781B2/en
Priority to US13/955,299 priority patent/US8907440B2/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/04Generating or distributing clock signals or signals derived directly therefrom
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K19/00Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits
    • H03K19/003Modifications for increasing the reliability for protection
    • H03K19/00323Delay compensation
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K5/00Manipulating of pulses not covered by one of the other main groups of this subclass
    • H03K5/156Arrangements in which a continuous pulse train is transformed into a train having a desired pattern
    • H03K5/1565Arrangements in which a continuous pulse train is transformed into a train having a desired pattern the output pulses having a constant duty cycle

Definitions

  • the present invention relates generally to the field of integrated circuits and, more particularly, to skew adjustment of a signal in digital circuitry of an integrated circuit.
  • Integrated circuit design is typically carried out through computer-assisted design instruments, such as “place and route” CAD systems.
  • the layouts of the integrated circuits are defined by standard cells which describe the geometric configurations of the masks needed to produce the various arrangements, orientations and interconnections of circuit elements.
  • the group of standard cells available for designing an integrated circuit in accordance with a given manufacturing technology is commonly referred to as a standard cell library.
  • Standard cell libraries typically comprise a fixed set of logic blocks fully characterized for timing, noise, reliability, etc.
  • Standard cell libraries available commercially are based on boolean logic. This combinational logic is formed primarily from logical “and,” “or” and “invert” functions. Memory elements are typically implemented with flip flops and latches. Normally, the libraries contain a few hundred variations of these fundamental cells. Higher level logic, including arithmetic blocks such as adders and multipliers, is constructed from combinations of these standard cells.
  • an integrated circuit designed with standard cells often results in an undesirable signal skew.
  • an ideal signal waveform may have a 50/50 or 50% duty cycle, where the amount of time the signal is high is equal to the amount of time the signal is low in a given period.
  • Signal skew also referred to herein as duty cycle distortion, occurs when the amount of time the signal is high is substantially larger or smaller than the amount of time the signal is low in a given period of the waveform.
  • an ideal waveform having a period of 10 ns may have a 5 ns-high/5 ns-low pattern, while a waveform that is skewed may have a 6 ns-high/4 ns-low pattern, resulting in a signal skew of 2 ns.
  • U.S. Pat. Nos. 6,690,202 and 6,507,220 describe circuitry for correcting or preventing duty cycle distortion through equating signal voltage to a logic threshold voltage of the integrated circuit.
  • U.S. Pat. No. 6,411,145 describes a circuit configured to correct a duty cycle through differential pairs of transistors configured to change a DC level of the inputs of the integrated circuit.
  • U.S. Pat. No. 5,757,218 describes a duty cycle correction circuit having a comparator circuit and a control circuit.
  • JP Patent Publication Nos. 2003-152078 and 08-077227 describe the use of RC effects in changing the total delay of a cell.
  • the present invention in an illustrative embodiment provides techniques for adjusting the skew of a signal in digital circuitry an integrated circuit through the use of one or more signal skew adjusting cells chosen from a cell library in the design of the integrated circuit.
  • digital circuitry of an integrated circuit comprises at least one digital logic cell and at least one skew adjusting cell.
  • the skew adjusting cell is configured to adjust a skew of a signal in the digital circuitry of the integrated circuit to a desired amount.
  • the digital logic cell and the skew adjusting cell are selected from a cell library.
  • the skew adjusting cells of the cell library may include basic combinational logic functions and may be designed at the transistor level to provide a skew that is different from that of similar core logic cells.
  • a circuit designer may use these special skew adjusting cells in place of, or in addition to, the regular standard cells in the library to reduce the skew in critical paths of the digital circuitry of the integrated circuit.
  • a method of designing an integrated circuit capable of adjusting a skew of a signal is described.
  • At least one skew adjusting cell from the cell library is incorporated into the integrated circuit.
  • the at least one skew adjusting cell is configured to adjust the skew of the signal in the digital circuitry of the integrated circuit to a desired amount.
  • a method of adjusting a skew of a signal in digital circuitry of an integrated circuit is described.
  • a signal is input into digital circuitry of an integrated circuit and transmitted through at least one digital logic cell and at least one skew adjusting cell in the digital circuitry of the integrated circuit.
  • the digital logic cell and the skew adjusting cell are selected from a cell library.
  • the digital logic cell causes a skew in the signal and the skew adjusting cell is configured to adjust the skew of the signal in the digital circuitry of the integrated circuit to a desired amount.
  • the signal is output from the digital circuitry of the integrated circuit having a desired amount of skew.
  • an illustrative embodiment of the present invention allows circuit designers to minimize signal skew in critical paths of the digital circuitry of the integrated circuit while remaining in the recommended integrated circuit design flow using standard logic cells, with little or no schedule impact.
  • FIG. 1 is a diagram illustrating signal skew in digital circuitry of an integrated circuit
  • FIG. 2 is a diagram illustrating an example of digital circuitry of an integrated circuit, in which the present invention may be implemented;
  • FIG. 3 is a diagram illustrating a transistor implementation of an inverter, utilizable in conjunction with the present invention
  • FIG. 4 is a flow diagram illustrating an integrated circuit design methodology which provides signal skew adjustment, according to an embodiment of the present invention
  • FIG. 5 is a diagram illustrating digital circuitry of an integrated circuit having a skew adjustment stage added to the circuit path of FIG. 2 , according to an embodiment of the present invention
  • FIG. 6 is a diagram illustrating digital circuitry of an integrated circuit with inverters of the circuit path of FIG. 2 replaced by skew adjusting inverters, according to an embodiment of the present invention
  • FIG. 7 is a flow diagram illustrating a signal skew adjustment methodology, according to an embodiment of the present invention.
  • FIG. 8 is a block diagram illustrating an exemplary computer-assisted design instrument suitable for implementing a signal skew adjustment technique, according to an embodiment of the present invention.
  • the present invention in the illustrative embodiment achieves signal skew adjustment in digital circuitry of an integrated circuit through the use of one or more skew adjusting cells of a cell library.
  • FIG. 1 a diagram illustrates the concept of signal skew, or duty cycle distortion.
  • An ideal waveform 102 with a 50/50 (50%) duty cycle (zero skew), is an input A to digital circuitry 104 of an integrated circuit.
  • Waveform 102 has zero skew because the amount of time the signal is high and the amount of time the signal is low in a given period are substantially equal.
  • Digital circuitry 104 performs one or more logic operations, and results in an output signal Z illustrated as output waveform 106 .
  • Output waveform 106 has a 60/40 duty cycle, where the amount of time the signal is high is greater than the amount of time the signal is low.
  • ideal waveform 102 would have a 5 ns-high/5 ns-low pattern.
  • Output waveform 106 would have a 6 ns-high/4 ns-low pattern, resulting in a skew of 2 ns.
  • FIG. 2 a diagram illustrates an example of a chain of digital circuitry in an integrated circuit.
  • Input signal A is transmitted through six logic gates: OR gate 202 ; NOR gate 204 ; NAND gate 206 ; MUX gate 208 ; and inverters 210 and 212 .
  • Inverter 212 generates output signal Z.
  • OR gate 202 OR gate 202 ; NOR gate 204 ; NAND gate 206 ; MUX gate 208 ; and inverters 210 and 212 .
  • Inverter 212 generates output signal Z.
  • FIG. 3 A diagram illustrating a transistor implementation of a MOS inverter is shown in FIG. 3 .
  • the MOS inverter of FIG. 3 is utilizable, for example, as inverter 210 or 212 of FIG. 2 .
  • a P-channel transistor 302 is interconnected with an N-channel transistor 304 .
  • Their common gate is input A of the inverter, while their common drain connection is output Z.
  • VSS represents ground or a lower supply voltage of the circuit, while VDD represents an upper supply voltage.
  • the speed or amount of time it takes for a high-to-low transition of output signal Z depends on the strength of N-channel transistor 304 , while the speed or amount of time it takes for a low-to-high transition of output signal Z depends on the strength of P-channel transistor 302 . Therefore, the ratio of the strengths of P-channel transistor 302 and N-channel transistor 304 will determine the skew of signal Z that this inverter produces from input signal A.
  • the strength of a transistor is dependent on its size, more specifically the width/length ratio of the transistor.
  • the sizes of the P-channel transistor and N-channel transistor are dictated by considerations of cell size and speed.
  • N-channel and P-channel transistors used in standard cells in modern high speed CMOS technology typically have a width between approximately 0.4 ⁇ m and 2 ⁇ m, and gate lengths between approximately 0.1 ⁇ m and 0.2 ⁇ m.
  • a ratio of P-channel transistor width/length to N-channel transistor width/length between approximately 2 and 4 results in minimal skew.
  • this ratio is less than 2 because of the size and speed considerations, which results in a skewed output signal Z.
  • FIG. 4 a flow diagram illustrates an integrated circuit design methodology which provides signal skew adjustment, according to an embodiment of the present invention.
  • the methodology begins at block 402 where a skew of a signal in digital circuitry of the integrated circuit, having at least one digital logic cell from a cell library, is determined.
  • at least one skew adjusting cell from the cell library is incorporated into the digital circuitry of the integrated circuit.
  • the at least one skew adjusting cell is configured to adjust the skew of the signal in the digital circuitry to a desired amount.
  • This incorporation step may be the addition of at least one skew adjusting cell to the digital circuitry, or the replacement of at least one digital logic cell with at least one skew adjusting cell in the digital circuitry of the integrated circuit.
  • Inverter 502 is made with an N-channel transistor having a smaller width/length ratio than that of the normal inverters 210 or 212 . Since the width/length ratio of the N-channel transistor is decreased, its strength is also decreased, thereby slowing its speed and increasing the time it takes for the high-to-low transition of the inverted form of output signal 106 of FIG. 1 .
  • Inverter 504 is made with a P-channel transistor having a smaller width/length ratio than that of inverters 210 or 212 . Since the width/length ratio of the P-channel transistor is decreased, its strength is also decreased, thereby slowing its speed and increasing the time it takes for the low-to-high transition of the inverted form of the signal received from inverter 502 . When inverters 502 and 504 are connected in series, as shown in FIG. 5 , the resultant output has a signal low-to-high transition that is slowed relative to its high-to-low transition. This slowed low-to-high transition advantageously reduces the skew at output Z.
  • Inverters 502 and 504 are examples of what are more generally referred to herein as skew adjusting cells. Of course, the invention can utilize a wide variety of other types of such cells, in any combination.
  • a skew adjusting cell as described herein may also perform combinational logic functions. However, the skew resulting from the skew adjusting cell differs from that of a digital logic cell of the cell library having substantially similar logic functions. If a family of such standard cell logic gates is developed, which cover a range of designed-in skews in, for example, +/ ⁇ 100 ps (picosecond) steps or other steps sizes, one or more of such cells could be added during the final design stages of an integrated circuit with little or no impact to physical layout, schedule or cost.
  • a flow diagram illustrates a signal skew adjustment process, according to an embodiment of the present invention.
  • the process begins at block 702 , where a signal is input into digital circuitry of an integrated circuit.
  • the signal is transmitted through at least one digital logic cell and at least one skew adjusting cell in the digital circuitry of the integrated circuit.
  • the digital logic cell and the skew adjusting cell are selected from a cell library.
  • the digital logic cell causes a skew of the signal or duty cycle distortion, and the skew adjusting cell is configured to adjust the skew of the signal by a desired amount.
  • the digital logic cell and the skew adjusting cell may be connected in series, with the digital logic cell being arranged before or after the skew adjusting cell, or in other arrangements.
  • the signal is then output from the digital circuitry of the integrated circuit in block 706 with a desired skew.
  • this desired skew is a skew that is substantially equal to zero, although the described techniques can of course be utilized to produce other desired amounts of skew.
  • FIG. 8 a block diagram illustrates an example of a computer-assisted design instrument 800 in which a signal skew adjustment technique of the invention may be implemented.
  • computer-assisted design instrument 800 comprises a processor 802 and a memory 804 .
  • One or more of the blocks shown in FIG. 4 may be performed at least in part utilizing software executed by processor 802 and stored in memory 804 .
  • the present invention in the illustrative embodiment provides a technique for adjusting a skew of a signal in digital circuitry of an integrated circuit using a skew adjusting cell selected from a cell library.
  • Additional embodiments of the present invention may incorporate various numbers, combinations and arrangements of digital logic cells, skew adjusting cells and digital circuitry in a given integrated circuit.
  • the additional embodiments may also incorporate skew adjusting cells having varying degrees of adjustment and various logical functions.
  • the integrated circuit may incorporate analog circuitry as well as digital circuitry.
  • a plurality of identical die are typically formed in a repeated pattern on a surface of a semiconductor wafer.
  • Each die may include a device having at least one digital logic cell and at least one skew adjusting cell as described herein, and may include other structures or circuits.
  • the individual die are cut or diced from the wafer, then packaged as an integrated circuit.
  • One skilled in the art would know how to dice wafers and package die to produce integrated circuits. Integrated circuits so manufactured are considered part of this invention.

Abstract

An integrated circuit comprises digital circuitry having at least one digital logic cell and at least one skew adjusting cell. The skew adjusting cell is configured to adjust the skew of a signal in the digital circuitry of the integrated circuit to a desired amount. The digital logic cell and the skew adjusting cell are selected from a cell library.

Description

    FIELD OF THE INVENTION
  • The present invention relates generally to the field of integrated circuits and, more particularly, to skew adjustment of a signal in digital circuitry of an integrated circuit.
  • BACKGROUND OF THE INVENTION
  • Integrated circuit design is typically carried out through computer-assisted design instruments, such as “place and route” CAD systems. In such systems, the layouts of the integrated circuits are defined by standard cells which describe the geometric configurations of the masks needed to produce the various arrangements, orientations and interconnections of circuit elements. The group of standard cells available for designing an integrated circuit in accordance with a given manufacturing technology is commonly referred to as a standard cell library. Standard cell libraries typically comprise a fixed set of logic blocks fully characterized for timing, noise, reliability, etc.
  • Standard cell libraries available commercially are based on boolean logic. This combinational logic is formed primarily from logical “and,” “or” and “invert” functions. Memory elements are typically implemented with flip flops and latches. Normally, the libraries contain a few hundred variations of these fundamental cells. Higher level logic, including arithmetic blocks such as adders and multipliers, is constructed from combinations of these standard cells.
  • Designers commonly employ standard cell libraries due to the robustness and flexibility of the libraries. This saves time and money by reducing product development cycle time. Additionally, risk is reduced by using predesigned, pretested and precharacterized standard cell libraries.
  • However, an integrated circuit designed with standard cells often results in an undesirable signal skew. For example, an ideal signal waveform may have a 50/50 or 50% duty cycle, where the amount of time the signal is high is equal to the amount of time the signal is low in a given period. Signal skew, also referred to herein as duty cycle distortion, occurs when the amount of time the signal is high is substantially larger or smaller than the amount of time the signal is low in a given period of the waveform. For example, an ideal waveform having a period of 10 ns (nanoseconds) may have a 5 ns-high/5 ns-low pattern, while a waveform that is skewed may have a 6 ns-high/4 ns-low pattern, resulting in a signal skew of 2 ns.
  • Previous attempts to solve this problem involved making customized cells, hand-modifying existing standard cells, and utilizing manual place and route modifications to tune a given circuit. U.S. Pat. Nos. 6,690,202 and 6,507,220 describe circuitry for correcting or preventing duty cycle distortion through equating signal voltage to a logic threshold voltage of the integrated circuit. U.S. Pat. No. 6,411,145 describes a circuit configured to correct a duty cycle through differential pairs of transistors configured to change a DC level of the inputs of the integrated circuit. U.S. Pat. No. 5,757,218 describes a duty cycle correction circuit having a comparator circuit and a control circuit. JP Patent Publication Nos. 2003-152078 and 08-077227 describe the use of RC effects in changing the total delay of a cell.
  • These techniques force the integrated circuit designer to depart from the standard design flow methods used in standard cell integrated circuit designs. The requirement of manually customizing cells or modifying the circuit layout can lengthen and complicate design cycle times, possibly adding extra risk, cost and schedule delay. Thus, a need remains for further improvements in signal skew adjustment in digital circuitry of an integrated circuit.
  • SUMMARY OF THE INVENTION
  • The present invention in an illustrative embodiment provides techniques for adjusting the skew of a signal in digital circuitry an integrated circuit through the use of one or more signal skew adjusting cells chosen from a cell library in the design of the integrated circuit.
  • In accordance with one aspect of the invention, digital circuitry of an integrated circuit comprises at least one digital logic cell and at least one skew adjusting cell. The skew adjusting cell is configured to adjust a skew of a signal in the digital circuitry of the integrated circuit to a desired amount. The digital logic cell and the skew adjusting cell are selected from a cell library.
  • The skew adjusting cells of the cell library may include basic combinational logic functions and may be designed at the transistor level to provide a skew that is different from that of similar core logic cells. A circuit designer may use these special skew adjusting cells in place of, or in addition to, the regular standard cells in the library to reduce the skew in critical paths of the digital circuitry of the integrated circuit.
  • In accordance with another aspect of the invention, a method of designing an integrated circuit capable of adjusting a skew of a signal is described. The skew of the signal in digital circuitry of an integrated circuit, having at least one digital logic cell from a cell library, is determined. At least one skew adjusting cell from the cell library is incorporated into the integrated circuit. The at least one skew adjusting cell is configured to adjust the skew of the signal in the digital circuitry of the integrated circuit to a desired amount.
  • In accordance with a further aspect of the invention, a method of adjusting a skew of a signal in digital circuitry of an integrated circuit is described. A signal is input into digital circuitry of an integrated circuit and transmitted through at least one digital logic cell and at least one skew adjusting cell in the digital circuitry of the integrated circuit. The digital logic cell and the skew adjusting cell are selected from a cell library. The digital logic cell causes a skew in the signal and the skew adjusting cell is configured to adjust the skew of the signal in the digital circuitry of the integrated circuit to a desired amount. The signal is output from the digital circuitry of the integrated circuit having a desired amount of skew.
  • Advantageously, an illustrative embodiment of the present invention allows circuit designers to minimize signal skew in critical paths of the digital circuitry of the integrated circuit while remaining in the recommended integrated circuit design flow using standard logic cells, with little or no schedule impact.
  • These and other objects, features, and advantages of the present invention will become apparent from the following detailed description of the illustrative embodiments thereof, which is to be read in connection with the accompanying drawings.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is a diagram illustrating signal skew in digital circuitry of an integrated circuit;
  • FIG. 2 is a diagram illustrating an example of digital circuitry of an integrated circuit, in which the present invention may be implemented;
  • FIG. 3 is a diagram illustrating a transistor implementation of an inverter, utilizable in conjunction with the present invention;
  • FIG. 4 is a flow diagram illustrating an integrated circuit design methodology which provides signal skew adjustment, according to an embodiment of the present invention;
  • FIG. 5 is a diagram illustrating digital circuitry of an integrated circuit having a skew adjustment stage added to the circuit path of FIG. 2, according to an embodiment of the present invention;
  • FIG. 6 is a diagram illustrating digital circuitry of an integrated circuit with inverters of the circuit path of FIG. 2 replaced by skew adjusting inverters, according to an embodiment of the present invention;
  • FIG. 7 is a flow diagram illustrating a signal skew adjustment methodology, according to an embodiment of the present invention; and
  • FIG. 8 is a block diagram illustrating an exemplary computer-assisted design instrument suitable for implementing a signal skew adjustment technique, according to an embodiment of the present invention.
  • DETAILED DESCRIPTION
  • As will be described in detail below, the present invention in the illustrative embodiment achieves signal skew adjustment in digital circuitry of an integrated circuit through the use of one or more skew adjusting cells of a cell library.
  • Referring initially to FIG. 1, a diagram illustrates the concept of signal skew, or duty cycle distortion. An ideal waveform 102, with a 50/50 (50%) duty cycle (zero skew), is an input A to digital circuitry 104 of an integrated circuit. Waveform 102 has zero skew because the amount of time the signal is high and the amount of time the signal is low in a given period are substantially equal. Digital circuitry 104 performs one or more logic operations, and results in an output signal Z illustrated as output waveform 106. Output waveform 106 has a 60/40 duty cycle, where the amount of time the signal is high is greater than the amount of time the signal is low. If this were a 100 MHz signal, with a period of 10 ns, ideal waveform 102 would have a 5 ns-high/5 ns-low pattern. Output waveform 106 would have a 6 ns-high/4 ns-low pattern, resulting in a skew of 2 ns.
  • Referring now to FIG. 2, a diagram illustrates an example of a chain of digital circuitry in an integrated circuit. Input signal A is transmitted through six logic gates: OR gate 202; NOR gate 204; NAND gate 206; MUX gate 208; and inverters 210 and 212. Inverter 212 generates output signal Z. It is to be appreciated that the particular arrangements of elements shown in FIG. 2, and in other circuitry arrangements described herein, are presented by way of illustrative embodiment only. Other integrated circuits may include alternative or additional elements such as, for example, memory elements and flip-flops, or other types of logic gates. In a perfect design, each circuit element would typically be designed for zero skew. However, in practice high speed and small size requirements often result in circuit elements that have appreciable skew. When many such elements are arranged in series along a given circuit path as shown in FIG. 2, the resulting skew can be undesirably large.
  • A diagram illustrating a transistor implementation of a MOS inverter is shown in FIG. 3. The MOS inverter of FIG. 3 is utilizable, for example, as inverter 210 or 212 of FIG. 2. In the MOS inverter, a P-channel transistor 302 is interconnected with an N-channel transistor 304. Their common gate is input A of the inverter, while their common drain connection is output Z. VSS represents ground or a lower supply voltage of the circuit, while VDD represents an upper supply voltage. The speed or amount of time it takes for a high-to-low transition of output signal Z depends on the strength of N-channel transistor 304, while the speed or amount of time it takes for a low-to-high transition of output signal Z depends on the strength of P-channel transistor 302. Therefore, the ratio of the strengths of P-channel transistor 302 and N-channel transistor 304 will determine the skew of signal Z that this inverter produces from input signal A.
  • In most standard cells the strength of a transistor is dependent on its size, more specifically the width/length ratio of the transistor. In many cases the sizes of the P-channel transistor and N-channel transistor are dictated by considerations of cell size and speed. N-channel and P-channel transistors used in standard cells in modern high speed CMOS technology typically have a width between approximately 0.4 μm and 2 μm, and gate lengths between approximately 0.1 μm and 0.2 μm. Depending on the process, a ratio of P-channel transistor width/length to N-channel transistor width/length between approximately 2 and 4 results in minimal skew. However, in most standard cells, this ratio is less than 2 because of the size and speed considerations, which results in a skewed output signal Z.
  • Referring now to FIG. 4, a flow diagram illustrates an integrated circuit design methodology which provides signal skew adjustment, according to an embodiment of the present invention. The methodology begins at block 402 where a skew of a signal in digital circuitry of the integrated circuit, having at least one digital logic cell from a cell library, is determined. In block 404, at least one skew adjusting cell from the cell library is incorporated into the digital circuitry of the integrated circuit. The at least one skew adjusting cell is configured to adjust the skew of the signal in the digital circuitry to a desired amount. This incorporation step may be the addition of at least one skew adjusting cell to the digital circuitry, or the replacement of at least one digital logic cell with at least one skew adjusting cell in the digital circuitry of the integrated circuit.
  • As an example, if the skewed output waveform 106 of FIG. 1 is considered as being produced by the chain of digital circuitry of FIG. 2, then in order to reduce the skew, the speed of the low-to-high transition must be decreased relative to the speed of the high-to-low transition. This can be achieved through the addition of two new inverters, 502 and 504, disposed at the end of the existing circuit path, as shown in FIG. 5, according to an embodiment of the present invention. Inverter 502 is made with an N-channel transistor having a smaller width/length ratio than that of the normal inverters 210 or 212. Since the width/length ratio of the N-channel transistor is decreased, its strength is also decreased, thereby slowing its speed and increasing the time it takes for the high-to-low transition of the inverted form of output signal 106 of FIG. 1.
  • Inverter 504 is made with a P-channel transistor having a smaller width/length ratio than that of inverters 210 or 212. Since the width/length ratio of the P-channel transistor is decreased, its strength is also decreased, thereby slowing its speed and increasing the time it takes for the low-to-high transition of the inverted form of the signal received from inverter 502. When inverters 502 and 504 are connected in series, as shown in FIG. 5, the resultant output has a signal low-to-high transition that is slowed relative to its high-to-low transition. This slowed low-to-high transition advantageously reduces the skew at output Z.
  • Inverters 502 and 504 are examples of what are more generally referred to herein as skew adjusting cells. Of course, the invention can utilize a wide variety of other types of such cells, in any combination.
  • An alternate solution to correct skew in the present example is to replace existing inverters 210 and 212 in FIG. 2, with inverters 602 and 604 as shown in FIG. 6. In practice, some combination of cell replacement and cell addition may be necessary. Again, while the examples presented herein involve inverters, it will be apparent to those skilled in circuit design that other elements such as NAND, NOR, and other gates, can also be utilized in implementing a skew adjustment technique in accordance with the invention.
  • A skew adjusting cell as described herein may also perform combinational logic functions. However, the skew resulting from the skew adjusting cell differs from that of a digital logic cell of the cell library having substantially similar logic functions. If a family of such standard cell logic gates is developed, which cover a range of designed-in skews in, for example, +/−100 ps (picosecond) steps or other steps sizes, one or more of such cells could be added during the final design stages of an integrated circuit with little or no impact to physical layout, schedule or cost.
  • Referring now to FIG. 7, a flow diagram illustrates a signal skew adjustment process, according to an embodiment of the present invention. The process begins at block 702, where a signal is input into digital circuitry of an integrated circuit. In block 704, the signal is transmitted through at least one digital logic cell and at least one skew adjusting cell in the digital circuitry of the integrated circuit. The digital logic cell and the skew adjusting cell are selected from a cell library. The digital logic cell causes a skew of the signal or duty cycle distortion, and the skew adjusting cell is configured to adjust the skew of the signal by a desired amount. The digital logic cell and the skew adjusting cell may be connected in series, with the digital logic cell being arranged before or after the skew adjusting cell, or in other arrangements. The signal is then output from the digital circuitry of the integrated circuit in block 706 with a desired skew. In many cases this desired skew is a skew that is substantially equal to zero, although the described techniques can of course be utilized to produce other desired amounts of skew.
  • Referring now to FIG. 8, a block diagram illustrates an example of a computer-assisted design instrument 800 in which a signal skew adjustment technique of the invention may be implemented. As illustrated, computer-assisted design instrument 800 comprises a processor 802 and a memory 804. One or more of the blocks shown in FIG. 4 may be performed at least in part utilizing software executed by processor 802 and stored in memory 804.
  • Accordingly, as described herein, the present invention in the illustrative embodiment provides a technique for adjusting a skew of a signal in digital circuitry of an integrated circuit using a skew adjusting cell selected from a cell library.
  • Additional embodiments of the present invention may incorporate various numbers, combinations and arrangements of digital logic cells, skew adjusting cells and digital circuitry in a given integrated circuit. The additional embodiments may also incorporate skew adjusting cells having varying degrees of adjustment and various logical functions. Further, the integrated circuit may incorporate analog circuitry as well as digital circuitry.
  • Regarding the integrated circuits in general, a plurality of identical die are typically formed in a repeated pattern on a surface of a semiconductor wafer. Each die may include a device having at least one digital logic cell and at least one skew adjusting cell as described herein, and may include other structures or circuits. The individual die are cut or diced from the wafer, then packaged as an integrated circuit. One skilled in the art would know how to dice wafers and package die to produce integrated circuits. Integrated circuits so manufactured are considered part of this invention.
  • Therefore, although illustrative embodiments of the present invention have been described herein with reference to the accompanying drawings, it is to be understood that the invention is not limited to those precise embodiments, and that various other changes and modifications may be made by one skilled in the art without departing from the scope or spirit of the invention.

Claims (27)

1. An integrated circuit having digital circuitry comprising:
at least one digital logic cell; and
at least one skew adjusting cell, wherein the at least one skew adjusting cell is configured to adjust a skew of a signal in the digital circuitry of the integrated circuit to a desired amount;
wherein the at least one digital logic cell and the at least one skew adjusting cell are selected from a cell library.
2. The integrated circuit of claim 1, wherein the at least one skew adjusting cell is configured to minimize the skew of the signal in a signal path of the digital circuitry.
3. The integrated circuit of claim 1, wherein the at least one skew adjusting cell implements one or more combinational logic functions.
4. The integrated circuit of claim 1, wherein the at least one skew adjusting cell is used in the integrated circuit in place of at least one digital logic cell selected from the cell library.
5. The integrated circuit of claim 1, wherein the skew of the signal is adjusted in at least one signal path of the digital circuitry of the integrated circuit.
6. The integrated circuit of claim 1, wherein the at least one skew adjusting cell comprises at least one inverter.
7. The integrated circuit of claim 1, wherein the at least one skew adjusting cell comprises an N-channel device having a smaller width/length ratio than that of a corresponding digital logic cell in the cell library.
8. The integrated circuit of claim 7, wherein a low-to-high transition of the signal in the digital circuitry of the integrated circuit is slowed relative to a high-to-low transition of the signal at the at least one skew adjusting cell.
9. The integrated circuit of claim 1, wherein the at least one skew adjusting cell comprises an N-channel device having a larger width/length ratio than that of a corresponding digital logic cell in the cell library.
10. The integrated circuit of claim 1, wherein the at least one skew adjusting cell comprises a P-channel device having a smaller width/length ratio than that of a corresponding digital logic cell in the cell library.
11. The integrated circuit of claim 10, wherein a high-to-low transition of the signal in the digital circuitry of the integrated circuit is slowed relative to a low-to-high transition of the signal at the at least one skew adjusting cell.
12. The integrated circuit of claim 1, wherein the at least one skew adjusting cell comprises a P-channel device having a larger width/length ratio than that of a corresponding digital logic cell in the cell library.
13. The integrated circuit of claim 1, wherein the at least one skew adjusting cell is selected from a group of skew adjusting cells in the cell library covering a range of designed-in skews.
14. The integrated circuit of claim 13, wherein the group of skew adjusting cells cover a range of designed-in skews in at least 100 ps steps.
15. A method of designing an integrated circuit comprising digital circuitry capable of signal skew adjustment comprising the steps of:
determining a skew of a signal in the digital circuitry of the integrated circuit having at least one digital logic cell from a cell library; and
incorporating at least one skew adjusting cell from the cell library into the digital circuitry of the integrated circuit, wherein the at least one skew adjusting cell is configured to adjust the skew of the signal in the integrated circuit to a desired amount.
16. The method of claim 15, wherein the step of incorporating at least one skew adjusting cell comprises the step of adding the at least one skew adjusting cell from the cell library into the integrated circuit.
17. The method of claim 15, wherein the step of incorporating at least one skew adjusting cell comprises the step of replacing at least one digital logic cell in the integrated circuit with the at least one skew adjusting cell.
18. The method of claim 15, further comprising the step of providing a skew at the at least one skew adjusting cell that differs from that of at least one digital logic cell of the cell library having substantially similar logic functions.
19. The method of claim 15, further comprising the step of producing a signal in at least one signal path of the digital circuitry of the integrated circuit having a minimized amount of skew.
20. The method of claim 15, wherein the step of incorporating at least one skew adjusting cell from the cell library comprises the step of selecting the at least one skew adjusting cell from a group of skew adjusting cells in the cell library covering a range of designed-in skews.
21. The method of claim 20, wherein the group of skew adjusting cells cover a range of designed-in skews in at least 100 ps steps.
22. A computer-assisted design instrument for designing an integrated circuit comprising digital circuitry capable of signal skew adjustment, comprising:
a memory; and
at least one processor, coupled to the memory, and operative to perform the steps of: (i) determining a skew of a signal in the digital circuitry of the integrated circuit having at least one digital logic cell from a cell library; and (ii) incorporating at least one skew adjusting cell from the cell library into the digital circuitry of the integrated circuit, wherein the at least one skew adjusting cell is configured to adjust the skew of the signal in the digital circuitry of the integrated circuit to a desired amount.
23. An article of manufacture for designing an integrated circuit comprising digital circuitry capable of signal skew adjustment, utilizing a computer-assisted design instrument, comprising a machine readable medium containing one or more programs which when executed implement the steps of:
determining a skew of a signal in the digital circuitry of the integrated circuit having at least one digital logic cell from a cell library; and
incorporating at least one skew adjusting cell from the cell library into the digital circuitry of the integrated circuit, wherein the at least one skew adjusting cell is configured to adjust the skew of the signal in the digital circuitry of the integrated circuit to a desired amount.
24. A method of adjusting skew of a signal in digital circuitry of an integrated circuit, comprising the steps of:
inputting the signal into the digital circuitry of the integrated circuit;
transmitting the signal through at least one digital logic cell and at least one skew adjusting cell in the digital circuitry of the integrated circuit, wherein the at least one skew adjusting cell is configured to adjust the skew of the signal in the digital circuitry of the integrated circuit to a desired amount; and
outputting the signal from the digital circuitry of the integrated circuit having a desired amount of skew;
wherein the at least one digital logic cell and the at least one skew adjusting cell are selected from a cell library.
25. The method of claim 24, wherein the desired amount of skew is substantially zero.
26. The method of claim 24, wherein the step of transmitting the signal comprises the step of slowing a low-to-high transition of the signal relative to a high-to-low transition of the signal in the at least one skew adjusting cell.
27. The method of claim 24, wherein the step of transmitting the signal comprises the step of slowing a high-to-low transition of the signal relative to the low-to-high transition of the signal in the at least one skew adjusting cell.
US10/925,185 2003-05-05 2004-08-24 Integrated circuit with signal skew adjusting cell selected from cell library Abandoned US20060044016A1 (en)

Priority Applications (7)

Application Number Priority Date Filing Date Title
US10/925,185 US20060044016A1 (en) 2004-08-24 2004-08-24 Integrated circuit with signal skew adjusting cell selected from cell library
TW094111193A TWI351769B (en) 2004-08-24 2005-04-08 Integrated circuit with signal skew adjusting cell
KR1020050077191A KR101164683B1 (en) 2004-08-24 2005-08-23 Integrated circuit with signal skew adjusting cell selected from cell library
JP2005242010A JP2006066914A (en) 2004-08-24 2005-08-24 Integrated circuit comprising signal skew adjustment cell chosen from among cell library
US11/774,022 US7590961B2 (en) 2004-08-24 2007-07-06 Integrated circuit with signal skew adjusting cell selected from cell library
JP2012151026A JP5614781B2 (en) 2004-08-24 2012-07-05 Integrated circuit with signal skew adjustment cell selected from cell library
US13/955,299 US8907440B2 (en) 2003-05-05 2013-07-31 High speed backside illuminated, front side contact photodiode array

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/925,185 US20060044016A1 (en) 2004-08-24 2004-08-24 Integrated circuit with signal skew adjusting cell selected from cell library

Related Child Applications (2)

Application Number Title Priority Date Filing Date
US11/774,022 Continuation US7590961B2 (en) 2003-05-05 2007-07-06 Integrated circuit with signal skew adjusting cell selected from cell library
US11/774,022 Division US7590961B2 (en) 2003-05-05 2007-07-06 Integrated circuit with signal skew adjusting cell selected from cell library

Publications (1)

Publication Number Publication Date
US20060044016A1 true US20060044016A1 (en) 2006-03-02

Family

ID=35942215

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/925,185 Abandoned US20060044016A1 (en) 2003-05-05 2004-08-24 Integrated circuit with signal skew adjusting cell selected from cell library
US11/774,022 Expired - Fee Related US7590961B2 (en) 2003-05-05 2007-07-06 Integrated circuit with signal skew adjusting cell selected from cell library

Family Applications After (1)

Application Number Title Priority Date Filing Date
US11/774,022 Expired - Fee Related US7590961B2 (en) 2003-05-05 2007-07-06 Integrated circuit with signal skew adjusting cell selected from cell library

Country Status (4)

Country Link
US (2) US20060044016A1 (en)
JP (2) JP2006066914A (en)
KR (1) KR101164683B1 (en)
TW (1) TWI351769B (en)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8042075B2 (en) * 2009-03-25 2011-10-18 International Business Machines Corporation Method, system and application for sequential cofactor-based analysis of netlists
US8762904B2 (en) 2012-03-28 2014-06-24 Synopsys, Inc. Optimizing logic synthesis for environmental insensitivity
US8595668B1 (en) 2012-09-26 2013-11-26 Lsi Corporation Circuits and methods for efficient clock and data delay configuration for faster timing closure

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5757218A (en) * 1996-03-12 1998-05-26 International Business Machines Corporation Clock signal duty cycle correction circuit and method
US5796624A (en) * 1994-09-16 1998-08-18 Research Foundation Of State University Of New York Method and apparatus for designing circuits for wave pipelining
US6069511A (en) * 1998-08-26 2000-05-30 National Semiconductor Corporation Digital slew rate and duty cycle control circuit and method
US6088415A (en) * 1998-02-23 2000-07-11 National Semiconductor Corporation Apparatus and method to adaptively equalize duty cycle distortion
US6411145B1 (en) * 2001-06-14 2002-06-25 Lsi Logic Corporation Feedback control of clock duty cycle
US6467074B1 (en) * 2000-03-21 2002-10-15 Ammocore Technology, Inc. Integrated circuit architecture with standard blocks
US6507220B1 (en) * 2001-09-28 2003-01-14 Xilinx, Inc. Correction of duty-cycle distortion in communications and other circuits
US6724231B2 (en) * 2002-08-22 2004-04-20 Renesas Technology Corp. Clock signal propagation gate and semiconductor integrated circuit including same
US6836163B2 (en) * 2002-04-18 2004-12-28 Koninklijke Philips Electronics N.V. Differential output structure with reduced skew for a single input
US6910199B2 (en) * 2001-04-23 2005-06-21 Telairity Semiconductor, Inc. Circuit group design methodologies

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0766373A (en) 1993-08-26 1995-03-10 Olympus Optical Co Ltd Semiconductor integrated circuit device of master slice system
JPH0877227A (en) 1994-09-02 1996-03-22 Fujitsu Ltd Laying-out technique for standard cell system
JP2701779B2 (en) * 1995-03-30 1998-01-21 日本電気株式会社 Clock skew reduction method
US5570045A (en) * 1995-06-07 1996-10-29 Lsi Logic Corporation Hierarchical clock distribution system and method
US5519350A (en) 1995-06-30 1996-05-21 At&T Corp. Circuitry for delivering a signal to different load elements located in an electronic system
JPH10285011A (en) 1997-04-04 1998-10-23 Citizen Watch Co Ltd Output driver circuit
JP3217022B2 (en) 1998-02-10 2001-10-09 エヌイーシーマイクロシステム株式会社 Clock tree synthesis method
JPH11312965A (en) 1998-04-28 1999-11-09 Hitachi Ltd Delay circuit
JP2954194B1 (en) * 1998-09-18 1999-09-27 日本電気アイシーマイコンシステム株式会社 Clock skew reduction method and system
JP4599485B2 (en) 1998-10-27 2010-12-15 アップル インコーポレイテッド Method and apparatus for logic synchronization
JP3825573B2 (en) 1999-02-17 2006-09-27 株式会社東芝 Synchronous circuit and delay circuit
JP2001332693A (en) * 2000-05-23 2001-11-30 Nec Corp Buffer circuit block and design method for semiconductor integrated circuit device the same
US6594807B1 (en) * 2001-03-06 2003-07-15 Lsi Logic Corporation Method for minimizing clock skew for an integrated circuit
US6442737B1 (en) * 2001-06-06 2002-08-27 Lsi Logic Corporation Method of generating an optimal clock buffer set for minimizing clock skew in balanced clock trees
JP2003152078A (en) 2001-11-12 2003-05-23 Fujitsu Ltd Method and equipment for adjusting delay time in semiconductor circuit
US6701507B1 (en) * 2001-12-14 2004-03-02 Sequence Design, Inc. Method for determining a zero-skew buffer insertion point
US6754877B1 (en) * 2001-12-14 2004-06-22 Sequence Design, Inc. Method for optimal driver selection
US6698006B1 (en) * 2001-12-14 2004-02-24 Sequence Design, Inc. Method for balanced-delay clock tree insertion
JP4118578B2 (en) * 2002-03-14 2008-07-16 富士通株式会社 Semiconductor integrated circuit design method and design program
US7017132B2 (en) * 2003-11-12 2006-03-21 Taiwan Semiconductor Manufacturing Company Methodology to optimize hierarchical clock skew by clock delay compensation
US7042269B2 (en) * 2004-07-06 2006-05-09 Princeton Technology Corporation Method for dynamic balancing of a clock tree
US7191418B2 (en) * 2004-07-12 2007-03-13 Chang Gung University Method and apparatus for rapidly selecting types of buffers which are inserted into the clock tree for high-speed very-large-scale-integration

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5796624A (en) * 1994-09-16 1998-08-18 Research Foundation Of State University Of New York Method and apparatus for designing circuits for wave pipelining
US5757218A (en) * 1996-03-12 1998-05-26 International Business Machines Corporation Clock signal duty cycle correction circuit and method
US6088415A (en) * 1998-02-23 2000-07-11 National Semiconductor Corporation Apparatus and method to adaptively equalize duty cycle distortion
US6069511A (en) * 1998-08-26 2000-05-30 National Semiconductor Corporation Digital slew rate and duty cycle control circuit and method
US6467074B1 (en) * 2000-03-21 2002-10-15 Ammocore Technology, Inc. Integrated circuit architecture with standard blocks
US6910199B2 (en) * 2001-04-23 2005-06-21 Telairity Semiconductor, Inc. Circuit group design methodologies
US6411145B1 (en) * 2001-06-14 2002-06-25 Lsi Logic Corporation Feedback control of clock duty cycle
US6507220B1 (en) * 2001-09-28 2003-01-14 Xilinx, Inc. Correction of duty-cycle distortion in communications and other circuits
US6690202B1 (en) * 2001-09-28 2004-02-10 Xilinx, Inc. Correction of duty-cycle distortion in communications and other circuits
US6836163B2 (en) * 2002-04-18 2004-12-28 Koninklijke Philips Electronics N.V. Differential output structure with reduced skew for a single input
US6724231B2 (en) * 2002-08-22 2004-04-20 Renesas Technology Corp. Clock signal propagation gate and semiconductor integrated circuit including same

Also Published As

Publication number Publication date
TW200608586A (en) 2006-03-01
JP5614781B2 (en) 2014-10-29
TWI351769B (en) 2011-11-01
JP2012239185A (en) 2012-12-06
US20070256047A1 (en) 2007-11-01
KR101164683B1 (en) 2012-07-11
US7590961B2 (en) 2009-09-15
JP2006066914A (en) 2006-03-09
KR20060050564A (en) 2006-05-19

Similar Documents

Publication Publication Date Title
US5973541A (en) Parametric tuning of an integrated circuit after fabrication
US6593792B2 (en) Buffer circuit block and design method of semiconductor integrated circuit by using the same
US20100164547A1 (en) Base cell for engineering change order (eco) implementation
US7157930B2 (en) Scan flip flop, semiconductor device, and production method of semiconductor device
US6643828B2 (en) Method for controlling critical circuits in the design of integrated circuits
US8561006B2 (en) Signal transmission circuit for increasing soft error tolerance
US20100231256A1 (en) Spare cell library design for integrated circuit
US7590961B2 (en) Integrated circuit with signal skew adjusting cell selected from cell library
JP2007124343A (en) Data-holding circuit
US7382170B2 (en) Programmable delay circuit having reduced insertion delay
US8134395B2 (en) Leakage power optimized structure
JP5627691B2 (en) Apparatus and related method for metastability enhanced storage circuit
US20090002017A1 (en) Multiple-Mode Compensated Buffer Circuit
US9569570B2 (en) Configurable delay cell
US7190756B1 (en) Hybrid counter with an asynchronous front end
JP2001210718A (en) Semiconductor integrated circuit and method of designing the same
US6794903B2 (en) CMOS parallel dynamic logic and speed enhanced static logic
US6741100B2 (en) Semiconductor integrated circuit capable of high-speed circuit operation
US6304111B1 (en) CMOS switch circuit having concurrently switching complementary outputs independent from process variation
US8035419B2 (en) High-speed standard cells designed using a deep-submicron physical effect
JP2003243519A (en) Semiconductor integrated circuit and designing method therefor
JP2006032519A (en) Semiconductor integrated circuit
JP2007273872A (en) Design method of semiconductor integrated circuit device
JP2006166254A (en) Input circuit

Legal Events

Date Code Title Description
AS Assignment

Owner name: AGERE SYSTEMS INC., PENNSYLVANIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:GASPER, MARTIN J., JR.;MORRIS, BERNARD L.;REEL/FRAME:015736/0972

Effective date: 20040823

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION