US20020045359A1 - Fluorine-containing materials and processes - Google Patents

Fluorine-containing materials and processes Download PDF

Info

Publication number
US20020045359A1
US20020045359A1 US09/841,525 US84152501A US2002045359A1 US 20020045359 A1 US20020045359 A1 US 20020045359A1 US 84152501 A US84152501 A US 84152501A US 2002045359 A1 US2002045359 A1 US 2002045359A1
Authority
US
United States
Prior art keywords
fluorine
film
source
substrate
sif
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US09/841,525
Other versions
US6458718B1 (en
Inventor
Michael Todd
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM Japan KK
Original Assignee
ASM Japan KK
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM Japan KK filed Critical ASM Japan KK
Priority to US09/841,525 priority Critical patent/US6458718B1/en
Assigned to ASM JAPAN K.K. reassignment ASM JAPAN K.K. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: TODD, MICHAEL A.
Publication of US20020045359A1 publication Critical patent/US20020045359A1/en
Application granted granted Critical
Publication of US6458718B1 publication Critical patent/US6458718B1/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/60Deposition of organic layers from vapour phase
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/62Plasma-deposition of organic layers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D5/00Processes for applying liquids or other fluent materials to surfaces to obtain special surface effects, finishes or structures
    • B05D5/08Processes for applying liquids or other fluent materials to surfaces to obtain special surface effects, finishes or structures to obtain an anti-friction or anti-adhesive surface
    • B05D5/083Processes for applying liquids or other fluent materials to surfaces to obtain special surface effects, finishes or structures to obtain an anti-friction or anti-adhesive surface involving the use of fluoropolymers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • H01L21/0212Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC the material being fluoro carbon compounds, e.g.(CFx) n, (CHxFy) n or polytetrafluoroethylene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02131Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being halogen doped silicon oxides, e.g. FSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31629Deposition of halogen doped silicon oxide, e.g. fluorine doped silicon oxide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC

Definitions

  • This invention relates generally to methods for making fluorine-containing materials, and more particularly to methods for depositing such materials onto substrates using chemicals that contain carbon atoms and fluorine atoms.
  • U.S. Pat. No. 5,563,105 discloses a chemical vapor deposition (CVD) process employing SiF 4 and tetraethoxysilane (TEOS) to form a fluorosilicate glass, which is stated to have lower water absorption than a sample formed from C 2 F 6 .
  • TEOS tetraethoxysilane
  • U.S. Pat. No. 5,703,404 discloses silicon oxide films containing Si-F bonds through the use of fluorosilanes.
  • U.S. Pat. No. 5,876,798 discloses the use of fluorotriethoxysilane (FTES).
  • FTES fluorotriethoxysilane
  • 5,244,698 discloses PECVD deposition using organosilanes and organohalogenosilanes.
  • the use of fluorinated compounds containing carbon-carbon double bonds is disclosed in U.S. Pat. Nos. 5,989,998. 6,051,321 discloses the use of fluorinated aromatic compounds.
  • U.S. Pat No. 5,900,290 discloses the use of octafluorocyclobutane, as does T. Shirafuji et al., “PE-CVD of Fluorocarbon/SiO Composite Thin Films Using C 4 F 8 and HMDSO,” Plasmas and Polymers, Vol. 4, No. 1, p. 57 (1999).
  • PTFE polytetrafluoroethylene
  • PTFE materials generally have low dielectric constants but are structurally based upon long, uncrosslinked chains. The uncrosslinked structure of these materials is likely the source of the mechanical instabilities that have been observed during attempts to integrate them into microelectronic devices.
  • Current spin-on processes face a serious challenge in attempting to crosslink PTFE because they are typically produced using nanoemulsions of PTFE particles that are delivered to the substrate in solution. These particles are typically five to twenty nanometers in size and thus represent relatively large building blocks for the deposition of thin film materials, resulting in problems with step coverage.
  • CVD PTFE materials are typically deposited using plasma-enhanced chemical vapor deposition (PECVD) of mixtures of CF 4 and CH 4 . It is believed that the deposited materials result from reactive C-F species derived from partially ionized source gas molecules. Typical C-F species are believed to be CF 4 + , CF 3 + , CF 2 2+ and very limited amounts of CF 3+ , and thus represent a broad range of source species for the deposition of the film.
  • PECVD plasma-enhanced chemical vapor deposition
  • fluorinated materials have a low dielectric constant suitable for use in microelectronics manufacturing.
  • chemical precursors that contain one or more —CF 3 (trifluoromethyl) groups are disclosed, and processes for using these precursors to deposit fluorine-containing materials onto substrates are taught.
  • mixtures of chemical precursors with sources of various elements are used to deposit fluorine-containing materials onto substrates.
  • processes for making porous fluorinated materials are taught.
  • a process for depositing a material onto a surface, comprising providing a substrate; providing a chemical precursor of the formula (F 3 C) 4 ⁇ m ⁇ n MX m R n , wherein M is Si or Ge; X is halogen; R is H or D; m is 0, 1, 2 or 3; and n is 0, 1, 2, or 3; with the proviso that (m+n) ⁇ 3; and activating the chemical precursor to thereby deposit a fluorine-containing material onto the substrate.
  • a chemical vapor deposition process for depositing a dielectric film onto a surface, comprising providing a chemical vapor deposition chamber having disposed therein a substrate; introducing a gas to the chamber, wherein the gas comprises a chemical precursor selected from the group consisting of (F 3 C)SiH 3 , (F 3 C) 2 SiH 2 , (F 3 C)SiD 3 , (F 3 C) 2 SiD 2 , (F 3 C) SiF 2 H, (F 3 C)SiF 3 , (F 3 C)SiFD 2 , and (F 3 C)SiF 2 D; and reacting the chemical precursor to deposit onto the substrate a film having a dielectric constant of about 2.7 or lower.
  • a process for making a porous material comprising providing an oxygen source; providing a compound of the formula (F 3 C) 4 ⁇ m ⁇ n MX m R n , wherein M is Si or Ge; X is halogen; R is H or D; m is 0, 1, 2 or 3; and n is 0, 1, 2, or 3; with the proviso that (m+n) ⁇ 3; providing a substrate; activating the oxygen source and the compound at a temperature of about 300° C. or less to thereby deposit an oxygen-containing film onto the substrate; and heating the oxygen-containing film to a temperature in the range of about 150° C. to about 400° C. to form a porous film.
  • fluorine-containing materials can be prepared by practicing the processes described herein.
  • “Fluorine-containing material” is used in its usual sense to include materials that contain the element fluorine as part of their chemical structure.
  • the fluorine atoms can be incorporated into the material in various ways, preferably by ionic or covalent bonds, and can be dispersed homogeneously or non-homogeneously.
  • fluorine-containing materials are organic polymers in which fluorine atoms are bonded to carbon atoms.
  • the fluorine-containing material is preferably a polymer that comprises recurring CF 2 units and may be branched or unbranched, and crosslinked or uncrosslinked, preferably crosslinked.
  • Fluorine-containing materials can be in various forms such as particles or fibers, but are preferably in the form of a film. “Film” is used in its usual sense to include both free-standing films and layers or coatings applied to substrates.
  • a film can be flat or it can conform to an underlying three-dimensional surface, and in either case can have a constant or variable thickness, preferably constant.
  • the average thickness of the film is effective to provide the desired function, e.g. low dielectric constant for intermetal level dielectric applications.
  • the average film thickness is in the range of about 5 ⁇ to about 15,000 ⁇ , preferably about 10 ⁇ to about 10,000 ⁇ , more preferably about 10 ⁇ to about 8,000 ⁇ , most preferably about 100 ⁇ to about 5,000 ⁇ .
  • the fluorine-containing materials described herein are preferably deposited onto a substrate.
  • “Substrate” is used in its usual sense to include any underlying surface onto which the fluorine-containing material is deposited or applied.
  • Preferred substrates can be made of virtually any material, including without limitation metal, metal oxide, metal nitride, silicon, germanium, plastic, and/or glass, preferably silicon and silicon alloys.
  • Particularly preferred substrates include silicon substrates, e.g. silicon wafers and layers of Group III-V materials used in the fabrication of microelectronics, and integrated circuits.
  • Integrated circuit is used in its usual sense in the microelectronics field to include substrates onto which microelectronic devices have been or are to be applied, and thus includes integrated circuits which are in the process of being manufactured and which may not yet be functional.
  • the semiconductor substrate In the field of integrated circuit fabrication, the semiconductor substrate generally refers to the lowest level of semiconductor material from which devices are formed.
  • fluorine-containing materials are preferably dielectric films.
  • “Dielectric film” is used in its usual sense in the microelectronics field to mean films having the structure described above and having an insulating electrical function in the completed circuit.
  • Preferred dielectric films have a dielectric constant of about 3.0 or less, more preferably about 2.7 or less, even more preferably about 2.4 or less, most preferably about 2.2 or less.
  • MEMS microelectromechanical systems
  • the fluorine-containing materials described herein can be used to reduce friction in various MEMS applications, including without limitation nanometer-sized bearings, gears and motors.
  • the fluorine-containing material is preferably a film having a thickness in the range of about 5 ⁇ to about 25 ⁇ .
  • the MEMS device is fabricated using integrated circuit process technology.
  • the static coefficient of friction for a fluorine-containing material is preferably about 0.5 or less, more preferably about 0.2 or less, most preferably about 0.1 or less.
  • a “chemical precursor” is a fluorine-containing (“F-containing”) chemical compound or mixture of F-containing chemical compounds that is capable of being activated under the conditions described herein to form a fluorine-containing material.
  • Preferred processes involve providing a substrate and providing a chemical precursor that contains fluorine atoms and carbon atoms under conditions that are effective to deposit a fluorine-containing material onto the substrate.
  • Preferred chemical precursors are capable of being activated to yield F-containing molecular fragments that result in a film and other gaseous molecular fragments that can be removed from the vicinity of the substrate to minimize contamination of the film.
  • Preferred chemical precursors contain at least one trifluoromethyl group.
  • a preferred class of trifluoromethyl-containing chemical precursors is represented by the chemical formula (F 3 C) 4 ⁇ m ⁇ n MX m R n , wherein M is Si or Ge; X is halogen; R is H or D; m is 0, 1, 2 or 3; and n is 0, 1, 2, or 3; with the proviso that (m+n) is less than or equal to three.
  • M is Si and X is fluorine or chlorine.
  • Activating the chemical precursors disclosed herein is believed to result in the formation of chemically active F-containing species that are capable of depositing onto a substrate to form a fluorine-containing material.
  • activating means causing the chemical precursor to become so chemically active as to enable deposition on a substrate to form a fluorine-containing material. Reacting a chemical precursor under the conditions described herein deposits the corresponding fluorine-containing material.
  • Various preferred ways of activating the chemical precursor are discussed below.
  • activating the chemical precursors described herein involves creating chemically active F-containing fragments, preferably fragments that contain carbon and fluorine atoms. These reactive fragments can then combine to form a fluorine-containing material. Under preferred conditions, a fluorine-containing material is formed by activating a chemical precursor represented by the formula (F 3 C) 4 ⁇ m ⁇ n MX m R n to form CF 2 -type fragments and gaseous F-MXR-type fragments.
  • Preferred silicon-containing (“Si-containing”) chemical precursors include (F 3 C)SiH 3 , (F 3 C) 2 SiH 2 , (F 3 C)SiD 3 , (F 3 C) 2 SiD 2 , (F 3 C)SiFH 2 , (F 3 C)SiF 2 H, (F 3 C)SiF 3 , (F 3 C)SiFD 2 , (F 3 C)SiF 2 D (F 3 C)SiClF 2 , (F 3 C)SiCl 2 F and (F 3 C)SiCl 3 .
  • germanium-containing (“Ge-containing”) chemical precursors include (F 3 C)GeH 3 , (F 3 C) 2 GeH 2 , (F 3 C)GeD 3 , (F 3 C) 2 GeD 2 , (F 3 C)GeFH 2 , (F 3 C)GeF 2 H, (F 3 C)GeF 3 , (F 3 C)GeFD 2 , and (F 3 C)GeF 2 D.
  • the “[:CF 2 ]” in Scheme (I) represents highly reactive :CF 2 fragments and other F-containing fragments that may also be generated; the brackets indicate that these species are likely to be transient and short-lived.
  • the “—(CF 2 ) n ⁇ ” in Scheme (I) represents a possible structure for at least a part of the fluorinated polymer formed by the deposition of the postulated :CF 2 fragments. Activation and deposition are preferably conducted under conditions such that any by-products, e.g., SiF 4 , are gaseous in order to minimize contamination of the fluorine-containing material with silicon or fluorine (unless inclusion of those elements is desired).
  • Fluorine-containing copolymers can be prepared by using mixtures of chemical precursors.
  • Chemical precursors can be prepared by methods known to those skilled in the art. For example, syntheses for preferred chemical precursors are disclosed in the literature, see, e.g., K. G. Sharp and T. D. Coyle, “Synthesis and Some Properties of Trifluoro(trifluoromethyl)silane,” J. Fluorine Chem., Vol. 1, pp. 249-251 (1971/72); H. Beckers et al., “Synthesis and Properties of (Trifluoromethyl)trichlorosilane, a Versatile Precursor for CF 3 Si Compounds,” J. Organometal. Chem., Vol. 316, pp. 41-50, (1986).
  • Activating the chemical precursor preferably involves applying amounts of energy, e.g., thermal, chemical, photo-chemical, mechanical, or plasma energy, that are effective to break one or more chemical bonds within the chemical precursor. It is often difficult to store the resulting F-containing fragments for extended periods of time because they tend to be highly reactive. Therefore, it is preferable to activate the chemical precursor in close spatial proximity to the substrate, at the time of deposition.
  • energy e.g., thermal, chemical, photo-chemical, mechanical, or plasma energy
  • the process of activating the chemical precursor is believed to involve the formation of F-containing fragments as discussed above, but the detection, measurement and/or characterization of these fragments may be difficult in practice because of their transient nature. Activation of the chemical precursor is thus primarily evidenced by the formation of a fluorine-containing material and does not require formation or identification of any F-containing fragments, although evidence of fragmentation may be indicative of activation.
  • the chemical precursor can be provided in the form of a solid, liquid or gas, preferably a gas.
  • a liquid comprised of the chemical precursor can be applied to a substrate and then activated to form a fluorine-containing material on the substrate, preferably by using techniques similar to those that are well-known in the art for spin-coating.
  • the chemical precursor is provided in the form of a gas.
  • the amount of chemical precursor provided is preferably controlled by adjusting the pressure of the gas, which can range from about 0.01 torr to atmospheric pressure (about 760 torr) or even higher. The amount can also be controlled by intermixing the chemical precursor with another gas and adjusting the total gas pressure or the partial pressure of the chemical precursor in the gas mixture.
  • Optional components of the gas mixture include carrier gases such as hydrogen, helium, nitrogen, argon, neon, krypton and xenon.
  • carrier gases such as hydrogen, helium, nitrogen, argon, neon, krypton and xenon.
  • a liquid chemical precursor can be provided by using a bubbler, e.g., by bubbling a carrier gas through the chemical precursor.
  • the amount of chemical precursor in the gas can vary over a broad range, preferably from about 0.01% to 100% by volume.
  • the incorporation of additional elements into the fluorine-containing material may be accomplished by providing a supplemental source of the additional element or elements, preferably by providing a supplemental silicon source, oxygen source, germanium source, and/or carbon source.
  • a supplemental source may be provided, preferably a mixture of an oxygen source and a silicon source, or a mixture of an oxygen source and a germanium source.
  • the chemical precursor is applied to the substrate in the form of a liquid
  • the liquid can also comprise a supplemental source of the desired additional element, in an amount effective to provide the resulting fluorine-containing material with the desired elemental composition.
  • a gas which comprises the chemical precursor and the supplemental source, and the amount of each element in the resulting fluorine-containing material is controlled by adjusting the partial pressure of each component using routine experimentation.
  • Schemes (II) and (III) illustrate preferred possible pathways for incorporating silicon into the fluorine-containing material.
  • ratios of F 3 CSiD 3 to H 3 SiSiH 3 and F 3 CSiH 3 to (H 3 C) 2 SiH 2 illustrated in Schemes (II) and (III), respectively, are only exemplary and can be varied over a broad range.
  • the ratio of chemical precursor to supplemental source i.e., chemical precursor:supplemental source, is about 10:1 or higher, more preferably in the range of about 50:1 to about 50,000:1, by mole.
  • the properties of the fluorine-containing material can be varied continuously or in steps by adjusting the relative amounts of the various components during deposition.
  • the first 10-100 ⁇ of deposited material can be made relatively rich in an element or composition that is know to adhere well to the underlying substrate by providing a mixture comprising a F-containing chemical precursor and a supplemental source and relatively poor in the chemical precursor.
  • the film can be graded by decreasing the relative amount of supplemental source during the next stage of the deposition to thereby deposit a relatively CF 2 -rich portion that provides the resulting fluorine-containing material with a low dielectric constant.
  • the relative amount of supplemental source can be increased again so that any layers subsequently deposited onto the fluorine-containing material will adhere well to its surface.
  • the supplemental source chosen for the initial stage of the deposition can be the same or different from the supplemental source chosen for the final stage.
  • the supplemental sources are selected to provide an initial deposit that adheres well to the substrate and a final deposit that adheres well to the subsequently deposited layer, if any.
  • supplemental sources include silane, and more preferably, disilane and trisilane.
  • preferred supplemental sources include dimethoxysilane and dimethyldimethoxysilane.
  • preferred silicon sources include disilylmethane, trisilylmethane, and tetrasilylmethane.
  • silicon-carbon substrate materials may also be preferably deposited using methylsilane, dimethylsilane, trimethylsilane or tetramethylsilane. Accordingly, graded fluorine-containing materials can be provided with good adhesion and low overall dielectric constant.
  • supplemental sources may be used to introduce elements that serve as crosslinking sites within the fluorine-containing material.
  • the tetravalent Si atoms in —[(SiH 2 )—(CF 2 ) x —( SiH 2 )—(CF 2 ) 100 ⁇ x ]— are potential crosslinking sites because they can eliminate hydrogen to form bonds to other polymer chains.
  • Supplemental silicon and germanium sources in which the silicon or germanium is bonded to more than two hydrogen atoms are preferred crosslinking agents because the Si-H or Ge-H bond(s) in the resulting fluorine-containing material can be easily broken to allow the Si or Ge atom to bond to more than one polymer chain.
  • crosslinking agents are disilane, trisilane, methylsilane, digermane, trigermane, and methylgermane.
  • Crosslinking agents are preferably used in an amount that is effective to crosslink the fluorine-containing material, more preferably at relatively low levels to avoid detrimental effects on other properties such as dielectric constant, even more preferably at a level of about 1% or less, most preferably about 0.5% or less, by weight based on weight of chemical precursor.
  • a supplemental oxygen source may be used to introduce porosity into the fluorine-containing material as discussed in greater detail below.
  • preferred silicon sources include silane, disilane, trisilane, methylsilane, dimethylsilane, disiloxane, dimethylsiloxane, methoxysilane, dimethoxysilane, and dimethyldimethoxysilane.
  • Preferred germanium sources include germane, digermane, trigermane, methylgermane, dimethylgermane, methoxygermane and dimethoxygermane.
  • Preferred carbon sources include methane, ethane, fluoromethane, perfluoroethane, silylmethane, disilylmethane, trisilylmethane, tetrasilylmethane, methylsilane, dimethylsilane, trimethylsilane and tetramethylsilane.
  • Preferred oxygen sources include oxygen, nitrous oxide, ozone, carbon dioxide, carbon monoxide, hydrogen peroxide, water, methanol, ethanol, dimethylether, and diethyl ether.
  • Preferred supplemental sources can be a source for two or more elements, e.g., dimethylether can be a source of both carbon and oxygen, dimethylsiloxane can be a source of carbon, oxygen and silicon, etc.
  • a continuous coating process can be conducted in which a substrate such as a glass fiber or plastic sheet is run under a set of heat lamps at a temperature sufficient to activate a chemical precursor that is directed to flow continuously over the substrate, thus depositing a F-containing film onto the fiber or sheet.
  • a substrate such as a glass fiber or plastic sheet
  • a set of heat lamps at a temperature sufficient to activate a chemical precursor that is directed to flow continuously over the substrate, thus depositing a F-containing film onto the fiber or sheet.
  • it is preferable to deposit the fluorine-containing material onto the substrate by disposing the substrate within a chamber and introducing the chemical precursor to the chamber.
  • the chamber can be partially open during deposition, e.g., in the above example, the fiber or sheet can be run through an oven or furnace, or preferably the chamber can be closed during deposition.
  • Closed chambers are preferably used in the chemical vapor deposition (CVD) techniques that are preferably used to deposit the fluorine-containing material.
  • CVD chemical vapor deposition
  • PECVD plasma-enhanced chemical vapor deposition
  • thermal CVD thermal chemical vapor deposition
  • These techniques are widely used in the fabrication of integrated circuits, see, e.g., Stephen A. Campbell, “The Science and Engineering of Microelectronic Fabrication,” Oxford University Press, New York (1996); Stanley Wolf and Richard N. Tauber, “Silicon Processing for the VLSI Era,” Lattice Press, Sunset Beach, Calif. (2000).
  • PECVD plasma energy is used to activate the chemical precursor by applying an electromagnetic field, e.g., microwave or radio frequency energy, to the chemical precursor.
  • the plasma may generated in the immediate vicinity of the substrate or in a remote location.
  • Preferred substrate temperatures during deposition range from about minus 10° C. to about 450° C., more preferably about 0° C. to about 400° C.
  • the flow of chemical precursor to the substrate can be controlled by employing a gas mixture and adjusting the partial pressure of the chemical precursor within the mixture.
  • a chamber is employed so that the flow of chemical precursor can also be controlled by manipulating the overall pressure, using a vacuum pump or similar device.
  • the chemical precursor is preferably introduced at the inlet, and the chamber is preferably backfilled with carrier gas to control the total pressure.
  • Preferred total pressures are in the range of about 0.001 torr to about 100 torr, preferably about 0.05 torr to about 25 torr.
  • Preferred partial pressures of chemical precursor for PECVD are in the range of about 0.01 torr to about 20 torr, preferably about 0.05 torr to about 5 torr.
  • a preferred PECVD deposition technique utilizes a pulsed plasma (non-continuous wave) process, where the electromagnetic field is only applied during a portion of the activation and/or deposition process.
  • This invention is not bound by theory, but it is believed that the pulsed PECVD technique maximizes the amount of :CF 2 generated and minimizes the formation of other CF-type species, and thus maximizes the CF 2 content of the resulting deposited fluorine-containing material.
  • the length of each sequence is preferably in the range of about 5 milliseconds to about 500 milliseconds.
  • the electromagnetic field is applied for less than about 50% of the length of each sequence, i.e., less than 25 milliseconds out of each 50 milliseconds, less than about 250 milliseconds out of each 500 milliseconds, etc., and even more preferably applied for less than about 15% of the length of each sequence.
  • thermal energy is used to activate the chemical precursor by adjusting the temperature of the substrate and/or the chemical precursor, preferably by heating to a temperature in the range of about 150° C. to about 450° C., more preferably about 250° C. to about 450° C., even more preferably about 300° C. to about 400° C.
  • the flow of chemical precursor to the substrate can be controlled by employing a gas mixture and controlling the partial pressure of the chemical precursor within the mixture.
  • a chamber is employed so that the flow of chemical precursor can also be controlled by manipulating the overall pressure, using a vacuum pump or similar device.
  • the chemical precursor is preferably introduced at the inlet, and the chamber is preferably backfilled with carrier gas to control the total pressure.
  • Preferred total pressures are in the range of about 0.1 torr to about 760 torr, more preferably about 1 torr to about 350 torr, and most preferably about 1 torr to about 100 torr.
  • Preferred partial pressures of chemical precursor are in the range of about 0.01 torr to about 400 torr, more preferably about 0.1 torr to about 200 torr.
  • thermal CVD is used to deposit a crosslinked fluorine-containing material having a thickness in the range of 10 ⁇ to about 5,000 ⁇ onto a substrate disposed within a chamber at a temperature in the range of about ⁇ 10° C. to about 450° C.
  • Suitable chambers for conducting PECVD are commercially available, and preferred models include the EagleTM series of reactors commercially available from ASM Japan K.K., of Tokyo, Japan. Suitable chambers for conducting thermal CVD are also commercially available and include the EpsilonTM series of single wafer epitaxial reactors, such as the Epsilon 2000®, commercially available from ASM America, Inc. of Phoenix, Ariz. Preferred models include the A400 series of batch tube reactors, such as the A400® and A412®, commercially available from ASM International N.V. of Bilthoven, The Netherlands.
  • CVD chambers are preferably equipped with a number of features, such as computer control of temperature, gas flow and switching, and chamber pressure, that can be manipulated to produce consistently high-quality films suitable for microelectronics applications.
  • features such as computer control of temperature, gas flow and switching, and chamber pressure, that can be manipulated to produce consistently high-quality films suitable for microelectronics applications.
  • Those skilled in the CVD art are familiar with such methods and equipment, and thus routine experimentation may be used to select the appropriate conditions for depositing fluorine-containing materials using the chemical precursors described herein.
  • thermal CVD is used for deposition in a process that involves independently adjusting the temperature of the substrate and the activation temperature of the chemical precursor.
  • the temperature of the substrate can be adjusted by methods known in the art, such as the use of heat lamps and/or by resistively heating the substrate.
  • the chemical precursor can also be activated by heating it directly using various methods such as by providing it as a component of a heated carrier gas or by utilizing a heated inlet port e.g., a heated showerhead.
  • a heated inlet port e.g., a heated showerhead.
  • an EagleTM 10 PECVD reactor (commercially available from ASM Japan K.K., of Tokyo, Japan) is used in a thermal mode, i.e., without the use of a plasma.
  • the reactor is preferably equipped with a heated showerhead and a heated substrate such that the temperature of each can be adjusted independently.
  • the chemical precursor is preferably activated by heating the substrate to a temperature in the range of about 100° C. to about 450° C., more preferably about 150° C. to about 400° C., while maintaining the temperature of the showerhead below the activation temperature of the chemical precursor, preferably in the range of about 30° C. to about 40° C.
  • the chemical precursor can also be activated by heating the showerhead to a temperature in the range of about 100° C. to about 450° C., more preferably about 150° C. to about 450° C., and maintaining the temperature of the substrate at a temperature that is about 50° C. to about 300° C. cooler than the showerhead.
  • the substrate is maintained at a temperature in the range of about ⁇ 10° C. to about 450° C., more preferably about 100° C. to about 400° C.
  • both remote PECVD and thermal CVD are used to deposit a fluorine-containing material which also contains silicon.
  • a remote plasma is used to decompose silane, disilane or trisilane to provide a source of reactive Si-containing fragments. These fragments are then preferably directed to a thermal CVD chamber into which a chemical precursor, preferably CF 3 SiF 3 , is introduced.
  • the chemical precursor is preferably activated directly, preferably by using a heated showerhead, at a temperature in the range of about 100° C. to about 450° C.
  • a substrate contained within the chamber is maintained at a temperature in the range of about 100° C. to about 450° C. Under these conditions, it is believed that a mixture of Si-containing fragments and [:CF 2 ] is produced that deposits onto the substrate to form a preferred Si-containing, fluorine-containing material.
  • fluorine-containing polymers can be produced, depending on such factors as the composition and amount of the chemical precursor(s), the presence or absence of additional compounds that provide a source of other elements, e.g., supplemental sources, activation conditions (temperature, presence or absence of plasma, etc.), deposition conditions (temperature, presence or absence of plasma, etc.), and the nature of the substrate.
  • supplemental sources e.g., activation conditions (temperature, presence or absence of plasma, etc.), deposition conditions (temperature, presence or absence of plasma, etc.), and the nature of the substrate.
  • activation conditions temperature, presence or absence of plasma, etc.
  • deposition conditions temperature, presence or absence of plasma, etc.
  • the nature of the substrate e.g., a high proportion of a chemical precursor such as CF 3 SiF 3 .
  • CF 3 SiF 3 fluorine-containing polymers which contain a high proportion of recurring CF 2 units, i.e., —(CF 2 ) n —, even when the deposition conditions vary, as illustrated in the working examples provided below.
  • Preferred polymers comprise a high proportion of CF 2 groups as shown by infrared spectroscopy and X-ray photoelectron spectroscopy.
  • Polymers comprising carbon and fluorine atoms are preferred which have a numerical ratio of fluorine atoms to carbon atoms, i.e. fluorine:carbon, in the range of about 1:1 to about 3:1, more preferably about 1.5:1 to about 2.5:1, even more preferably about 1.8:1 to about 2.2: 1, as shown by elemental analysis.
  • the properties of fluorine-containing materials described herein can be controlled by varying the overall deposition conditions, preferably by controlling the type and amount of chemical precursor(s), the type and amount of additional elemental source (if any), the activation temperature, the substrate temperature, and the presence or absence of plasma.
  • the effect of varying each of these parameters is discussed elsewhere herein. For instance, in the working examples provided below, it was found that thinner films having higher adhesion and higher transparency were formed at higher deposition temperatures, whereas thicker, more opaque films having lower adhesion were formed at lower temperatures, and films having intermediate properties were formed at intermediate deposition temperatures.
  • experimental design methods are used to determine the effect of the various process variables and combinations thereof on chemical composition and/or physical properties of the resulting films.
  • the fluorine-containing materials described herein can be subjected to a variety of processes.
  • additional layers of other materials such as metal lines or semiconducting layers can be deposited onto the surface of a dielectric film formed as described herein.
  • Such deposition can be conducted by providing a silicon source, metal source, germanium source, etc., and depositing the additional layer in the usual manner.
  • the adhesion of the additional layer is enhanced by including an adhesion-promoting supplemental element during the final stage of the deposition as described elsewhere herein.
  • the surface of the fluorine-containing material can be treated or modified by exposing it to a chemically reactive reagent, e.g., etching with a strong oxidizing agent such as an oxygen plasma or defluorinating with a strong reducing agent with the optional introduction of functional groups, see, e.g., C. A. Costello and T. J. McCarthy, “Introduction of Organic Functional Groups Onto the Surface of Poly(tetrafluoroethylene),” Proceedings of the ACS Division of Polymeric Materials Science and Engineering, Vol. 55. p 893 (1986).
  • a chemically reactive reagent e.g., etching with a strong oxidizing agent such as an oxygen plasma or defluorinating with a strong reducing agent with the optional introduction of functional groups
  • a preferred embodiment provides a process for making a porous material.
  • the process is conducted by depositing an oxygen-containing film onto a substrate at a relatively low temperature, then heating the film to eliminate oxygen and combustion products of the oxygen with the combustible elements of the film, e.g., carbon.
  • the process is conducted by providing a oxygen source or oxidizing agent and a F-containing compound as described below and depositing a F-containing film onto a substrate at a relatively higher temperature, so that porosity in the film is created by combustion that occurs during the deposition process.
  • the film is preferably deposited by a deposition technique as described elsewhere herein, preferably by thermal CVD or PECVD, using an oxygen source as described elsewhere herein and an F-containing compound of the formula (F 3 C) 4 ⁇ m ⁇ n MX m R n , wherein M is Si or Ge; X is halogen; R is H or D; m is 0, 1, 2 or 3; and n is 0, 1, 2, or 3; with the proviso that (m+n) ⁇ 3.
  • a deposition technique as described elsewhere herein, preferably by thermal CVD or PECVD, using an oxygen source as described elsewhere herein and an F-containing compound of the formula (F 3 C) 4 ⁇ m ⁇ n MX m R n , wherein M is Si or Ge; X is halogen; R is H or D; m is 0, 1, 2 or 3; and n is 0, 1, 2, or 3; with the proviso that (m+n) ⁇ 3.
  • Preferred F-containing compounds include (F 3 C)SiH 3 , (F 3 C) 2 SiH 2 , (F 3 C)SiD 3 , (F 3 C) 2 SiD 2 , (F 3 C)SiFH 2 , (F 3 C)SiF 2 H, (F 3 C)SiF 3 , (F 3 C)SiFD 2 , (F 3 C)SiF 2 D, (F 3 C)GeH 3 , (F 3 C) 2 GeH 2 , (F 3 C)GeD 3 , (F 3 C) 2 GeD 2 , (F 3 C)GeFH 2 , (F 3 C)GeF 2 H, (F 3 C)GeF 3 , (F 3 C)GeFD 2 , and (F 3 C)GeF 2 D.
  • the F-containing compound and the oxygen source are preferably provided in the form of gases or as components of a gas, and the gas may comprise a carrier gas, a silicon source, a carbon
  • the deposition of the oxygen source and the compound results in an oxygen-containing film that also contains fluorine atoms, preferably in the form of CF 2 groups.
  • Deposition at lower temperatures is preferred, preferably by PECVD or thermal CVD, more preferably at a temperature of about 300° C. or less, even more preferably at a temperature in the range of about 200° C. to about 300° C.
  • Deposition is preferably conducted in a chamber, even more preferably in a PECVD chamber or thermal CVD chamber as described elsewhere herein.
  • the F-containing compound is (F 3 C)SiF 3
  • the oxygen source is oxygen or ozone
  • about 5 atomic percent or less of oxygen atoms are incorporated into the oxygen-containing film, more preferably about 1 atomic percent or less, based on the total elemental content of the oxygen-containing film.
  • the porous film is preferably created by heating the oxygen-containing film to a temperature in the range of about 150° C. to about 400° C., preferably for a period of time that is effective to create the desired level of porosity in the film. More preferably, the heating is also effective to render the resulting film substantially free of oxygen, especially when the film is a dielectric film, because the presence of oxygen in the film tends to decrease the thermal stability of the thin film.
  • the porosity results because oxygen within the film reacts with other combustible elements such as carbon to form gases such as carbon monoxide (CO) and carbon dioxide (CO 2 ), thus creating microcavities within the film where the carbon and oxygen atoms were previously located.
  • the oxygen-containing film is heated to a temperature that is higher than the glass transition temperature of the film, so that additional porosity is achieved as the gases expand within the softened film to form microbubbles.
  • Higher levels of porosity within the film are preferred to provide the film with a lower dielectric constant.
  • the process of the first aspect is effective to provide the porous film with a dielectric constant that is at least 0.1 units less than the dielectric constant of the oxygen-containing film from which it is derived.
  • Deposition according to the second aspect is preferably conducted in a single, higher temperature step in which porosity-creating combustion occurs during deposition.
  • This invention is not bound by theory, but it is believed that the oxygen source acts as an oxidizing agent during deposition to at least partially etch the surface of the film as it is being deposited.
  • Deposition at higher temperatures is preferred, preferably by PECVD or thermal CVD, preferably at a temperature greater than about 300° C., even more preferably at a temperature in the range of about 350° C. to about 450° C.
  • Deposition is preferably conducted in a chamber, even more preferably in a PECVD chamber or thermal CVD chamber as described elsewhere herein.
  • the F-containing compound is (F 3 C)SiF 3
  • the oxygen source or oxidizing agent is oxygen, ozone, carbon dioxide or carbon monoxide
  • the ratio of F-containing compound to oxygen source, i.e., F-containing compound: oxygen source is in the range of from about 10:1 to 20,000:1, preferably 50:1 to 10,000:1, by weight based on total weight.
  • the resulting deposited film may contain oxygen, but is preferably substantially free of oxygen, especially when the film is a dielectric film, because the presence of oxygen in the film tends to reduce the thermal stability of the thin film material.
  • the porous film is a dielectric film having a dielectric constant of about 2.5 or lower, more preferably about 2.3 or lower, even more preferably about 2.1 or lower.
  • a highly preferred porous film is crosslinked and has a dielectric constant of about 2.5 or lower.
  • the average thickness of the porous film is in the range of about 5 ⁇ to about 15,000 ⁇ , preferably about 10 ⁇ to about 10,000 ⁇ , more preferably about 10 ⁇ to about 8,000 ⁇ , most preferably about 100 ⁇ to about 5,000 ⁇ .
  • the oxygen-containing films and the porous films described herein can be subjected to a variety of processes.
  • additional layers of other materials such as metal lines or semiconducting layers can be deposited onto the surface of a dielectric film formed as described herein.
  • Such deposition can be conducted by providing a silicon source, metal source, germanium source, etc., and depositing the additional layer in the usual manner.
  • a silicon source is introduced to the chemical vapor deposition chamber and a Si-containing film is deposited onto the porous film.
  • Fluorinated materials are also useful in a number of other industries where they are recognized for their unique properties.
  • Typical applications include coatings for biomedical devices, e.g., devices that are implanted into the body, coatings for non-stick cooking applications, coatings for moving parts such as bearings, computer hard disks and data tapes, antireflective coatings, and high performance coatings for protection from aggressive chemical environments encountered in the chemical processing industry, on satellites exposed to atomic oxygen, and in corrosive marine applications.
  • the processes described herein can be used to deposit fluorinated materials onto substrates that are used in these applications and in other applications where the unique properties of these materials provide a benefit.
  • a quartz tube having a gas inlet and outlet and enclosed by a resistively heated tube furnace was attached to a source of CF 3 SiF 3 . Seven silicon workpieces were placed along the length of the tube. A portion of the furnace was heated to a temperature of about 350° C., creating a hot zone near the inlet end and producing a temperature gradient along the remaining length of the furnace ranging from about 350° C. in the hot zone to about 30° C. at the outlet. The furnace temperature in the vicinity of each workpiece during deposition was measured with a thermocouple and is shown in Table 1.
  • the CF 3 SiF 3 chemical precursor was introduced to the inlet at a pressure of about 3 torr and a flow rate of about 10-15 standard cubic centimeters per minute (“sccm”), while backfilling with nitrogen to keep the pressure reasonably constant.
  • the CF 3 SiF 3 was thermally activated as it flowed along the length of the furnace to the outlet, thereby depositing films on each of the workpieces.
  • Outlet gases were trapped with liquid nitrogen and analyzed.
  • the primary gases recovered were SiF 4 and tetrafluoroethylene.
  • a trace quantity of CF 3 SiF 3 was also detected ( ⁇ 1%).
  • the top of the films was observed to be loosely adherent and could be removed via a tape test, while an adherent underlayer that was closest to the substrate was observed to be resistant to removal via tape tests.
  • FTIR spectra of these films are identical to those observed from films deposited directly within the resistively heated portion of the tube furnace. This suggests that gas phase nucleation is contributing to later stages of film deposition, resulting in polymeric materials that have molecular weight different from that of films deposited directly within the hot zone.
  • Workpieces maintained at temperatures from about 200° C. to about 140° C. were coated with thin, loosely adherent films that exhibited thickness fringe effects closest to the substrate, and that also had an overlayer of opaque, white films that were easily removed via tape test.
  • FTIR spectra of these films were identical to those obtained from films deposited work pieces within the hot zone. Workpieces maintained at about 35° C. were coated with films quite similar to those observed on work pieces maintained at about 200° C. to about 140° C., but they were observed to be much thinner. FTIR spectra of these films were identical to those obtained from films deposited on work pieces within the hot zone. TABLE 1 No. Temp., ° C.
  • a graded dielectric film is deposited by thermal CVD using an ASM A400® batch reactor system.
  • a silicon wafer contained in the chamber is heated to a substrate temperature of 450° C.
  • Trisilane is introduced to the chamber via a hydrogen bubbler at a flow rate of about 180 sccm for about 30 seconds to deposit an amorphous silicon layer having a thickness of about 10 ⁇ on the silicon wafer.
  • the flow rate of trisilane is then ramped down to 0.2 sccm while simultaneously ramping up the flow rate of CF 3 SiF 3 over the course of 1 minute to deposit onto the amorphous silicon layer about 60 ⁇ of a crosslinked, graded layer that contains both silicon and fluorine.
  • Deposition is then continued for about 10 minutes at a CF 3 SiF 3 :trisilane ratio of about 100:1 to deposit about 2500 ⁇ of a crosslinked film having a CF 2 content of about 95% and a dielectric constant of about 2.3.
  • the film shows good adhesion to the silicon substrate.
  • a graded film is deposited onto a silicon substrate using CF 3 SiF 3 and trisilane as described in Example 8, except that the film is deposited at about 100° C. by pulsed PECVD using an ASM Eagle 10 PECVD reactor.
  • the pulse sequence is 10 milliseconds on, 200 milliseconds off and the power level is 13.56 MHz.
  • the introduction sequence for CF 3 SiF 3 and trisilane is modified relative to Example 8 to deposit a film having approximately the same thickness for each stage.
  • the resulting crosslinked film has a CF 2 content of about 85% and a dielectric constant of about 2.2, and shows good adhesion to the silicon substrate.
  • a graded film is deposited onto a silicon substrate using CF 3 SiF 3 and trisilane using the PECVD reactor as described in Example 9, except that a plasma was not used for deposition.
  • Trisilane was decomposed in a remote plasma chamber and introduced into the main chamber at a substrate temperature of about 100° C. to deposit an amorphous silicon layer having a thickness of about 20 ⁇ on the silicon wafer.
  • a graded layer is then deposited by introducing CF 3 SiF 3 through a showerhead heated to about 370° C. at an initial flow rate of about one sccm that is ramped up to 20 sccm during a corresponding rampdown of the trisilane flow rate. The rampup/rampdown takes about 3 minutes.
  • the overall layer structure of the film is similar to Examples 8 and 9, but it has a CF 2 content of about 90% and a dielectric constant of about 2.2. It also shows good adhesion to the silicon substrate.

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Glass Compositions (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

Chemical precursors that contain carbon atoms and fluorine atoms can be activated under a variety of conditions to deposit fluorine-containing materials. Chemical precursors of the formula (F3C)4−m−nMXmRn, are preferred, wherein M is Si or Ge; X is halogen; R is H or D; m is 0, 1, 2 or 3; and n is 0, 1, 2, or 3; with the proviso that (m+n)≦3.

Description

    RELATED APPLICATION INFORMATION
  • This application claims priority under 35 U.S.C. §119(e) to U.S. provisional application Ser. No. 60/200,674, filed Apr. 28, 2000, which is incorporated herein by reference in its entirety.[0001]
  • BACKGROUND OF THE INVENTION
  • 1. Field of the Invention [0002]
  • This invention relates generally to methods for making fluorine-containing materials, and more particularly to methods for depositing such materials onto substrates using chemicals that contain carbon atoms and fluorine atoms. [0003]
  • 2. Description of the Related Art [0004]
  • As the dimensions of microelectronic devices become smaller, the importance of the physical properties of the materials used in their manufacture becomes more important. This is particularly true of the dielectric materials that are used to insulate metal lines and vias from one another because of the contributions to parasitic capacitance across insulators between closely spaced conductors. Silicon dioxide has been employed within the industry as a dielectric material for the manufacture of devices for nearly three decades, but may become less suitable in the future because of its relatively high dielectric constant (k˜4.1). [0005]
  • A number of fluorinated materials have been studied as possible replacements for silicon dioxide. U.S. Pat. No. 5,563,105 discloses a chemical vapor deposition (CVD) process employing SiF[0006] 4 and tetraethoxysilane (TEOS) to form a fluorosilicate glass, which is stated to have lower water absorption than a sample formed from C2F6. U.S. Pat. No. 5,703,404 discloses silicon oxide films containing Si-F bonds through the use of fluorosilanes. U.S. Pat. No. 5,876,798 discloses the use of fluorotriethoxysilane (FTES). U.S. Pat. No. 5,244,698 discloses PECVD deposition using organosilanes and organohalogenosilanes. The use of fluorinated compounds containing carbon-carbon double bonds is disclosed in U.S. Pat. Nos. 5,989,998. 6,051,321 discloses the use of fluorinated aromatic compounds. U.S. Pat No. 5,900,290 discloses the use of octafluorocyclobutane, as does T. Shirafuji et al., “PE-CVD of Fluorocarbon/SiO Composite Thin Films Using C4F8 and HMDSO,” Plasmas and Polymers, Vol. 4, No. 1, p. 57 (1999). Other references in this regard are Indrajit Banerjee, et. al., “Characterization of Chemical Vapor Deposited Amorphous Fluorocarbons for Low Dielectric Constant Interlayer Dielectrics.” J. Electrochem. Soc., Vol. 146(6), p. 2219 (1999); C. B. Labelle, et. al., DUMIC, pg. 1998 (1997); Sang-Soo Han, et. al., “Deposition of Fluorinated Amorphous Carbon Thin Films as a Low-Dielectric Constant Material.” J. Electrochem. Soc., Vol. 146(9), p. 3383 (1999); and Scott J. Limb, “Growth of fluorocarbon polymer thin films with high CF2 fractions and low dangling bond concentrations by thermal chemical vapor deposition,” Appl. Phys. Lett., Vol. 68(20), p. 2810 (1996).
  • Spin-on processes are also known for making low-k films. These processes generally involve dissolving or dispersing a low-k polymer in a solvent to form a liquid coating mixture, depositing the coating mixture onto a substrate, spinning the substrate to create a uniform coating, then drying the coating to remove the solvent. Another known method for reducing the dielectric constant of a film is to introduce porosity into the film. [0007]
  • A wide variety of fluorinated polymers such as polytetrafluoroethylene (PTFE) are known. PTFE materials generally have low dielectric constants but are structurally based upon long, uncrosslinked chains. The uncrosslinked structure of these materials is likely the source of the mechanical instabilities that have been observed during attempts to integrate them into microelectronic devices. Current spin-on processes face a serious challenge in attempting to crosslink PTFE because they are typically produced using nanoemulsions of PTFE particles that are delivered to the substrate in solution. These particles are typically five to twenty nanometers in size and thus represent relatively large building blocks for the deposition of thin film materials, resulting in problems with step coverage. Furthermore, because these films are formed from particles, they often require adhesion promoters to obtain adherent films. Current CVD PTFE materials are typically deposited using plasma-enhanced chemical vapor deposition (PECVD) of mixtures of CF[0008] 4 and CH4. It is believed that the deposited materials result from reactive C-F species derived from partially ionized source gas molecules. Typical C-F species are believed to be CF4 +, CF3 +, CF2 2+and very limited amounts of CF3+, and thus represent a broad range of source species for the deposition of the film. Coupled with ion bombardment of the depositing film, this can lead to non-homogeneous film composition and properties, including dangling bonds, as well as to the incorporation of undesirable impurities within the depositing film. Furthermore, because of the charged nature of the species being used to deposit these materials, gap-filling of dimensionally small, high aspect ratio structures can be poor and loading effects between large and small open areas on the wafer surface can be problematic.
  • There remains a need for fluorinated materials such as low-k films having better properties more suitable for use in microelectronics manufacturing, and for processes for producing such films that can be readily integrated into fabrication process flows. [0009]
  • SUMMARY OF THE INVENTION
  • The inventor has discovered better ways to make fluorinated materials. In preferred embodiments, these fluorinated materials have a low dielectric constant suitable for use in microelectronics manufacturing. In one aspect, chemical precursors that contain one or more —CF[0010] 3 (trifluoromethyl) groups are disclosed, and processes for using these precursors to deposit fluorine-containing materials onto substrates are taught. In another aspect, mixtures of chemical precursors with sources of various elements are used to deposit fluorine-containing materials onto substrates. In yet another aspect, processes for making porous fluorinated materials are taught.
  • In one embodiment, a process is provided for depositing a material onto a surface, comprising providing a substrate; providing a chemical precursor of the formula (F[0011] 3C)4−m−nMXmRn, wherein M is Si or Ge; X is halogen; R is H or D; m is 0, 1, 2 or 3; and n is 0, 1, 2, or 3; with the proviso that (m+n)×3; and activating the chemical precursor to thereby deposit a fluorine-containing material onto the substrate.
  • In another embodiment, a chemical vapor deposition process is provided for depositing a dielectric film onto a surface, comprising providing a chemical vapor deposition chamber having disposed therein a substrate; introducing a gas to the chamber, wherein the gas comprises a chemical precursor selected from the group consisting of (F[0012] 3C)SiH3, (F3C)2SiH2, (F3C)SiD3, (F3C)2SiD2, (F3C) SiF2H, (F3C)SiF3, (F3C)SiFD2, and (F3C)SiF2D; and reacting the chemical precursor to deposit onto the substrate a film having a dielectric constant of about 2.7 or lower.
  • In yet another embodiment, a process for making a porous material is provided, comprising providing an oxygen source; providing a compound of the formula (F[0013] 3C)4−m−nMXmRn, wherein M is Si or Ge; X is halogen; R is H or D; m is 0, 1, 2 or 3; and n is 0, 1, 2, or 3; with the proviso that (m+n)≦3; providing a substrate; activating the oxygen source and the compound at a temperature of about 300° C. or less to thereby deposit an oxygen-containing film onto the substrate; and heating the oxygen-containing film to a temperature in the range of about 150° C. to about 400° C. to form a porous film.
  • These and other embodiments are described in greater detail below. [0014]
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENT
  • A wide variety of fluorine-containing materials can be prepared by practicing the processes described herein. “Fluorine-containing material” is used in its usual sense to include materials that contain the element fluorine as part of their chemical structure. The fluorine atoms can be incorporated into the material in various ways, preferably by ionic or covalent bonds, and can be dispersed homogeneously or non-homogeneously. Preferably, fluorine-containing materials are organic polymers in which fluorine atoms are bonded to carbon atoms. The fluorine-containing material is preferably a polymer that comprises recurring CF[0015] 2 units and may be branched or unbranched, and crosslinked or uncrosslinked, preferably crosslinked.
  • Fluorine-containing materials can be in various forms such as particles or fibers, but are preferably in the form of a film. “Film” is used in its usual sense to include both free-standing films and layers or coatings applied to substrates. A film can be flat or it can conform to an underlying three-dimensional surface, and in either case can have a constant or variable thickness, preferably constant. Preferably, the average thickness of the film is effective to provide the desired function, e.g. low dielectric constant for intermetal level dielectric applications. Frequently, the average film thickness is in the range of about 5 Å to about 15,000 Å, preferably about 10 Å to about 10,000 Å, more preferably about 10 Å to about 8,000 Å, most preferably about 100 Å to about 5,000 Å. [0016]
  • The fluorine-containing materials described herein are preferably deposited onto a substrate. “Substrate” is used in its usual sense to include any underlying surface onto which the fluorine-containing material is deposited or applied. Preferred substrates can be made of virtually any material, including without limitation metal, metal oxide, metal nitride, silicon, germanium, plastic, and/or glass, preferably silicon and silicon alloys. [0017]
  • Particularly preferred substrates include silicon substrates, e.g. silicon wafers and layers of Group III-V materials used in the fabrication of microelectronics, and integrated circuits. “Integrated circuit” is used in its usual sense in the microelectronics field to include substrates onto which microelectronic devices have been or are to be applied, and thus includes integrated circuits which are in the process of being manufactured and which may not yet be functional. In the field of integrated circuit fabrication, the semiconductor substrate generally refers to the lowest level of semiconductor material from which devices are formed. [0018]
  • For microelectronic applications, fluorine-containing materials are preferably dielectric films. “Dielectric film” is used in its usual sense in the microelectronics field to mean films having the structure described above and having an insulating electrical function in the completed circuit. Preferred dielectric films have a dielectric constant of about 3.0 or less, more preferably about 2.7 or less, even more preferably about 2.4 or less, most preferably about 2.2 or less. [0019]
  • Other preferred substrates are the surfaces of moving parts in microelectromechanical systems (MEMS). The fluorine-containing materials described herein can be used to reduce friction in various MEMS applications, including without limitation nanometer-sized bearings, gears and motors. For MEMS applications, the fluorine-containing material is preferably a film having a thickness in the range of about 5 Å to about 25 Å. Preferably, the MEMS device is fabricated using integrated circuit process technology. [0020]
  • For low-friction applications such as coating moving parts, the static coefficient of friction for a fluorine-containing material, as measured in contact with itself, is preferably about 0.5 or less, more preferably about 0.2 or less, most preferably about 0.1 or less. As used herein, the “static coefficient of friction” between two surfaces is the ratio of the force required to move one over the other to the force pressing the two together. If F is the force required to move one surface over another and W is the force pressing the surfaces together, the coefficient of friction μ=F/W. [0021]
  • Various processes utilizing the chemical precursors described herein may be used to deposit fluorine-containing materials. As used herein, a “chemical precursor” is a fluorine-containing (“F-containing”) chemical compound or mixture of F-containing chemical compounds that is capable of being activated under the conditions described herein to form a fluorine-containing material. Preferred processes involve providing a substrate and providing a chemical precursor that contains fluorine atoms and carbon atoms under conditions that are effective to deposit a fluorine-containing material onto the substrate. Preferred chemical precursors are capable of being activated to yield F-containing molecular fragments that result in a film and other gaseous molecular fragments that can be removed from the vicinity of the substrate to minimize contamination of the film. [0022]
  • Preferred chemical precursors contain at least one trifluoromethyl group. A preferred class of trifluoromethyl-containing chemical precursors is represented by the chemical formula (F[0023] 3C)4−m−nMXmRn, wherein M is Si or Ge; X is halogen; R is H or D; m is 0, 1, 2 or 3; and n is 0, 1, 2, or 3; with the proviso that (m+n) is less than or equal to three. Preferably, M is Si and X is fluorine or chlorine. Preferably, (m+n)=2 or 3 because such chemical precursors tend to have greater thermal stability than those in which (m+n)=0 or 1.
  • Activating the chemical precursors disclosed herein is believed to result in the formation of chemically active F-containing species that are capable of depositing onto a substrate to form a fluorine-containing material. As used herein, “activating” a chemical precursor means causing the chemical precursor to become so chemically active as to enable deposition on a substrate to form a fluorine-containing material. Reacting a chemical precursor under the conditions described herein deposits the corresponding fluorine-containing material. Various preferred ways of activating the chemical precursor are discussed below. [0024]
  • The instant invention is not bound by theory, but it is believed that activating the chemical precursors described herein involves creating chemically active F-containing fragments, preferably fragments that contain carbon and fluorine atoms. These reactive fragments can then combine to form a fluorine-containing material. Under preferred conditions, a fluorine-containing material is formed by activating a chemical precursor represented by the formula (F[0025] 3C)4−m−nMXmRn to form CF2-type fragments and gaseous F-MXR-type fragments. Preferred silicon-containing (“Si-containing”) chemical precursors include (F3C)SiH3, (F3C)2SiH2, (F3C)SiD3, (F3C)2SiD2, (F3C)SiFH2, (F3C)SiF2H, (F3C)SiF3, (F3C)SiFD2, (F3C)SiF2D (F3C)SiClF2, (F3C)SiCl2F and (F3C)SiCl3. Another preferred chemical precursor is hexafluoropropylene oxide, which upon activation is believed to form CF2-type fragments and trifluormethylacetyl fluoride. Preferred germanium-containing (“Ge-containing”) chemical precursors include (F3C)GeH3, (F3C)2GeH2, (F3C)GeD3, (F3C)2GeD2, (F3C)GeFH2, (F3C)GeF2H, (F3C)GeF3, (F3C)GeFD2, and (F3C)GeF2D.
  • An example of a possible activation and deposition pathway is illustrated in Scheme (I) for (F[0026] 3C)SiF3, a highly preferred chemical precursor:
  • n(F3C)SiF3→n[:CF2]→—(CF2)n—+n SiF4(g)  (I)
  • The “[:CF[0027] 2]” in Scheme (I) represents highly reactive :CF2 fragments and other F-containing fragments that may also be generated; the brackets indicate that these species are likely to be transient and short-lived. The “—(CF2)n−” in Scheme (I) represents a possible structure for at least a part of the fluorinated polymer formed by the deposition of the postulated :CF2 fragments. Activation and deposition are preferably conducted under conditions such that any by-products, e.g., SiF4, are gaseous in order to minimize contamination of the fluorine-containing material with silicon or fluorine (unless inclusion of those elements is desired). Some [:CF2] species may react together to form other by-product species such as tetrafluoroethylene (not shown in Scheme (I)), in which case these by-products are preferably gaseous also. Fluorine-containing copolymers can be prepared by using mixtures of chemical precursors.
  • Chemical precursors can be prepared by methods known to those skilled in the art. For example, syntheses for preferred chemical precursors are disclosed in the literature, see, e.g., K. G. Sharp and T. D. Coyle, “Synthesis and Some Properties of Trifluoro(trifluoromethyl)silane,” J. Fluorine Chem., Vol. 1, pp. 249-251 (1971/72); H. Beckers et al., “Synthesis and Properties of (Trifluoromethyl)trichlorosilane, a Versatile Precursor for CF[0028] 3Si Compounds,” J. Organometal. Chem., Vol. 316, pp. 41-50, (1986). These literature articles are incorporated herein by reference in their entireties for the express purpose of describing the syntheses of these precursors. Preferably, the synthetic methods disclosed in these articles are modified by reacting [(H3C)2N]2Si(H)CF3 and HCl for twenty four hours to maximize the yield of CF3SiCl3, as shorter reaction times yield primarily CF3Si(H)Cl2. Furthermore, the amount of excess SbF3 utilized in the synthesis of CF3SiF3 from CF3SiCl3, as well as the total reaction time, are preferably varied to maximize the yield of CF3SiF3.
  • It is preferable to provide a chemical precursor that is relatively stable and activate it for deposition. Activating the chemical precursor preferably involves applying amounts of energy, e.g., thermal, chemical, photo-chemical, mechanical, or plasma energy, that are effective to break one or more chemical bonds within the chemical precursor. It is often difficult to store the resulting F-containing fragments for extended periods of time because they tend to be highly reactive. Therefore, it is preferable to activate the chemical precursor in close spatial proximity to the substrate, at the time of deposition. [0029]
  • The process of activating the chemical precursor is believed to involve the formation of F-containing fragments as discussed above, but the detection, measurement and/or characterization of these fragments may be difficult in practice because of their transient nature. Activation of the chemical precursor is thus primarily evidenced by the formation of a fluorine-containing material and does not require formation or identification of any F-containing fragments, although evidence of fragmentation may be indicative of activation. [0030]
  • The chemical precursor can be provided in the form of a solid, liquid or gas, preferably a gas. A liquid comprised of the chemical precursor can be applied to a substrate and then activated to form a fluorine-containing material on the substrate, preferably by using techniques similar to those that are well-known in the art for spin-coating. Preferably, the chemical precursor is provided in the form of a gas. The amount of chemical precursor provided is preferably controlled by adjusting the pressure of the gas, which can range from about 0.01 torr to atmospheric pressure (about 760 torr) or even higher. The amount can also be controlled by intermixing the chemical precursor with another gas and adjusting the total gas pressure or the partial pressure of the chemical precursor in the gas mixture. Optional components of the gas mixture include carrier gases such as hydrogen, helium, nitrogen, argon, neon, krypton and xenon. A liquid chemical precursor can be provided by using a bubbler, e.g., by bubbling a carrier gas through the chemical precursor. The amount of chemical precursor in the gas can vary over a broad range, preferably from about 0.01% to 100% by volume. [0031]
  • For many end-use applications it may be desirable to adjust the overall elemental composition of the fluorine-containing material by including additional elements, and/or by adding additional amounts of fluorine and/or carbon. Supplemental elements can be incorporated for variety of reasons, e.g., to introduce crosslinking sites, to adjust the dielectric constant, to increase porosity, and to modify adhesion to substrates and/or subsequently deposited layers, as discussed below. [0032]
  • The incorporation of additional elements into the fluorine-containing material may be accomplished by providing a supplemental source of the additional element or elements, preferably by providing a supplemental silicon source, oxygen source, germanium source, and/or carbon source. Two or more supplemental sources may be provided, preferably a mixture of an oxygen source and a silicon source, or a mixture of an oxygen source and a germanium source. When the chemical precursor is applied to the substrate in the form of a liquid, the liquid can also comprise a supplemental source of the desired additional element, in an amount effective to provide the resulting fluorine-containing material with the desired elemental composition. Preferably, a gas is provided which comprises the chemical precursor and the supplemental source, and the amount of each element in the resulting fluorine-containing material is controlled by adjusting the partial pressure of each component using routine experimentation. Schemes (II) and (III) illustrate preferred possible pathways for incorporating silicon into the fluorine-containing material. [0033]
    Figure US20020045359A1-20020418-C00001
  • The ratios of F[0034] 3CSiD3 to H3SiSiH3 and F3CSiH3 to (H3C)2SiH2 illustrated in Schemes (II) and (III), respectively, are only exemplary and can be varied over a broad range. Preferably, the ratio of chemical precursor to supplemental source, i.e., chemical precursor:supplemental source, is about 10:1 or higher, more preferably in the range of about 50:1 to about 50,000:1, by mole. The properties of the fluorine-containing material can be varied continuously or in steps by adjusting the relative amounts of the various components during deposition.
  • For example, to enhance adhesion of the deposited flourine-containing material to the underlying substrate, the first 10-100 Å of deposited material can be made relatively rich in an element or composition that is know to adhere well to the underlying substrate by providing a mixture comprising a F-containing chemical precursor and a supplemental source and relatively poor in the chemical precursor. The film can be graded by decreasing the relative amount of supplemental source during the next stage of the deposition to thereby deposit a relatively CF[0035] 2-rich portion that provides the resulting fluorine-containing material with a low dielectric constant. During the final 10-100 Å of the deposition, the relative amount of supplemental source can be increased again so that any layers subsequently deposited onto the fluorine-containing material will adhere well to its surface. The supplemental source chosen for the initial stage of the deposition can be the same or different from the supplemental source chosen for the final stage. Preferably, the supplemental sources are selected to provide an initial deposit that adheres well to the substrate and a final deposit that adheres well to the subsequently deposited layer, if any. For a silicon substrate or subsequent layer, supplemental sources include silane, and more preferably, disilane and trisilane. For a copper substrate or subsequent layer, preferred supplemental sources include dimethoxysilane and dimethyldimethoxysilane. For a silicon-carbon substrate or subsequent layer, preferred silicon sources include disilylmethane, trisilylmethane, and tetrasilylmethane. Furthermore, silicon-carbon substrate materials may also be preferably deposited using methylsilane, dimethylsilane, trimethylsilane or tetramethylsilane. Accordingly, graded fluorine-containing materials can be provided with good adhesion and low overall dielectric constant.
  • As another example, supplemental sources may be used to introduce elements that serve as crosslinking sites within the fluorine-containing material. For instance, in Scheme (II), the tetravalent Si atoms in —[(SiH[0036] 2)—(CF2)x—( SiH2)—(CF2)100−x]— are potential crosslinking sites because they can eliminate hydrogen to form bonds to other polymer chains. Supplemental silicon and germanium sources in which the silicon or germanium is bonded to more than two hydrogen atoms are preferred crosslinking agents because the Si-H or Ge-H bond(s) in the resulting fluorine-containing material can be easily broken to allow the Si or Ge atom to bond to more than one polymer chain. More preferred crosslinking agents are disilane, trisilane, methylsilane, digermane, trigermane, and methylgermane. Crosslinking agents are preferably used in an amount that is effective to crosslink the fluorine-containing material, more preferably at relatively low levels to avoid detrimental effects on other properties such as dielectric constant, even more preferably at a level of about 1% or less, most preferably about 0.5% or less, by weight based on weight of chemical precursor.
  • As another example, a supplemental oxygen source may be used to introduce porosity into the fluorine-containing material as discussed in greater detail below. [0037]
  • Among the supplemental sources, preferred silicon sources include silane, disilane, trisilane, methylsilane, dimethylsilane, disiloxane, dimethylsiloxane, methoxysilane, dimethoxysilane, and dimethyldimethoxysilane. Preferred germanium sources include germane, digermane, trigermane, methylgermane, dimethylgermane, methoxygermane and dimethoxygermane. Preferred carbon sources include methane, ethane, fluoromethane, perfluoroethane, silylmethane, disilylmethane, trisilylmethane, tetrasilylmethane, methylsilane, dimethylsilane, trimethylsilane and tetramethylsilane. Preferred oxygen sources include oxygen, nitrous oxide, ozone, carbon dioxide, carbon monoxide, hydrogen peroxide, water, methanol, ethanol, dimethylether, and diethyl ether. Preferred supplemental sources can be a source for two or more elements, e.g., dimethylether can be a source of both carbon and oxygen, dimethylsiloxane can be a source of carbon, oxygen and silicon, etc. [0038]
  • For some applications, it is sufficient to bring together the substrate and chemical precursor without the benefit of any kind of enclosure and deposit the fluorine-containing material under ambient conditions or under a flowing blanket of carrier gas. For instance, a continuous coating process can be conducted in which a substrate such as a glass fiber or plastic sheet is run under a set of heat lamps at a temperature sufficient to activate a chemical precursor that is directed to flow continuously over the substrate, thus depositing a F-containing film onto the fiber or sheet. To minimize contamination and produce a higher quality film, it is preferable to deposit the fluorine-containing material onto the substrate by disposing the substrate within a chamber and introducing the chemical precursor to the chamber. The chamber can be partially open during deposition, e.g., in the above example, the fiber or sheet can be run through an oven or furnace, or preferably the chamber can be closed during deposition. [0039]
  • Closed chambers are preferably used in the chemical vapor deposition (CVD) techniques that are preferably used to deposit the fluorine-containing material. A wide variety of CVD techniques are known to those skilled in the art. Plasma-enhanced chemical vapor deposition (“PECVD”) and thermal chemical vapor deposition (“thermal CVD”) are preferred, particularly for the deposition of dielectric films in microelectronics manufacturing. These techniques are widely used in the fabrication of integrated circuits, see, e.g., Stephen A. Campbell, “The Science and Engineering of Microelectronic Fabrication,” Oxford University Press, New York (1996); Stanley Wolf and Richard N. Tauber, “Silicon Processing for the VLSI Era,” Lattice Press, Sunset Beach, Calif. (2000). [0040]
  • In PECVD, plasma energy is used to activate the chemical precursor by applying an electromagnetic field, e.g., microwave or radio frequency energy, to the chemical precursor. The plasma may generated in the immediate vicinity of the substrate or in a remote location. Preferred substrate temperatures during deposition range from about minus 10° C. to about 450° C., more preferably about 0° C. to about 400° C. In the absence of a chamber, the flow of chemical precursor to the substrate can be controlled by employing a gas mixture and adjusting the partial pressure of the chemical precursor within the mixture. Preferably, a chamber is employed so that the flow of chemical precursor can also be controlled by manipulating the overall pressure, using a vacuum pump or similar device. The chemical precursor is preferably introduced at the inlet, and the chamber is preferably backfilled with carrier gas to control the total pressure. Preferred total pressures are in the range of about 0.001 torr to about 100 torr, preferably about 0.05 torr to about 25 torr. Preferred partial pressures of chemical precursor for PECVD are in the range of about 0.01 torr to about 20 torr, preferably about 0.05 torr to about 5 torr. [0041]
  • A preferred PECVD deposition technique utilizes a pulsed plasma (non-continuous wave) process, where the electromagnetic field is only applied during a portion of the activation and/or deposition process. This invention is not bound by theory, but it is believed that the pulsed PECVD technique maximizes the amount of :CF[0042] 2 generated and minimizes the formation of other CF-type species, and thus maximizes the CF2 content of the resulting deposited fluorine-containing material. In a preferred pulsed plasma process, the length of each sequence is preferably in the range of about 5 milliseconds to about 500 milliseconds. More preferably, the electromagnetic field is applied for less than about 50% of the length of each sequence, i.e., less than 25 milliseconds out of each 50 milliseconds, less than about 250 milliseconds out of each 500 milliseconds, etc., and even more preferably applied for less than about 15% of the length of each sequence.
  • In thermal CVD, thermal energy is used to activate the chemical precursor by adjusting the temperature of the substrate and/or the chemical precursor, preferably by heating to a temperature in the range of about 150° C. to about 450° C., more preferably about 250° C. to about 450° C., even more preferably about 300° C. to about 400° C. In the absence of a chamber, the flow of chemical precursor to the substrate can be controlled by employing a gas mixture and controlling the partial pressure of the chemical precursor within the mixture. Preferably, a chamber is employed so that the flow of chemical precursor can also be controlled by manipulating the overall pressure, using a vacuum pump or similar device. The chemical precursor is preferably introduced at the inlet, and the chamber is preferably backfilled with carrier gas to control the total pressure. Preferred total pressures are in the range of about 0.1 torr to about 760 torr, more preferably about 1 torr to about 350 torr, and most preferably about 1 torr to about 100 torr. Preferred partial pressures of chemical precursor are in the range of about 0.01 torr to about 400 torr, more preferably about 0.1 torr to about 200 torr. In a particularly preferred embodiment, thermal CVD is used to deposit a crosslinked fluorine-containing material having a thickness in the range of 10 Å to about 5,000 Å onto a substrate disposed within a chamber at a temperature in the range of about −10° C. to about 450° C. [0043]
  • Suitable chambers for conducting PECVD are commercially available, and preferred models include the Eagle™ series of reactors commercially available from ASM Japan K.K., of Tokyo, Japan. Suitable chambers for conducting thermal CVD are also commercially available and include the Epsilon™ series of single wafer epitaxial reactors, such as the Epsilon 2000®, commercially available from ASM America, Inc. of Phoenix, Ariz. Preferred models include the A400 series of batch tube reactors, such as the A400® and A412®, commercially available from ASM International N.V. of Bilthoven, The Netherlands. Commercially available CVD chambers are preferably equipped with a number of features, such as computer control of temperature, gas flow and switching, and chamber pressure, that can be manipulated to produce consistently high-quality films suitable for microelectronics applications. Those skilled in the CVD art are familiar with such methods and equipment, and thus routine experimentation may be used to select the appropriate conditions for depositing fluorine-containing materials using the chemical precursors described herein. [0044]
  • In a preferred embodiment, thermal CVD is used for deposition in a process that involves independently adjusting the temperature of the substrate and the activation temperature of the chemical precursor. The temperature of the substrate can be adjusted by methods known in the art, such as the use of heat lamps and/or by resistively heating the substrate. The chemical precursor can also be activated by heating it directly using various methods such as by providing it as a component of a heated carrier gas or by utilizing a heated inlet port e.g., a heated showerhead. In the absence of a statement to the contrary, the recitation herein of a specific deposition or activation temperature refers to the temperature of the substrate. [0045]
  • In a more preferred process, an Eagle™ 10 PECVD reactor (commercially available from ASM Japan K.K., of Tokyo, Japan) is used in a thermal mode, i.e., without the use of a plasma. The reactor is preferably equipped with a heated showerhead and a heated substrate such that the temperature of each can be adjusted independently. The chemical precursor is preferably activated by heating the substrate to a temperature in the range of about 100° C. to about 450° C., more preferably about 150° C. to about 400° C., while maintaining the temperature of the showerhead below the activation temperature of the chemical precursor, preferably in the range of about 30° C. to about 40° C. When hexafluoropropylene oxide is used as a chemical precursor, deposition onto a heated substrate is preferred. The chemical precursor can also be activated by heating the showerhead to a temperature in the range of about 100° C. to about 450° C., more preferably about 150° C. to about 450° C., and maintaining the temperature of the substrate at a temperature that is about 50° C. to about 300° C. cooler than the showerhead. Preferably, the substrate is maintained at a temperature in the range of about −10° C. to about 450° C., more preferably about 100° C. to about 400° C. [0046]
  • Various deposition methods can be used in conjunction with one another. For example, in another preferred embodiment, both remote PECVD and thermal CVD are used to deposit a fluorine-containing material which also contains silicon. In a more preferred aspect of this embodiment, a remote plasma is used to decompose silane, disilane or trisilane to provide a source of reactive Si-containing fragments. These fragments are then preferably directed to a thermal CVD chamber into which a chemical precursor, preferably CF[0047] 3SiF3, is introduced. The chemical precursor is preferably activated directly, preferably by using a heated showerhead, at a temperature in the range of about 100° C. to about 450° C. Preferably, a substrate contained within the chamber is maintained at a temperature in the range of about 100° C. to about 450° C. Under these conditions, it is believed that a mixture of Si-containing fragments and [:CF2] is produced that deposits onto the substrate to form a preferred Si-containing, fluorine-containing material.
  • The representation of a fluorine-containing polymer herein by the use of a chemical formula having certain recurring units, e.g., “—(CF[0048] 2)n—” in Scheme (I), “—[(SiH2)—(CF2)x—(SiH2)—(CF2)100−x]—” in Scheme (II), etc., is only exemplary and in practice the resulting polymer may contain a variety of recurring units, depending on the deposition conditions. In fact, a variety of fluorine-containing polymers can be produced, depending on such factors as the composition and amount of the chemical precursor(s), the presence or absence of additional compounds that provide a source of other elements, e.g., supplemental sources, activation conditions (temperature, presence or absence of plasma, etc.), deposition conditions (temperature, presence or absence of plasma, etc.), and the nature of the substrate. For many applications for which it is desirable to achieve the types of properties exhibited by PTFE, e.g., low dielectric constant, low friction, etc., it is preferred to utilize a high proportion of a chemical precursor such as CF3SiF3. Surprisingly, the use of CF3SiF3 has been found to result in fluorine-containing polymers which contain a high proportion of recurring CF2 units, i.e., —(CF2)n—, even when the deposition conditions vary, as illustrated in the working examples provided below. Thus, the basic chemical structure of the deposited polymers appears to be primarily a function of the identity of the chemical precursors(s) and any supplemental sources. Preferred polymers comprise a high proportion of CF2 groups as shown by infrared spectroscopy and X-ray photoelectron spectroscopy. Polymers comprising carbon and fluorine atoms are preferred which have a numerical ratio of fluorine atoms to carbon atoms, i.e. fluorine:carbon, in the range of about 1:1 to about 3:1, more preferably about 1.5:1 to about 2.5:1, even more preferably about 1.8:1 to about 2.2: 1, as shown by elemental analysis.
  • The properties of fluorine-containing materials described herein can be controlled by varying the overall deposition conditions, preferably by controlling the type and amount of chemical precursor(s), the type and amount of additional elemental source (if any), the activation temperature, the substrate temperature, and the presence or absence of plasma. The effect of varying each of these parameters is discussed elsewhere herein. For instance, in the working examples provided below, it was found that thinner films having higher adhesion and higher transparency were formed at higher deposition temperatures, whereas thicker, more opaque films having lower adhesion were formed at lower temperatures, and films having intermediate properties were formed at intermediate deposition temperatures. Preferably, experimental design methods are used to determine the effect of the various process variables and combinations thereof on chemical composition and/or physical properties of the resulting films. Experimental design methods per se are well-known, see e.g., Douglas C. Montgomery, “Design and Analysis of Experiments,” 2[0049] nd Ed., John Wiley and Sons, 1984. For a particular process, after the effect of the various process variables and combinations thereof on chemical composition and/or physical properties has been determined by these experimental design methods, the process is preferably automated by computer control to ensure consistency in subsequent production.
  • The fluorine-containing materials described herein can be subjected to a variety of processes. For example, in the manufacture of integrated circuits, additional layers of other materials such as metal lines or semiconducting layers can be deposited onto the surface of a dielectric film formed as described herein. Such deposition can be conducted by providing a silicon source, metal source, germanium source, etc., and depositing the additional layer in the usual manner. Preferably, the adhesion of the additional layer is enhanced by including an adhesion-promoting supplemental element during the final stage of the deposition as described elsewhere herein. [0050]
  • The surface of the fluorine-containing material can be treated or modified by exposing it to a chemically reactive reagent, e.g., etching with a strong oxidizing agent such as an oxygen plasma or defluorinating with a strong reducing agent with the optional introduction of functional groups, see, e.g., C. A. Costello and T. J. McCarthy, “Introduction of Organic Functional Groups Onto the Surface of Poly(tetrafluoroethylene),” Proceedings of the ACS Division of Polymeric Materials Science and Engineering, Vol. 55. p 893 (1986). [0051]
  • A preferred embodiment provides a process for making a porous material. In a first aspect, the process is conducted by depositing an oxygen-containing film onto a substrate at a relatively low temperature, then heating the film to eliminate oxygen and combustion products of the oxygen with the combustible elements of the film, e.g., carbon. In a second aspect, the process is conducted by providing a oxygen source or oxidizing agent and a F-containing compound as described below and depositing a F-containing film onto a substrate at a relatively higher temperature, so that porosity in the film is created by combustion that occurs during the deposition process. [0052]
  • In both aspects, the film is preferably deposited by a deposition technique as described elsewhere herein, preferably by thermal CVD or PECVD, using an oxygen source as described elsewhere herein and an F-containing compound of the formula (F[0053] 3C)4−m−nMXmRn, wherein M is Si or Ge; X is halogen; R is H or D; m is 0, 1, 2 or 3; and n is 0, 1, 2, or 3; with the proviso that (m+n)≦3. Preferred F-containing compounds include (F3C)SiH3, (F3C)2SiH2, (F3C)SiD3, (F3C)2SiD2, (F3C)SiFH2, (F3C)SiF2H, (F3C)SiF3, (F3C)SiFD2, (F3C)SiF2D, (F3C)GeH3, (F3C)2GeH2, (F3C)GeD3, (F3C)2GeD2, (F3C)GeFH2, (F3C)GeF2H, (F3C)GeF3, (F3C)GeFD2, and (F3C)GeF2D. The F-containing compound and the oxygen source are preferably provided in the form of gases or as components of a gas, and the gas may comprise a carrier gas, a silicon source, a carbon source and/or a germanium source as described elsewhere herein.
  • When conducted according to the first aspect, the deposition of the oxygen source and the compound results in an oxygen-containing film that also contains fluorine atoms, preferably in the form of CF[0054] 2 groups. Deposition at lower temperatures is preferred, preferably by PECVD or thermal CVD, more preferably at a temperature of about 300° C. or less, even more preferably at a temperature in the range of about 200° C. to about 300° C. Deposition is preferably conducted in a chamber, even more preferably in a PECVD chamber or thermal CVD chamber as described elsewhere herein. In a preferred embodiment, the F-containing compound is (F3C)SiF3, the oxygen source is oxygen or ozone, and about 5 atomic percent or less of oxygen atoms are incorporated into the oxygen-containing film, more preferably about 1 atomic percent or less, based on the total elemental content of the oxygen-containing film.
  • For the first aspect, the porous film is preferably created by heating the oxygen-containing film to a temperature in the range of about 150° C. to about 400° C., preferably for a period of time that is effective to create the desired level of porosity in the film. More preferably, the heating is also effective to render the resulting film substantially free of oxygen, especially when the film is a dielectric film, because the presence of oxygen in the film tends to decrease the thermal stability of the thin film. [0055]
  • This invention is not bound by theory, but it is believed that the porosity results because oxygen within the film reacts with other combustible elements such as carbon to form gases such as carbon monoxide (CO) and carbon dioxide (CO[0056] 2), thus creating microcavities within the film where the carbon and oxygen atoms were previously located. Preferably, the oxygen-containing film is heated to a temperature that is higher than the glass transition temperature of the film, so that additional porosity is achieved as the gases expand within the softened film to form microbubbles. Higher levels of porosity within the film are preferred to provide the film with a lower dielectric constant. Preferably, the process of the first aspect is effective to provide the porous film with a dielectric constant that is at least 0.1 units less than the dielectric constant of the oxygen-containing film from which it is derived.
  • Deposition according to the second aspect is preferably conducted in a single, higher temperature step in which porosity-creating combustion occurs during deposition. This invention is not bound by theory, but it is believed that the oxygen source acts as an oxidizing agent during deposition to at least partially etch the surface of the film as it is being deposited. Deposition at higher temperatures is preferred, preferably by PECVD or thermal CVD, preferably at a temperature greater than about 300° C., even more preferably at a temperature in the range of about 350° C. to about 450° C. Deposition is preferably conducted in a chamber, even more preferably in a PECVD chamber or thermal CVD chamber as described elsewhere herein. In a preferred embodiment, the F-containing compound is (F[0057] 3C)SiF3, the oxygen source or oxidizing agent is oxygen, ozone, carbon dioxide or carbon monoxide, and the ratio of F-containing compound to oxygen source, i.e., F-containing compound: oxygen source, is in the range of from about 10:1 to 20,000:1, preferably 50:1 to 10,000:1, by weight based on total weight. The resulting deposited film may contain oxygen, but is preferably substantially free of oxygen, especially when the film is a dielectric film, because the presence of oxygen in the film tends to reduce the thermal stability of the thin film material.
  • In both aspects, the degree of porosity tends to increase as the amount of available oxygen is increased. Too much oxygen can result in complete combustion of the film and therefore is to be avoided unless removal of the film is desired. Preferably, the porous film is a dielectric film having a dielectric constant of about 2.5 or lower, more preferably about 2.3 or lower, even more preferably about 2.1 or lower. A highly preferred porous film is crosslinked and has a dielectric constant of about 2.5 or lower. Frequently, the average thickness of the porous film is in the range of about 5 Å to about 15,000 Å, preferably about 10 Å to about 10,000 Å, more preferably about 10 Å to about 8,000 Å, most preferably about 100 Å to about 5,000 Å. [0058]
  • The oxygen-containing films and the porous films described herein can be subjected to a variety of processes. For example, in the manufacture of integrated circuits, additional layers of other materials such as metal lines or semiconducting layers can be deposited onto the surface of a dielectric film formed as described herein. Such deposition can be conducted by providing a silicon source, metal source, germanium source, etc., and depositing the additional layer in the usual manner. In a preferred embodiment, a silicon source is introduced to the chemical vapor deposition chamber and a Si-containing film is deposited onto the porous film. [0059]
  • Fluorinated materials are also useful in a number of other industries where they are recognized for their unique properties. Typical applications include coatings for biomedical devices, e.g., devices that are implanted into the body, coatings for non-stick cooking applications, coatings for moving parts such as bearings, computer hard disks and data tapes, antireflective coatings, and high performance coatings for protection from aggressive chemical environments encountered in the chemical processing industry, on satellites exposed to atomic oxygen, and in corrosive marine applications. The processes described herein can be used to deposit fluorinated materials onto substrates that are used in these applications and in other applications where the unique properties of these materials provide a benefit. [0060]
  • It will be understood by those of skill in the art that numerous and various modifications can be made without departing from the spirit of the present invention. Therefore, it should be clearly understood that the various embodiments discussed above and described in the examples below are illustrative only and are not intended to limit the scope of the present invention.[0061]
  • EXAMPLES Examples 1-7
  • A quartz tube having a gas inlet and outlet and enclosed by a resistively heated tube furnace was attached to a source of CF[0062] 3SiF3. Seven silicon workpieces were placed along the length of the tube. A portion of the furnace was heated to a temperature of about 350° C., creating a hot zone near the inlet end and producing a temperature gradient along the remaining length of the furnace ranging from about 350° C. in the hot zone to about 30° C. at the outlet. The furnace temperature in the vicinity of each workpiece during deposition was measured with a thermocouple and is shown in Table 1. The CF3SiF3 chemical precursor was introduced to the inlet at a pressure of about 3 torr and a flow rate of about 10-15 standard cubic centimeters per minute (“sccm”), while backfilling with nitrogen to keep the pressure reasonably constant. The CF3SiF3 was thermally activated as it flowed along the length of the furnace to the outlet, thereby depositing films on each of the workpieces.
  • The chemical structures for the films deposited onto each of the workpieces were characterized by infrared spectroscopy and x-ray photoelectron spectroscopy (“XPS”). The CF[0063] 2 content for some of the films reported in Table 1 was estimated by measuring the area under the 292 eV peak of the carbon 1s XPS spectrum. The CF2 content of the remainder of the films was estimated through direct comparison of the infrared spectra of these films with that of the films also measured using XPS. Additional observations regarding the films are reported in Table 1.
  • Outlet gases were trapped with liquid nitrogen and analyzed. The primary gases recovered were SiF[0064] 4 and tetrafluoroethylene. A trace quantity of CF3SiF3 was also detected (<1%).
  • These results show that CF[0065] 3SiF3 is thermally activated to yield CF2 fragments that are very reactive and capable of depositing thin film materials over a range of substrate temperatures, as well as recombining with one another to yield tetrafluroethylene as a gaseous byproduct. The degree of precursor activation is directly related to the length of the hot zone, as well as the temperature of the hot zone, as evidenced by the different types of films that were deposited under different experimental conditions for several series of reactions. A representative example of the types of films deposited is summarized in Table I.
  • For these experimental conditions, it was observed that workpieces maintained at the temperature of the hot zone were coated in thin, transparent films with excellent adhesion. FTIR spectra of these films reveal a structure consistent with that of (CF[0066] 2)n. For work pieces maintained at ˜300° C., and that were further from the gas inlet, thicker films were observed adhering to the substrates. These films also exhibited FTIR spectra that are consistent with a (CF2)n structure. Workpieces maintained at about 280° C., that were not directly in the resistively heated zone of the furnace, were coated with opaque, brown-tinted films that were on the order of microns thick. These films were comprised of two layers. The top of the films was observed to be loosely adherent and could be removed via a tape test, while an adherent underlayer that was closest to the substrate was observed to be resistant to removal via tape tests. FTIR spectra of these films are identical to those observed from films deposited directly within the resistively heated portion of the tube furnace. This suggests that gas phase nucleation is contributing to later stages of film deposition, resulting in polymeric materials that have molecular weight different from that of films deposited directly within the hot zone. Workpieces maintained at temperatures from about 200° C. to about 140° C. were coated with thin, loosely adherent films that exhibited thickness fringe effects closest to the substrate, and that also had an overlayer of opaque, white films that were easily removed via tape test. The FTIR spectra of these films were identical to those obtained from films deposited work pieces within the hot zone. Workpieces maintained at about 35° C. were coated with films quite similar to those observed on work pieces maintained at about 200° C. to about 140° C., but they were observed to be much thinner. FTIR spectra of these films were identical to those obtained from films deposited on work pieces within the hot zone.
    TABLE 1
    No. Temp., ° C. % CF2 k Comments
    1 350 >98 Very thin, transparent, adherent
    films that were resistant to removal
    via tape tests
    2 350 >95 Very thin, transparent, adherent
    films that were resistant to removal
    via tape tests
    3 ˜325 >95 Colored (from interference fringing
    effects), films that appear dense
    and are resistant to removal via
    multiple tape tests
    4 ˜280 >95 Very thick, brown-tinted, opaque
    films comprised of two layers. Top
    layer is removed via multiple tape
    tests, bottom layer remains after
    multiple tape tests.
    5 ˜200 >95 Thick, opaque films comprised of
    white overlayer that is easily
    removed via tape tests and thinner
    underlayer that is resistant to
    removal via tape tests
    6 ˜140 >95 Similar in appearance and properties
    to films deposited at ˜200° C.
    7 ˜35 >95 Similar in appearance and properties
    to films deposited at ˜200° C.,
    but thinner
  • Example 8
  • A graded dielectric film is deposited by thermal CVD using an ASM A400® batch reactor system. A silicon wafer contained in the chamber is heated to a substrate temperature of 450° C. Trisilane is introduced to the chamber via a hydrogen bubbler at a flow rate of about 180 sccm for about 30 seconds to deposit an amorphous silicon layer having a thickness of about 10 Å on the silicon wafer. The flow rate of trisilane is then ramped down to 0.2 sccm while simultaneously ramping up the flow rate of CF[0067] 3SiF3 over the course of 1 minute to deposit onto the amorphous silicon layer about 60 Å of a crosslinked, graded layer that contains both silicon and fluorine. Deposition is then continued for about 10 minutes at a CF3SiF3:trisilane ratio of about 100:1 to deposit about 2500 Å of a crosslinked film having a CF2 content of about 95% and a dielectric constant of about 2.3. The film shows good adhesion to the silicon substrate.
  • Example 9
  • A graded film is deposited onto a silicon substrate using CF[0068] 3SiF3 and trisilane as described in Example 8, except that the film is deposited at about 100° C. by pulsed PECVD using an ASM Eagle 10 PECVD reactor. The pulse sequence is 10 milliseconds on, 200 milliseconds off and the power level is 13.56 MHz. The introduction sequence for CF3SiF3 and trisilane is modified relative to Example 8 to deposit a film having approximately the same thickness for each stage. The resulting crosslinked film has a CF2 content of about 85% and a dielectric constant of about 2.2, and shows good adhesion to the silicon substrate.
  • Example 10
  • A graded film is deposited onto a silicon substrate using CF[0069] 3SiF3 and trisilane using the PECVD reactor as described in Example 9, except that a plasma was not used for deposition. Trisilane was decomposed in a remote plasma chamber and introduced into the main chamber at a substrate temperature of about 100° C. to deposit an amorphous silicon layer having a thickness of about 20 Å on the silicon wafer. A graded layer is then deposited by introducing CF3SiF3 through a showerhead heated to about 370° C. at an initial flow rate of about one sccm that is ramped up to 20 sccm during a corresponding rampdown of the trisilane flow rate. The rampup/rampdown takes about 3 minutes. Deposition is then continued for about 12 minutes to deposit a crosslinked film. The overall layer structure of the film is similar to Examples 8 and 9, but it has a CF2 content of about 90% and a dielectric constant of about 2.2. It also shows good adhesion to the silicon substrate.

Claims (47)

I claim:
1. A process for depositing a material onto a surface, comprising
providing a substrate;
providing a chemical precursor of the formula (F3C)4−m−nMXmRn, wherein M is Si or Ge; X is halogen; R is H or D; m is 0, 1, 2 or 3; and n is 0, 1, 2, or 3; with the proviso that (m+n)≦3; and
activating said chemical precursor to thereby deposit a fluorine-containing material onto said substrate.
2. The process as claimed in claim 1 wherein said chemical precursor is selected from the group consisting of (F3C)SiH3, (F3C)2SiH2, (F3C)SiD3, (F3C) 2, (F3C)SiFH2, (F3C)SiF2H, (F3C)SiF3, (F3C)SiFD2, (F3C)SiF2D, (F3C) SiClF2, (F3C)SiCl2F, and (F3C)SiCl3.
3. The process as claimed in claim 1 wherein said chemical precursor is (F3C)SiF3.
4. The process as claimed in claim 1 wherein said chemical precursor is selected from the group consisting of (F3C)GeH3, (F3C)2GeH2, (F3C)GeD3, (F3C)2GeD2, (F3C)GeFH2, (F3C)GeF2H, (F3C)GeF3, (F3C)GeFD2, and (F3C)GeF2D.
5. The process as claimed in claim 1 wherein said fluorine-containing material is a film having a dielectric constant of about 2.7 or lower.
6. The process as claimed in claim 1 wherein said substrate is an integrated circuit.
7. The process as claimed in claim 1 further comprising providing a silicon source.
8. The process as claimed in claim 7 wherein said silicon source is selected from the group consisting of silane, disilane, trisilane, methylsilane, dimethylsilane, disiloxane, dimethylsiloxane, methoxysilane and dimethoxysilane.
9. The process as claimed in claim 8 wherein said silicon source is provided in an amount effective to crosslink said fluorine-containing material.
10. The process as claimed in claim 1 further comprising providing a germanium source.
11. The process as claimed in claim 10 wherein said germanium source is selected from the group consisting of germane, digermane, trigermane, methylgermane, dimethylgermane, methoxygermane and dimethoxygermane.
12. The process as claimed in claim 11 wherein said germanium source is provided in an amount effective to crosslink said fluorine-containing material.
13. The process as claimed in claim 1 further comprising providing an oxygen source.
14. The process as claimed in claim 13 wherein said oxygen source is selected from the group consisting of oxygen, nitrous oxide, ozone, hydrogen peroxide, water, methanol, ethanol, dimethylether, and diethyl ether.
15. The process as claimed in claim 1 further comprising providing an oxygen source and a silicon source.
16. The process as claimed in claim 1 further comprising providing an oxygen source and a germanium source.
17. The process as claimed in claim 1 wherein said substrate is disposed within a chamber.
18. The process as claimed in claim 17 wherein said chamber is a batch tube reactor.
19. The process as claimed in claim 17 wherein said fluorine-containing material is deposited by thermal chemical vapor deposition.
20. The process as claimed in claim 19 wherein said fluorine-containing material is deposited by thermal chemical vapor deposition at a temperature in the range of about 150° C. to about 450° C.
21. The process as claimed in claim 20 wherein said fluorine-containing material is a polymer film having a thickness in the range of about 10 Å to about 10,000 Å.
22. The process as claimed in claim 17 further comprising providing an oxidizing agent and depositing a porous fluorine-containing material.
23. The process as claimed in claim 22 wherein said porous fluorine-containing material has a dielectric constant of about 2.3 or lower.
24. The process as claimed in claim 1 wherein said fluorine-containing material is a polymer comprising carbon and fluorine atoms and having a numerical ratio of fluorine:carbon in the range of about 1.5:1 to about 2.5:1.
25. The process as claimed in claim 1 wherein said fluorine-containing material is deposited by plasma-enhanced chemical vapor deposition.
26. The process as claimed in claim 25 wherein said fluorine-containing material is deposited by pulsed plasma-enhanced chemical vapor deposition.
27. The process as claimed in claim 1 further comprising providing a silicon source and depositing a Si-containing film onto said fluorine-containing material.
28. A chemical vapor deposition process for depositing a dielectric film onto a surface, comprising
providing a chemical vapor deposition chamber having disposed therein a substrate;
introducing a gas to said chamber, wherein said gas comprises a chemical precursor selected from the group consisting of (F3C)SiH3, (F3C)2SiH2, (F3C)SiD3, (F3C)2SiD2, (F3C)SiFH2, (F3C)SiF2H, (F3C)SiF3, (F3C)SiFD2, and (F3C)SiF2D; and
reacting said chemical precursor to deposit onto said substrate a film having a dielectric constant of about 2.7 or lower.
29. The process as claimed in claim 28 wherein said film is deposited by thermal chemical vapor deposition at a temperature in the range of about 150° C. to about 450° C.
30. The process as claimed in claim 28 wherein said chemical precursor is (F3C)SiF3.
31. The process as claimed in claim 28 wherein said film is deposited by plasma chemical vapor deposition.
32. The process as claimed in claim 31 wherein said film is deposited by pulsed plasma chemical vapor deposition.
33. The process as claimed in claim 28 wherein said gas further comprises a supplemental source selected from the group consisting of oxygen source, silicon source, and germanium source.
34. The process as claimed in claim 33 wherein said gas further comprises a silicon source in an amount effective to crosslink said film.
35. The process as claimed in claim 28 wherein said substrate is an integrated circuit.
36. The process as claimed in claim 35 wherein said film is a polymer comprising carbon and fluorine atoms and having a numerical ratio of fluorine:carbon in the range of about 1.8:1 to about 2.2:1.
37. A process for making a porous material, comprising
providing an oxygen source;
providing a compound of the formula (F3C)4−m−nMXmRn, wherein M is Si or Ge; X is halogen; R is H or D; m is 0, 1, 2 or 3; and n is 0, 1, 2, or 3; with the proviso that (m+n)≦3;
providing a substrate;
activating said oxygen source and said compound at a temperature of about 300° C. or less to thereby deposit an oxygen-containing film onto said substrate; and
heating said oxygen-containing film to a temperature in the range of about 150° C. to about 400° C. to form a porous film.
38. The process as claimed in claim 37 wherein said oxygen-containing film is deposited by thermal chemical vapor deposition at a temperature in the range of about 200° C. to about 300° C.
39. The process as claimed in claim 37 wherein said oxygen-containing film is deposited by plasma-enhanced chemical vapor deposition.
40. The process as claimed in claim 37 wherein said compound is selected from the group consisting of (F3C)SiH3, (F3C)2SiH2, (F3C)SiD3, (F3C)2SiD2, (F3C)SiFH2, (F3C)SiF2H, (F3C)SiF3, (F3C)SiFD2, (F3C)SiF2D, (F3C)GeH3,(F3C)2GeH2. (F3C)GeD3, (F3C)2GeD2, (F3C)GeFH2, (F3C)GeF2H, (F3C)GeF3, (F3C)GeFD2, and (F3C)GeF2D.
41. The process as claimed in claim 37 wherein said compound is (F3C)SiF3.
42. The process as claimed in claim 37 wherein said porous film has a dielectric constant of about 2.3 or lower.
43. The process as claimed in claim 37 further comprising providing a supplemental source selected from the group consisting of silicon source, germanium source, and oxygen source.
44. The process as claimed in claim 37 wherein said substrate is contained within a chemical vapor deposition chamber.
45. The process as claimed in claim 44 further comprising introducing a silicon source to said chemical vapor deposition chamber and depositing a Si-containing film onto said oxygen-containing film.
46. The process as claimed in claim 44 which further comprises introducing a silicon source to said chemical vapor deposition chamber and depositing a Si-containing film onto said porous film.
47. The process as claimed in claim 44 wherein said porous film is crosslinked and has a dielectric constant of about 2.5 or lower.
US09/841,525 2000-04-28 2001-04-24 Fluorine-containing materials and processes Expired - Lifetime US6458718B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US09/841,525 US6458718B1 (en) 2000-04-28 2001-04-24 Fluorine-containing materials and processes

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US20067400P 2000-04-28 2000-04-28
US09/841,525 US6458718B1 (en) 2000-04-28 2001-04-24 Fluorine-containing materials and processes

Publications (2)

Publication Number Publication Date
US20020045359A1 true US20020045359A1 (en) 2002-04-18
US6458718B1 US6458718B1 (en) 2002-10-01

Family

ID=22742691

Family Applications (1)

Application Number Title Priority Date Filing Date
US09/841,525 Expired - Lifetime US6458718B1 (en) 2000-04-28 2001-04-24 Fluorine-containing materials and processes

Country Status (3)

Country Link
US (1) US6458718B1 (en)
EP (1) EP1150345A3 (en)
JP (1) JP2002009071A (en)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040062862A1 (en) * 2002-09-28 2004-04-01 Ahn Seong Deok Method and apparatus using large-area organic vapor deposition for formation of organic thin films or organic devices
US20070161216A1 (en) * 2005-12-22 2007-07-12 Matthias Bauer Epitaxial deposition of doped semiconductor materials
US20110192850A1 (en) * 2008-08-29 2011-08-11 Seb Sa Culinary article comprising a non-stick coating having improved non-stick properties
US20150151962A1 (en) * 2013-11-29 2015-06-04 Robert Bosch Gmbh Method for manufacturing a micromechanical component
CN104870687A (en) * 2012-12-21 2015-08-26 吉列公司 Chemical vapor deposition of fluorocarbon polymers
US9199275B2 (en) 2008-10-16 2015-12-01 Seb Sa Cooking item comprising a non stick coating with improved properties of adhesion to the substrate
WO2020233480A1 (en) * 2019-05-17 2020-11-26 江苏菲沃泰纳米科技有限公司 Low dielectric constant film and preparation method thereof

Families Citing this family (180)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1999027575A1 (en) * 1997-11-20 1999-06-03 Tokyo Electron Limited Method of forming film by plasma
EP1123991A3 (en) * 2000-02-08 2002-11-13 Asm Japan K.K. Low dielectric constant materials and processes
US6521546B1 (en) * 2000-06-14 2003-02-18 Applied Materials, Inc. Method of making a fluoro-organosilicate layer
US6846737B1 (en) 2000-08-15 2005-01-25 Intel Corporation Plasma induced depletion of fluorine from surfaces of fluorinated low-k dielectric materials
EP1355864A2 (en) * 2000-08-28 2003-10-29 Applied Materials, Inc. Pre-polycoating of glass substrates
JP2004526318A (en) * 2001-03-23 2004-08-26 ダウ・コーニング・コーポレイション Method for producing hydrogenated silicon oxycarbide membrane
US20030111013A1 (en) * 2001-12-19 2003-06-19 Oosterlaken Theodorus Gerardus Maria Method for the deposition of silicon germanium layers
US6825134B2 (en) * 2002-03-26 2004-11-30 Applied Materials, Inc. Deposition of film layers by alternately pulsing a precursor and high frequency power in a continuous gas flow
CA2487486A1 (en) * 2002-06-21 2003-12-31 E.I. Du Pont De Nemours And Company Fluoropolymer interlayer dielectric by chemical vapor deposition
US7540920B2 (en) * 2002-10-18 2009-06-02 Applied Materials, Inc. Silicon-containing layer deposition with silicon compounds
US8501594B2 (en) * 2003-10-10 2013-08-06 Applied Materials, Inc. Methods for forming silicon germanium layers
US7132338B2 (en) 2003-10-10 2006-11-07 Applied Materials, Inc. Methods to fabricate MOSFET devices using selective deposition process
US7166528B2 (en) 2003-10-10 2007-01-23 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
US7078302B2 (en) * 2004-02-23 2006-07-18 Applied Materials, Inc. Gate electrode dopant activation method for semiconductor manufacturing including a laser anneal
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7312128B2 (en) 2004-12-01 2007-12-25 Applied Materials, Inc. Selective epitaxy process with alternating gas supply
US7682940B2 (en) 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
US7560352B2 (en) 2004-12-01 2009-07-14 Applied Materials, Inc. Selective deposition
US7235492B2 (en) 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
US7648927B2 (en) 2005-06-21 2010-01-19 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
WO2007035660A1 (en) * 2005-09-20 2007-03-29 Applied Materials, Inc. Method to form a device on a soi substrate
US7674337B2 (en) 2006-04-07 2010-03-09 Applied Materials, Inc. Gas manifolds for use during epitaxial film formation
JP5090451B2 (en) 2006-07-31 2012-12-05 アプライド マテリアルズ インコーポレイテッド Method for forming carbon-containing silicon epitaxial layer
CN101496150B (en) 2006-07-31 2012-07-18 应用材料公司 Methods of controlling morphology during epitaxial layer formation
US8197913B2 (en) * 2007-07-25 2012-06-12 Tokyo Electron Limited Film forming method for a semiconductor
US9340880B2 (en) 2009-10-27 2016-05-17 Silcotek Corp. Semiconductor fabrication process
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
JP5843491B2 (en) * 2010-06-24 2016-01-13 キヤノン株式会社 Coating liquid, optical component manufacturing method and photographing optical system
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
EP2830781A2 (en) * 2012-03-26 2015-02-04 Silcotek Corp. Coated article and chemical vapor deposition process
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
DE102013016324A1 (en) 2013-10-04 2015-04-09 Merck Patent Gmbh Perfluoroalkylfluoro- or Perfluoralkylchlorgermanate
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US11292924B2 (en) 2014-04-08 2022-04-05 Silcotek Corp. Thermal chemical vapor deposition coated article and process
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
WO2017040623A1 (en) 2015-09-01 2017-03-09 Silcotek Corp. Thermal chemical vapor deposition coating
US20170283943A1 (en) * 2016-03-29 2017-10-05 Silcotek Corp. Treated article, system having treated article, and process incorporating treated article
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI766433B (en) 2018-02-28 2022-06-01 美商應用材料股份有限公司 Systems and methods to form airgaps
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN110129769B (en) * 2019-05-17 2021-05-14 江苏菲沃泰纳米科技股份有限公司 Hydrophobic low dielectric constant film and method for preparing same
WO2020252306A1 (en) 2019-06-14 2020-12-17 Silcotek Corp. Nano-wire growth

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5244698A (en) 1985-02-21 1993-09-14 Canon Kabushiki Kaisha Process for forming deposited film
US4781942A (en) 1985-12-19 1988-11-01 Hughes Aircraft Company Process for the photochemical vapor deposition of siloxane polymers
US4894352A (en) 1988-10-26 1990-01-16 Texas Instruments Inc. Deposition of silicon-containing films using organosilicon compounds and nitrogen trifluoride
US5563105A (en) 1994-09-30 1996-10-08 International Business Machines Corporation PECVD method of depositing fluorine doped oxide using a fluorine precursor containing a glass-forming element
US5492736A (en) * 1994-11-28 1996-02-20 Air Products And Chemicals, Inc. Fluorine doped silicon oxide process
JPH09116011A (en) 1995-10-23 1997-05-02 Mitsubishi Electric Corp Semiconductor device and its manufacture
US5989998A (en) 1996-08-29 1999-11-23 Matsushita Electric Industrial Co., Ltd. Method of forming interlayer insulating film
US6051321A (en) 1997-10-24 2000-04-18 Quester Technology, Inc. Low dielectric constant materials and method
US5876798A (en) 1997-12-29 1999-03-02 Chartered Semiconductor Manufacturing, Ltd. Method of fluorinated silicon oxide film deposition
US6303523B2 (en) * 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US5900290A (en) 1998-02-13 1999-05-04 Sharp Microelectronics Technology, Inc. Method of making low-k fluorinated amorphous carbon dielectric
US6068884A (en) 1998-04-28 2000-05-30 Silcon Valley Group Thermal Systems, Llc Method of making low κ dielectric inorganic/organic hybrid films
US6015595A (en) * 1998-05-28 2000-01-18 Felts; John T. Multiple source deposition plasma apparatus
US6365528B1 (en) * 2000-06-07 2002-04-02 Lsi Logic Corporation Low temperature process for forming a low dielectric constant fluorine and carbon-containing silicon oxide dielectric-material characterized by improved resistance to oxidation and good gap-filling capabilities

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040062862A1 (en) * 2002-09-28 2004-04-01 Ahn Seong Deok Method and apparatus using large-area organic vapor deposition for formation of organic thin films or organic devices
US20050287299A1 (en) * 2002-09-28 2005-12-29 Ahn Seong D Method and apparatus using large-area organic vapor deposition for formation of organic thin films or organic devices
US20070161216A1 (en) * 2005-12-22 2007-07-12 Matthias Bauer Epitaxial deposition of doped semiconductor materials
US7863163B2 (en) 2005-12-22 2011-01-04 Asm America, Inc. Epitaxial deposition of doped semiconductor materials
US20110192850A1 (en) * 2008-08-29 2011-08-11 Seb Sa Culinary article comprising a non-stick coating having improved non-stick properties
US9199275B2 (en) 2008-10-16 2015-12-01 Seb Sa Cooking item comprising a non stick coating with improved properties of adhesion to the substrate
CN104870687A (en) * 2012-12-21 2015-08-26 吉列公司 Chemical vapor deposition of fluorocarbon polymers
RU2608482C1 (en) * 2012-12-21 2017-01-18 Дзе Жиллетт Компани Fluorocarbon polymer gas-phase chemical deposition
US20150151962A1 (en) * 2013-11-29 2015-06-04 Robert Bosch Gmbh Method for manufacturing a micromechanical component
US9365417B2 (en) * 2013-11-29 2016-06-14 Robert Bosch Gmbh Method for manufacturing a micromechanical component
WO2020233480A1 (en) * 2019-05-17 2020-11-26 江苏菲沃泰纳米科技有限公司 Low dielectric constant film and preparation method thereof
US11904352B2 (en) 2019-05-17 2024-02-20 Jiangsu Favored Nanotechnology Co., Ltd. Low dielectric constant film and preparation method thereof

Also Published As

Publication number Publication date
JP2002009071A (en) 2002-01-11
EP1150345A2 (en) 2001-10-31
EP1150345A3 (en) 2004-08-11
US6458718B1 (en) 2002-10-01

Similar Documents

Publication Publication Date Title
US6458718B1 (en) Fluorine-containing materials and processes
JP3515074B2 (en) Low-κ dielectric inorganic / organic hybrid film and method for producing the same
US7544827B2 (en) Process for depositing low dielectric constant materials
EP1149934B1 (en) CVD synthesis of silicon nitride materials
Loboda et al. Properties of a‐SiO x: H Thin Films Deposited from Hydrogen Silsesquioxane Resins
JP4881422B2 (en) Method for producing hydrogenated silicon oxycarbide film having low dielectric constant
JP3881282B2 (en) Low dielectric constant material and CVD processing method
EP1717848A1 (en) Method for producing silicon oxide film
JP4881153B2 (en) Method for producing a hydrogenated silicon oxycarbide film.
IL147609A (en) Organosilicon precursors for interlayer dielectric films with low dielectric constants
JPH0734439B2 (en) Method for manufacturing device containing silicon nitride film
CN101316945A (en) A method to improve the ashing/wet etch damage resistance and integration stability of low dielectric constant films
JP2004190033A (en) Low dielectric constant material and process for treatment with chemical vapor deposition (cvd)
US5061514A (en) Chemical vapor deposition (CVD) process for plasma depositing silicon carbide films onto a substrate
JP2723472B2 (en) Apparatus and method for depositing borophosphosilicate glass on a substrate
US6905981B1 (en) Low-k dielectric materials and processes
TWI822044B (en) Composition for vapor deposition of dielectric film and method for depositing organosilica film
US20040091717A1 (en) Nitrogen-free fluorine-doped silicate glass
KR20240090404A (en) Alkoxysilanes and dense organosilica films prepared therefrom
CN115516129A (en) Novel precursors for depositing films with high elastic modulus
Levy et al. LOW PRESSURE CHEMICAL VAPOR DEPOSITION OF SILICON NITRIDE USING THE ENVIRONMENTALLY BENIGN PRECURSOR TRIS (DIMETHYLAMINO) SILANE
KR20020023244A (en) GROWTH OF LOW DIELECTRIC CONSTANT SiCFO THIN FILMS BY PLASMA ENHANCED CHEMICAL VAPOR DEPOSITION

Legal Events

Date Code Title Description
AS Assignment

Owner name: ASM JAPAN K.K., JAPAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:TODD, MICHAEL A.;REEL/FRAME:012087/0374

Effective date: 20010802

STCF Information on status: patent grant

Free format text: PATENTED CASE

FEPP Fee payment procedure

Free format text: PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

FPAY Fee payment

Year of fee payment: 4

FPAY Fee payment

Year of fee payment: 8

FPAY Fee payment

Year of fee payment: 12