US20010001954A1 - Apparatus and method for manufacturing semiconductor device - Google Patents

Apparatus and method for manufacturing semiconductor device Download PDF

Info

Publication number
US20010001954A1
US20010001954A1 US09/203,816 US20381698A US2001001954A1 US 20010001954 A1 US20010001954 A1 US 20010001954A1 US 20381698 A US20381698 A US 20381698A US 2001001954 A1 US2001001954 A1 US 2001001954A1
Authority
US
United States
Prior art keywords
nitride film
reaction chamber
titanium
substrate
semiconductor device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US09/203,816
Other versions
US6383302B2 (en
Inventor
Koji Urabe
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NEC Electronics Corp
Original Assignee
NEC Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NEC Corp filed Critical NEC Corp
Assigned to NEC CORPORATION reassignment NEC CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: URABE, KOJI
Publication of US20010001954A1 publication Critical patent/US20010001954A1/en
Priority to US10/107,103 priority Critical patent/US6878625B2/en
Application granted granted Critical
Publication of US6383302B2 publication Critical patent/US6383302B2/en
Assigned to NEC ELECTRONICS CORPORATION reassignment NEC ELECTRONICS CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: NEC CORPORATION
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4581Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber characterised by material of construction or surface finish of the means for supporting the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising transition metals

Definitions

  • the present invention relates to an apparatus and a method for manufacturing a semiconductor device, and more specifically to a semiconductor device manufacturing apparatus and method for forming a titanium film or a titanium nitride film by use of a chemical vapor deposition process using a titanium halide as a raw material gas.
  • FIG. 4 is a diagrammatic view of a reaction chamber of a chemical vapor deposition apparatus for depositing a titanium film and a titanium nitride film by using a titanium halide as a raw material.
  • a substrate holder 10 for holding a semiconductor substrate thereon is formed of a nickel-based alloy having an excellent corrosion resistant property against chlorine.
  • the substrate holder 10 is coated with a titanium nitride film (called a “pre-coated titanium nitride film” hereinafter) 13 in order to prevent the metal of the substrate holder 10 from reacting with a silicon of a bottom surface of a semiconductor substrate such a silicon substrate 1 .
  • the pre-coated titanium nitride film 13 is formed by a chemical vapor deposition process (called a “CVD process” hereinafter) performed in the reaction chamber 300 using titanium tetrachloride, ammonia and nitrogen as a raw material gas, before the process for depositing a film on the silicon substrate 1 is carried out.
  • CVD process chemical vapor deposition process
  • a resistor heater 14 is incorporated in the substrate holder 10 to control the temperature of the substrate holder 10 in a film deposition process.
  • An evacuating line 15 is provided for exhausting from the reaction chamber 300 , a reaction gas generated in the course the film deposition process and an unreacted gas.
  • An upper electrode 16 is provided at an upper portion of the reaction chamber 300 .
  • FIGS. 5A to 5 D are diagrammatic sectional views for illustrating various steps in the semiconductor device manufacturing process.
  • a device isolation oxide film 2 having a thickness of 200 nm is formed on a principal surface of a silicon substrate 1 by a conventional method, and a predetermined impurity is doped into the principal surface of the silicon substrate 1 , so that a diffused layer 3 is formed.
  • an insulating film 4 having a thickness of 1500 nm is formed on the principal surface of the silicon substrate 1 , and a portion of the insulating film 4 positioned on the diffused layer 3 is selectively removed so that a contact hole 5 is formed through the insulating film 4 .
  • a titanium film 6 having a thickness of 10 nm is deposited on an upper surface of the insulating film 4 and an inner surface of the contact hole 5 , by the CVD process using titanium tetrachloride, hydrogen and argon as a raw material gas.
  • a titanium silicide film 7 having a thickness of 20 nm is formed on the upper surface of the diffused layer 3 .
  • the titanium film 6 on the surface of the insulating film 4 is nitrided by ammonia in the reaction chamber 300 (FIG. 4), so that a titanium nitride film 8 is formed.
  • a titanium nitride film 9 having a thickness of 500 nm is deposited on a surface of the titanium nitride film 8 and the titanium silicide film 7 , by the CVD process using titanium tetrachloride, ammonia and nitrogen as a raw material gas.
  • the substrate holder since the substrate holder is exposed to an active chlorine atmosphere at a temperature of 500° C. to 600° C., the substrate holder is required to have the nature that a thermal deformation such as a thermal expansion and a plastic deformation is low, a high electric conductivity, a high heat conductivity and a high-temperature corrosion resistant property.
  • the vapor pressure of nickel chloride is the least within chlorides of refractory metals, and a nickel-based alloy is widely known as a heat resistant material for a structural member.
  • the nickel-based alloy has some degree of electric conductivity and some degree of heat conductivity. Therefore, the nickel-based alloy has been used as a material of the prior art substrate holder.
  • the pre-coated titanium nitride film is formed as mentioned above in order to prevent a reaction with the silicon of the bottom surface of the substrate.
  • the substrate holder is formed of a ceramic material, since the ceramic material is low in heat conductivity, a long time is required to heat the substrate, and since the electric conductivity is low, the substrate potential varies in a high frequency discharge. These are problems.
  • a method for coating the metal substrate-holder with an insulating film by use of the CVD process is disclosed in Japanese Patent Application Pre-examination Publication No. JP-A-03-183151, (the content of which is incorporated by reference in its entirety into this application, and also an English abstract of JP-A-03-183151 is available from the Japanese Patent Office and the content of the English abstract of JP-A-03-183151 is also incorporated by reference in its entirety into this application).
  • the coating of the metal substrate-holder upper surface by the insulating film is previously carried out in a predetermined reaction chamber which is different from the reaction chamber in which the metal substrate-holder is to be installed.
  • the insulating film formed in the predetermined reaction chamber is required to resist to a heat stress caused by a heat history from an ordinary room temperature to a process temperature. Accordingly, the combination of the metal material used to form the substrate holder with the insulating film formed on the upper surface of the metal substrate-holder is restricted to ones which have a thermal characteristics near to each other.
  • the substrate holder is coated with the precoated titanium nitride film, it is possible to prevent the reaction between the silicon of the substrate bottom surface and the metal of the substrate holder.
  • the nickel and the other metal(s) of the substrate holder are corroded through the precoated titanium nitride film by active chlorine generated in the process of depositing the titanium film and the titanium nitride film by using the titanium tetrachloride.
  • the nickel and the other metal(s) deposited on the substrate surface diffuse into the interior of the substrate when the substrate holder temperature is high.
  • impurity energy level(s) caused by the contaminating metals are formed in a junction near to the contact hole in the semiconductor device, so that a junction leakage current is apt to occur, and therefore, a stable electric characteristics of the contact electrode cannot be obtained.
  • Another object of the present invention is to provide a semiconductor device manufacturing apparatus and method, capable of preventing generation of a metal contaminating the semiconductor device, in a reaction chamber.
  • a semiconductor device manufacturing apparatus for forming a titanium film or a titanium nitride film on a principal surface of a semiconductor substrate by a chemical vapor deposition process using a titanium halide as a raw material gas, the apparatus comprising at least a reaction chamber and a substrate supporting member located within the reaction chamber for supporting the semiconductor substrate thereon, the substrate supporting member being coated with a silicon nitride film.
  • the silicon nitride film is a silicon nitride film deposited within the reaction chamber.
  • the silicon nitride film is further coated with a titanium nitride film.
  • This titanium nitride film is preferably a titanium nitride film deposited within the reaction chamber.
  • a semiconductor device manufacturing method for forming a titanium film or a titanium nitride film on a principal surface of a semiconductor substrate by a chemical vapor deposition process using a titanium halide as a raw material gas, the method using a semiconductor device manufacturing apparatus comprising at least a reaction chamber and a substrate supporting member located within the reaction chamber and coated with a silicon nitride film, locating a semiconductor substrate on the silicon nitride film of the substrate supporting member within the reaction chamber, and depositing a titanium film or a titanium nitride film on the semiconductor substrate held on the substrate supporting member within the reaction chamber, by a chemical vapor deposition process using a titanium halide as a raw material gas.
  • the silicon nitride film is further coated with a titanium nitride film, and the semiconductor substrate is located on the titanium nitride film of the substrate supporting member.
  • a semiconductor device manufacturing method for forming a titanium film or a titanium nitride film on a principal surface of a semiconductor substrate by a chemical vapor deposition process using a titanium halide as a raw material gas, the method using a semiconductor device manufacturing apparatus comprising at least a reaction chamber and a substrate supporting member located within the reaction chamber, depositing a silicon nitride film on the substrate supporting member within the reaction chamber, locating a semiconductor substrate on the silicon nitride film of the substrate supporting member within the reaction chamber, and depositing a titanium film or a titanium nitride film on the semiconductor substrate held on the substrate supporting member within the reaction chamber, by a chemical vapor deposition process using a titanium halide as a raw material gas.
  • the silicon nitride film is further coated with a titanium nitride film, and the semiconductor substrate is located on the titanium nitride film of the substrate supporting member.
  • FIG. 1 is a diagrammatic view of a first embodiment of the semiconductor device manufacturing apparatus in accordance with the present invention
  • FIGS. 2A to 2 D are diagrammatic sectional views for illustrating various steps in a first embodiment of the semiconductor device manufacturing process in accordance with the present invention
  • FIG. 3 is a diagrammatic view of a second embodiment of the semiconductor device manufacturing apparatus in accordance with the present invention.
  • FIG. 4 is a diagrammatic view of the prior art semiconductor device manufacturing apparatus.
  • FIGS. 5A to 5 D are diagrammatic sectional views for illustrating various steps in the prior art semiconductor device manufacturing process.
  • FIG. 1 is a diagrammatic view of the first embodiment of the semiconductor device manufacturing apparatus in accordance with the present invention.
  • the semiconductor device manufacturing apparatus includes a reaction chamber 100 in which a titanium film and a titanium nitride film are deposited.
  • a substrate holder 10 is provided, which is formed of a nickel-based alloy having an excellent corrosion resistant property against chlorine.
  • a resistor heater 14 is incorporated in the substrate holder 10 to control the temperature of the substrate holder 10 in a film deposition process.
  • An evacuating line 15 is provided for exhausting from the reaction chamber 100 , a reaction gas generated in the course the film deposition process and an unreacted gas.
  • An upper electrode 16 is provided at an upper portion of the reaction chamber 100 .
  • the substrate holder 10 is coated with a silicon nitride film 11 having a thickness of about 500 nm.
  • This silicon nitride film 11 is formed by a thermal CVD process in the reaction chamber 100 , before the titanium film and the titanium nitride film are deposited on a principal surface of a semiconductor substrate such as a silicon substrate 1 in the same reaction chamber 100 .
  • the silicon nitride film 11 is formed under the condition in which the temperature of the substrate holder 10 is 650° C., the pressure of the reaction chamber 100 is 1 Torr, a flow rate of silane (SiH 4 ) gas is 50 sccm, a flow rate of ammonia gas is 150 sccm, and a flow rate of argon (Ar) gas is 100 sccm.
  • the temperature of the substrate holder 10 is controlled by the heater 14 .
  • the silicon nitride film 11 can be formed by a plasma CVD process.
  • FIGS. 2A to 2 D are diagrammatic sectional views for illustrating various steps in the semiconductor device manufacturing process.
  • a device isolation oxide film 2 having a thickness of 200 nm is formed on a principal surface of a silicon substrate 1 by a conventional method, and a predetermined impurity is doped into the principal surface of the silicon substrate 1 , so that a diffused layer 3 is formed.
  • an insulating film 4 having a thickness of 1500 nm is formed on the principal surface of the silicon substrate 1 , and a portion of the insulating film 4 positioned on the diffused layer 3 is selectively removed so that a contact hole 5 penetrating through the insulating film 4 is formed on the diffused layer 3 .
  • a titanium film 6 having a thickness of 10 nm is deposited on an upper surface of the insulating film 4 and an inner surface of the contact hole 5 , by the CVD process under the condition in which for example, the temperature of the substrate holder 10 is 500° C., the pressure of the reaction chamber 100 is 5 Torr, and a high frequency discharge power is 500 kW, and using as a raw material gas, a mixed gas composed of titanium tetrachloride of 2 sccm in flow rate, hydrogen of 1000 sccm in flow rate, and argon of 500 sccm in flow rate.
  • a titanium silicide film 7 having a thickness of 20 nm is formed on the upper surface of the diffused layer 3 .
  • the titanium film 6 on the surface of the insulating film 4 is nitrided by ammonia of 100 sccm in flow rate, in the reaction chamber 100 (FIG. 1) under the condition in which for example, the temperature of the substrate holder 10 is 600°C., the pressure of the reaction chamber 100 is 20 Torr, a high frequency discharge power is 500 kW, so that a titanium nitride film 8 is formed.
  • a titanium nitride film 9 having a thickness of 500 nm is deposited on a surface of the titanium nitride film 8 and the titanium silicide film 7 , by the CVD process under the condition in which for example, the temperature of the substrate holder 10 is 600°C. and the pressure of the reaction chamber 100 is 20 Torr, and using as a raw material gas, a mixed gas composed of titanium tetrachloride of 40 sccm in flow rate, ammonia of 100 sccm in flow rate, and nitrogen of 3000 sccm in flow rate.
  • the substrate holder 10 provided in the reaction chamber 100 in which the titanium film and the titanium nitride film are deposited, is coated with the silicon nitride film 11 , the substrate holder 10 is not corroded by the titanium tetrachloride which is the raw material gas, and by hydrogen chloride which is the reaction product. Therefore, since the nickel-base alloy forming the substrate holder 10 is not corroded, a vapor of nickel chloride is not generated in the reaction chamber 100 . As a result, the metal contamination of the semiconductor substrate 1 is minimized, so that the stability of the electric characteristics of the contact electrode in the semiconductor device is elevated.
  • the silicon nitride film can prevent the substrate holder 10 from being influenced by active chlorine.
  • FIG. 3 is a diagrammatic view of the second embodiment of the semiconductor device manufacturing apparatus in accordance with the present invention.
  • element corresponding to those shown in FIG. 1 are given the same Reference Numerals, and explanation will be omitted.
  • the semiconductor device manufacturing apparatus includes a reaction chamber 200 in which a titanium film and a titanium nitride film are deposited.
  • a substrate holder 10 is provided, which is formed of a nickel-based alloy having an excellent corrosion resistant property against chlorine.
  • a resistor heater 14 is incorporated in the substrate holder 10 to control the temperature of the substrate holder 10 in a film deposition process.
  • a surface of the substrate holder 10 is coated with a silicon nitride film 11 having a thickness of about 500 nm.
  • This silicon nitride film 1 is formed by a thermal CVD process in the reaction chamber 200 , before the titanium film and the titanium nitride film are deposited on an upper surface of a semiconductor substrate such as a silicon substrate 1 in the same reaction chamber 200 .
  • the silicon nitride film 11 is formed under the condition in which the temperature of the substrate holder 10 is 650°C., the pressure of the reaction chamber 100 is 1 Torr, a flow rate of silane (SiH 4 ) gas is 50 sccm, a flow rate of ammonia gas is 150 sccm, and a flow rate of argon (Ar) gas is 100 sccm.
  • the temperature of the substrate holder 10 is controlled by the heater 14 .
  • the silicon nitride film 11 can be formed by a plasma CVD process.
  • the silicon nitride film 11 is coated with a titanium nitride film 13 having a thickness of for example 500 nm.
  • This titanium nitride film 13 is formed by the thermal CVD process or the plasma CVD process under condition in which for example the temperature of the substrate holder 10 is 600°C and the pressure is 20 Torr, and using as a raw material gas, a mixed gas composed of titanium tetrachloride of 40 sccm in flow rate, ammonia of 100 sccm in flow rate, and nitrogen of 3000 sccm in flow rate.
  • the temperature of the substrate holder 10 is controlled by the heater 14 .
  • a semiconductor device manufacturing method using the second embodiment of the semiconductor device manufacturing apparatus as mentioned above is the same as the semiconductor device manufacturing method explained with reference to FIGS. 2A to 2 D, using the first embodiment of the semiconductor device manufacturing apparatus. Therefore, explanation will be omitted.
  • the substrate holder 10 provided in the reaction chamber 200 in which the titanium film and the titanium nitride film are deposited, is coated with the silicon nitride film 11 , the substrate holder 10 is not corroded by the titanium tetrachloride which is the raw material gas, and by hydrogen chloride which is the reaction product. Therefore, since the nickel-base alloy forming the substrate holder 10 is not corroded, a vapor of nickel chloride is not generated in the reaction chamber 100 . As a result, the metal contamination of the semiconductor substrate 1 is minimized, so that the stability of the electric characteristics of the contact electrode in the semiconductor device is elevated. In addition, since the silicon nitride film is coated with the titanium nitride film, it is possible to stabilize the potential of the substrate holder.
  • the substrate holder provided in the reaction chamber for depositing the titanium film and in the reaction chamber for depositing the titanium nitride film is coated with the silicon nitride film, the substrate holder is not corroded by the titanium tetrachloride which is the raw material gas, and by hydrogen chloride which is the reaction product. Therefore, since the nickel-base alloy forming the substrate holder is not corroded, a vapor of nickel chloride is not generated in the reaction chamber. As a result, the metal contamination of the semiconductor substrate is minimized, so that the stability of the electric characteristics of the contact electrode in the semiconductor device is elevated. In addition, if the silicon nitride film is coated with the titanium nitride film, it is possible to stabilize the potential of the substrate holder.

Abstract

In a semiconductor device manufacturing apparatus comprising at least a reaction chamber and a substrate holder located within the reaction chamber, a silicon nitride film is deposited on the substrate holder within the reaction chamber, and then, a semiconductor substrate is put on the silicon nitride film of the substrate holder within the reaction chamber. A titanium film or a titanium nitride film is deposited on the semiconductor substrate within the reaction chamber, by a chemical vapor deposition process using a titanium halide as a raw material gas.

Description

    BACKGROUND OF THE INVENTION
  • 1. Field of the Invention [0001]
  • The present invention relates to an apparatus and a method for manufacturing a semiconductor device, and more specifically to a semiconductor device manufacturing apparatus and method for forming a titanium film or a titanium nitride film by use of a chemical vapor deposition process using a titanium halide as a raw material gas. [0002]
  • 2. Description of Related Art [0003]
  • Now, a prior art semiconductor device manufacturing method for forming a titanium film and a titanium nitride film in a contact hole of a semiconductor device, by use of a chemical vapor deposition process using a titanium halide as a raw material gas, will be described with reference to FIG. 4, which is a diagrammatic view of a reaction chamber of a chemical vapor deposition apparatus for depositing a titanium film and a titanium nitride film by using a titanium halide as a raw material. [0004]
  • In a [0005] reaction chamber 300, a substrate holder 10 for holding a semiconductor substrate thereon, is formed of a nickel-based alloy having an excellent corrosion resistant property against chlorine. The substrate holder 10 is coated with a titanium nitride film (called a “pre-coated titanium nitride film” hereinafter) 13 in order to prevent the metal of the substrate holder 10 from reacting with a silicon of a bottom surface of a semiconductor substrate such a silicon substrate 1.
  • Here, the pre-coated [0006] titanium nitride film 13 is formed by a chemical vapor deposition process (called a “CVD process” hereinafter) performed in the reaction chamber 300 using titanium tetrachloride, ammonia and nitrogen as a raw material gas, before the process for depositing a film on the silicon substrate 1 is carried out.
  • A [0007] resistor heater 14 is incorporated in the substrate holder 10 to control the temperature of the substrate holder 10 in a film deposition process. An evacuating line 15 is provided for exhausting from the reaction chamber 300, a reaction gas generated in the course the film deposition process and an unreacted gas. An upper electrode 16 is provided at an upper portion of the reaction chamber 300.
  • Next, the prior art semiconductor device manufacturing method will be described with reference to FIGS. 5A to [0008] 5D, which are diagrammatic sectional views for illustrating various steps in the semiconductor device manufacturing process.
  • As shown in FIG. 5A, a device [0009] isolation oxide film 2 having a thickness of 200 nm is formed on a principal surface of a silicon substrate 1 by a conventional method, and a predetermined impurity is doped into the principal surface of the silicon substrate 1, so that a diffused layer 3 is formed.
  • Furthermore, an [0010] insulating film 4 having a thickness of 1500 nm is formed on the principal surface of the silicon substrate 1, and a portion of the insulating film 4 positioned on the diffused layer 3 is selectively removed so that a contact hole 5 is formed through the insulating film 4.
  • Thereafter, as shown in FIG. 5B, a [0011] titanium film 6 having a thickness of 10 nm is deposited on an upper surface of the insulating film 4 and an inner surface of the contact hole 5, by the CVD process using titanium tetrachloride, hydrogen and argon as a raw material gas. In the same process, a titanium silicide film 7 having a thickness of 20 nm is formed on the upper surface of the diffused layer 3.
  • Then, as shown in FIG. 5C, the [0012] titanium film 6 on the surface of the insulating film 4 is nitrided by ammonia in the reaction chamber 300 (FIG. 4), so that a titanium nitride film 8 is formed.
  • Furthermore, as shown in FIG. 5D, a [0013] titanium nitride film 9 having a thickness of 500 nm is deposited on a surface of the titanium nitride film 8 and the titanium silicide film 7, by the CVD process using titanium tetrachloride, ammonia and nitrogen as a raw material gas.
  • In the above mentioned process for forming the titanium film and the titanium nitride film by using the titanium tetrachloride, since the substrate holder is exposed to an active chlorine atmosphere at a temperature of 500° C. to 600° C., the substrate holder is required to have the nature that a thermal deformation such as a thermal expansion and a plastic deformation is low, a high electric conductivity, a high heat conductivity and a high-temperature corrosion resistant property. [0014]
  • The vapor pressure of nickel chloride is the least within chlorides of refractory metals, and a nickel-based alloy is widely known as a heat resistant material for a structural member. In addition, the nickel-based alloy has some degree of electric conductivity and some degree of heat conductivity. Therefore, the nickel-based alloy has been used as a material of the prior art substrate holder. In addition, when a metal substrate holder is used, the pre-coated titanium nitride film is formed as mentioned above in order to prevent a reaction with the silicon of the bottom surface of the substrate. [0015]
  • If the substrate holder is formed of a ceramic material, since the ceramic material is low in heat conductivity, a long time is required to heat the substrate, and since the electric conductivity is low, the substrate potential varies in a high frequency discharge. These are problems. [0016]
  • A method for coating the metal substrate-holder with an insulating film by use of the CVD process is disclosed in Japanese Patent Application Pre-examination Publication No. JP-A-03-183151, (the content of which is incorporated by reference in its entirety into this application, and also an English abstract of JP-A-03-183151 is available from the Japanese Patent Office and the content of the English abstract of JP-A-03-183151 is also incorporated by reference in its entirety into this application). In the method disclosed in JP-A-03-183151, the coating of the metal substrate-holder upper surface by the insulating film is previously carried out in a predetermined reaction chamber which is different from the reaction chamber in which the metal substrate-holder is to be installed. Therefore, the insulating film formed in the predetermined reaction chamber is required to resist to a heat stress caused by a heat history from an ordinary room temperature to a process temperature. Accordingly, the combination of the metal material used to form the substrate holder with the insulating film formed on the upper surface of the metal substrate-holder is restricted to ones which have a thermal characteristics near to each other. [0017]
  • However, the following problem has been encountered in the prior art semiconductor device manufacturing apparatus and method mentioned above. [0018]
  • Since the substrate holder is coated with the precoated titanium nitride film, it is possible to prevent the reaction between the silicon of the substrate bottom surface and the metal of the substrate holder. However, the nickel and the other metal(s) of the substrate holder are corroded through the precoated titanium nitride film by active chlorine generated in the process of depositing the titanium film and the titanium nitride film by using the titanium tetrachloride. [0019]
  • As the result of the corrosion, a vapor of nickel chloride and chloride of the other metal(s) is generated in the reaction chamber, with the result that nickel and the other metal(s) are deposited on the surface of the silicon substrate because of a silicon reduction reaction of the chloride of the nickel and the other metal(s) at the surface of the silicon substrate. [0020]
  • The nickel and the other metal(s) deposited on the substrate surface diffuse into the interior of the substrate when the substrate holder temperature is high. As a result, impurity energy level(s) caused by the contaminating metals are formed in a junction near to the contact hole in the semiconductor device, so that a junction leakage current is apt to occur, and therefore, a stable electric characteristics of the contact electrode cannot be obtained. [0021]
  • SUMMARY OF THE INVENTION
  • Accordingly, it is an object of the present invention to provide a semiconductor device manufacturing apparatus and method which have overcome the above mentioned defect of the conventional one. [0022]
  • Another object of the present invention is to provide a semiconductor device manufacturing apparatus and method, capable of preventing generation of a metal contaminating the semiconductor device, in a reaction chamber. [0023]
  • The above and other objects of the present invention are achieved in accordance with the present invention by a semiconductor device manufacturing apparatus for forming a titanium film or a titanium nitride film on a principal surface of a semiconductor substrate by a chemical vapor deposition process using a titanium halide as a raw material gas, the apparatus comprising at least a reaction chamber and a substrate supporting member located within the reaction chamber for supporting the semiconductor substrate thereon, the substrate supporting member being coated with a silicon nitride film. [0024]
  • Preferably, the silicon nitride film is a silicon nitride film deposited within the reaction chamber. In one embodiment, the silicon nitride film is further coated with a titanium nitride film. This titanium nitride film is preferably a titanium nitride film deposited within the reaction chamber. [0025]
  • According to another aspect of the present invention, there is provided a semiconductor device manufacturing method for forming a titanium film or a titanium nitride film on a principal surface of a semiconductor substrate by a chemical vapor deposition process using a titanium halide as a raw material gas, the method using a semiconductor device manufacturing apparatus comprising at least a reaction chamber and a substrate supporting member located within the reaction chamber and coated with a silicon nitride film, locating a semiconductor substrate on the silicon nitride film of the substrate supporting member within the reaction chamber, and depositing a titanium film or a titanium nitride film on the semiconductor substrate held on the substrate supporting member within the reaction chamber, by a chemical vapor deposition process using a titanium halide as a raw material gas. [0026]
  • In one embodiment, the silicon nitride film is further coated with a titanium nitride film, and the semiconductor substrate is located on the titanium nitride film of the substrate supporting member. [0027]
  • According to still another aspect of the present invention, there is provided a semiconductor device manufacturing method for forming a titanium film or a titanium nitride film on a principal surface of a semiconductor substrate by a chemical vapor deposition process using a titanium halide as a raw material gas, the method using a semiconductor device manufacturing apparatus comprising at least a reaction chamber and a substrate supporting member located within the reaction chamber, depositing a silicon nitride film on the substrate supporting member within the reaction chamber, locating a semiconductor substrate on the silicon nitride film of the substrate supporting member within the reaction chamber, and depositing a titanium film or a titanium nitride film on the semiconductor substrate held on the substrate supporting member within the reaction chamber, by a chemical vapor deposition process using a titanium halide as a raw material gas. [0028]
  • In one embodiment, the silicon nitride film is further coated with a titanium nitride film, and the semiconductor substrate is located on the titanium nitride film of the substrate supporting member. [0029]
  • The above and other objects, features and advantages of the present invention will be apparent from the following description of preferred embodiments of the invention with reference to the accompanying drawings. [0030]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is a diagrammatic view of a first embodiment of the semiconductor device manufacturing apparatus in accordance with the present invention; [0031]
  • FIGS. 2A to [0032] 2D are diagrammatic sectional views for illustrating various steps in a first embodiment of the semiconductor device manufacturing process in accordance with the present invention;
  • FIG. 3 is a diagrammatic view of a second embodiment of the semiconductor device manufacturing apparatus in accordance with the present invention; [0033]
  • FIG. 4 is a diagrammatic view of the prior art semiconductor device manufacturing apparatus; and [0034]
  • FIGS. 5A to [0035] 5D are diagrammatic sectional views for illustrating various steps in the prior art semiconductor device manufacturing process.
  • DESCRIPTION OF THE PREFERRED EMBODIMENTS
  • Now, a first embodiment of the semiconductor device manufacturing apparatus in accordance with the present invention will be described with reference to FIG. 1, which is a diagrammatic view of the first embodiment of the semiconductor device manufacturing apparatus in accordance with the present invention. [0036]
  • Referring to FIG. 1, the semiconductor device manufacturing apparatus includes a [0037] reaction chamber 100 in which a titanium film and a titanium nitride film are deposited. Within the reaction chamber 100, a substrate holder 10 is provided, which is formed of a nickel-based alloy having an excellent corrosion resistant property against chlorine. A resistor heater 14 is incorporated in the substrate holder 10 to control the temperature of the substrate holder 10 in a film deposition process. An evacuating line 15 is provided for exhausting from the reaction chamber 100, a reaction gas generated in the course the film deposition process and an unreacted gas. An upper electrode 16 is provided at an upper portion of the reaction chamber 100.
  • The [0038] substrate holder 10 is coated with a silicon nitride film 11 having a thickness of about 500 nm. This silicon nitride film 11 is formed by a thermal CVD process in the reaction chamber 100, before the titanium film and the titanium nitride film are deposited on a principal surface of a semiconductor substrate such as a silicon substrate 1 in the same reaction chamber 100. For example, the silicon nitride film 11 is formed under the condition in which the temperature of the substrate holder 10 is 650° C., the pressure of the reaction chamber 100 is 1 Torr, a flow rate of silane (SiH4) gas is 50 sccm, a flow rate of ammonia gas is 150 sccm, and a flow rate of argon (Ar) gas is 100 sccm. The temperature of the substrate holder 10 is controlled by the heater 14.
  • In the case that a high frequency electrode is provided in the reaction chamber, the [0039] silicon nitride film 11 can be formed by a plasma CVD process.
  • Next, a first embodiment of the semiconductor device manufacturing method which uses the first embodiment of the semiconductor device manufacturing apparatus mentioned above, will be described with reference to FIGS. 2A to [0040] 2D, which are diagrammatic sectional views for illustrating various steps in the semiconductor device manufacturing process.
  • As shown in FIG. 2A, a device [0041] isolation oxide film 2 having a thickness of 200 nm is formed on a principal surface of a silicon substrate 1 by a conventional method, and a predetermined impurity is doped into the principal surface of the silicon substrate 1, so that a diffused layer 3 is formed.
  • Furthermore, an insulating [0042] film 4 having a thickness of 1500 nm is formed on the principal surface of the silicon substrate 1, and a portion of the insulating film 4 positioned on the diffused layer 3 is selectively removed so that a contact hole 5 penetrating through the insulating film 4 is formed on the diffused layer 3.
  • Thereafter, as shown in FIG. 2B, a [0043] titanium film 6 having a thickness of 10 nm is deposited on an upper surface of the insulating film 4 and an inner surface of the contact hole 5, by the CVD process under the condition in which for example, the temperature of the substrate holder 10 is 500° C., the pressure of the reaction chamber 100 is 5 Torr, and a high frequency discharge power is 500 kW, and using as a raw material gas, a mixed gas composed of titanium tetrachloride of 2 sccm in flow rate, hydrogen of 1000 sccm in flow rate, and argon of 500 sccm in flow rate. In the same process, a titanium silicide film 7 having a thickness of 20 nm is formed on the upper surface of the diffused layer 3.
  • Then, as shown in FIG. 2C, the [0044] titanium film 6 on the surface of the insulating film 4 is nitrided by ammonia of 100 sccm in flow rate, in the reaction chamber 100 (FIG. 1) under the condition in which for example, the temperature of the substrate holder 10 is 600°C., the pressure of the reaction chamber 100 is 20 Torr, a high frequency discharge power is 500 kW, so that a titanium nitride film 8 is formed.
  • Furthermore, as shown in FIG. 2D, a [0045] titanium nitride film 9 having a thickness of 500 nm is deposited on a surface of the titanium nitride film 8 and the titanium silicide film 7, by the CVD process under the condition in which for example, the temperature of the substrate holder 10 is 600°C. and the pressure of the reaction chamber 100 is 20 Torr, and using as a raw material gas, a mixed gas composed of titanium tetrachloride of 40 sccm in flow rate, ammonia of 100 sccm in flow rate, and nitrogen of 3000 sccm in flow rate.
  • In the above mentioned first embodiment, since the [0046] substrate holder 10 provided in the reaction chamber 100, in which the titanium film and the titanium nitride film are deposited, is coated with the silicon nitride film 11, the substrate holder 10 is not corroded by the titanium tetrachloride which is the raw material gas, and by hydrogen chloride which is the reaction product. Therefore, since the nickel-base alloy forming the substrate holder 10 is not corroded, a vapor of nickel chloride is not generated in the reaction chamber 100. As a result, the metal contamination of the semiconductor substrate 1 is minimized, so that the stability of the electric characteristics of the contact electrode in the semiconductor device is elevated.
  • Furthermore, since the nitride film is formed in the [0047] reaction chamber 100, there does not occur a crack which would otherwise occur in the silicon nitride film because of a thermal stress generated in the course of moving between a process temperature and an ordinary room temperature when the nitride film is formed in another apparatus. Therefore, the silicon nitride film can prevent the substrate holder 10 from being influenced by active chlorine.
  • Now, a second embodiment of the semiconductor device manufacturing apparatus in accordance with the present invention will be described with reference to FIG. 3, which is a diagrammatic view of the second embodiment of the semiconductor device manufacturing apparatus in accordance with the present invention. In FIG. 3, element corresponding to those shown in FIG. 1 are given the same Reference Numerals, and explanation will be omitted. [0048]
  • Referring to FIG. 3, the semiconductor device manufacturing apparatus includes a [0049] reaction chamber 200 in which a titanium film and a titanium nitride film are deposited. Within the reaction chamber 100, a substrate holder 10 is provided, which is formed of a nickel-based alloy having an excellent corrosion resistant property against chlorine. A resistor heater 14 is incorporated in the substrate holder 10 to control the temperature of the substrate holder 10 in a film deposition process.
  • A surface of the [0050] substrate holder 10 is coated with a silicon nitride film 11 having a thickness of about 500 nm. This silicon nitride film 1 is formed by a thermal CVD process in the reaction chamber 200, before the titanium film and the titanium nitride film are deposited on an upper surface of a semiconductor substrate such as a silicon substrate 1 in the same reaction chamber 200. For example, the silicon nitride film 11 is formed under the condition in which the temperature of the substrate holder 10 is 650°C., the pressure of the reaction chamber 100 is 1 Torr, a flow rate of silane (SiH4) gas is 50 sccm, a flow rate of ammonia gas is 150 sccm, and a flow rate of argon (Ar) gas is 100 sccm. The temperature of the substrate holder 10 is controlled by the heater 14.
  • In the case that a high frequency electrode is provided in the reaction chamber, the [0051] silicon nitride film 11 can be formed by a plasma CVD process.
  • Furthermore, the [0052] silicon nitride film 11 is coated with a titanium nitride film 13 having a thickness of for example 500 nm. This titanium nitride film 13 is formed by the thermal CVD process or the plasma CVD process under condition in which for example the temperature of the substrate holder 10 is 600°C and the pressure is 20 Torr, and using as a raw material gas, a mixed gas composed of titanium tetrachloride of 40 sccm in flow rate, ammonia of 100 sccm in flow rate, and nitrogen of 3000 sccm in flow rate. The temperature of the substrate holder 10 is controlled by the heater 14.
  • With provision of the [0053] titanium nitride film 13, the electric conductivity between the semiconductor substrate and the substrate holder is improved.
  • A semiconductor device manufacturing method using the second embodiment of the semiconductor device manufacturing apparatus as mentioned above is the same as the semiconductor device manufacturing method explained with reference to FIGS. 2A to [0054] 2D, using the first embodiment of the semiconductor device manufacturing apparatus. Therefore, explanation will be omitted.
  • In this second embodiment, since the [0055] substrate holder 10 provided in the reaction chamber 200, in which the titanium film and the titanium nitride film are deposited, is coated with the silicon nitride film 11, the substrate holder 10 is not corroded by the titanium tetrachloride which is the raw material gas, and by hydrogen chloride which is the reaction product. Therefore, since the nickel-base alloy forming the substrate holder 10 is not corroded, a vapor of nickel chloride is not generated in the reaction chamber 100. As a result, the metal contamination of the semiconductor substrate 1 is minimized, so that the stability of the electric characteristics of the contact electrode in the semiconductor device is elevated. In addition, since the silicon nitride film is coated with the titanium nitride film, it is possible to stabilize the potential of the substrate holder.
  • As mentioned above, according to the present invention, since the substrate holder provided in the reaction chamber for depositing the titanium film and in the reaction chamber for depositing the titanium nitride film, is coated with the silicon nitride film, the substrate holder is not corroded by the titanium tetrachloride which is the raw material gas, and by hydrogen chloride which is the reaction product. Therefore, since the nickel-base alloy forming the substrate holder is not corroded, a vapor of nickel chloride is not generated in the reaction chamber. As a result, the metal contamination of the semiconductor substrate is minimized, so that the stability of the electric characteristics of the contact electrode in the semiconductor device is elevated. In addition, if the silicon nitride film is coated with the titanium nitride film, it is possible to stabilize the potential of the substrate holder. [0056]
  • The invention has thus been shown and described with reference to the specific embodiments. However, it should be noted that the present invention is in no way limited to the details of the illustrated structures but changes and modifications may be made within the scope of the appended claims. [0057]

Claims (10)

1. A semiconductor device manufacturing apparatus for forming a titanium film or a titanium nitride film on a principal surface of a semiconductor substrate by a chemical vapor deposition process using a titanium halide as a raw material gas, the apparatus comprising at least a reaction chamber and a substrate supporting member located within said reaction chamber for supporting said semiconductor substrate thereon, said substrate supporting member being coated with a silicon nitride film.
2. A semiconductor device manufacturing apparatus claimed in
claim 1
wherein said silicon nitride film is a silicon nitride film deposited within said reaction chamber.
3. A semiconductor device manufacturing apparatus claimed in
claim 2
wherein said silicon nitride film is further coated with a titanium nitride film.
4. A semiconductor device manufacturing apparatus claimed in
claim 3
wherein said titanium nitride film is a titanium nitride film deposited within said reaction chamber.
5. A semiconductor device manufacturing apparatus claimed in
claim 1
wherein said silicon nitride film is further coated with a titanium nitride film.
6. A semiconductor device manufacturing apparatus claimed in
claim 5
wherein said titanium nitride film is a titanium nitride film deposited within said reaction chamber.
7. A semiconductor device manufacturing method for forming a titanium film or a titanium nitride film on a principal surface of a semiconductor substrate by a chemical vapor deposition process using a titanium halide as a raw material gas, the method using a semiconductor device manufacturing apparatus comprising at least a reaction chamber and a substrate supporting member located within said reaction chamber and coated with a silicon nitride film, locating a semiconductor substrate on said silicon nitride film of said substrate supporting member within said reaction chamber, and depositing a titanium film or a titanium nitride film on an upper surface of said semiconductor substrate held on said substrate supporting member within said reaction chamber, by a chemical vapor deposition process using a titanium halide as a raw material gas.
8. A semiconductor device manufacturing method claimed in
claim 7
wherein said silicon nitride film is further coated with a titanium nitride film, and said semiconductor substrate is located on said titanium nitride film of said substrate supporting member.
9. A semiconductor device manufacturing method for forming a titanium film or a titanium nitride film on a principal surface of a semiconductor substrate by a chemical vapor deposition process using a titanium halide as a raw material gas, the method using a semiconductor device manufacturing apparatus comprising at least a reaction chamber and a substrate supporting member located within said reaction chamber, depositing a silicon nitride film on a surface of said substrate supporting member within said reaction chamber, locating a semiconductor substrate on said silicon nitride film of said substrate supporting member within said reaction chamber, and depositing a titanium film or a titanium nitride film on said semiconductor substrate held on said substrate supporting member within said reaction chamber, by a chemical vapor deposition process using a titanium halide as a raw material gas.
10. A semiconductor device manufacturing method claimed in
claim 9
wherein after said silicon nitride film is deposited on said substrate supporting member within said reaction chamber, a titanium nitride film is deposited on said silicon nitride film of said substrate supporting member within said reaction chamber, and thereafter, said semiconductor substrate is located on said titanium nitride film of said substrate supporting member.
US09/203,816 1997-12-02 1998-12-02 Apparatus and method for manufacturing semiconductor device Expired - Fee Related US6383302B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US10/107,103 US6878625B2 (en) 1997-12-02 2002-03-25 Method for manufacturing semiconductor device

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP33205097A JP3214422B2 (en) 1997-12-02 1997-12-02 Semiconductor device manufacturing apparatus and semiconductor device manufacturing method
JP9-332050 1997-12-02

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US10/107,103 Division US6878625B2 (en) 1997-12-02 2002-03-25 Method for manufacturing semiconductor device

Publications (2)

Publication Number Publication Date
US20010001954A1 true US20010001954A1 (en) 2001-05-31
US6383302B2 US6383302B2 (en) 2002-05-07

Family

ID=18250588

Family Applications (2)

Application Number Title Priority Date Filing Date
US09/203,816 Expired - Fee Related US6383302B2 (en) 1997-12-02 1998-12-02 Apparatus and method for manufacturing semiconductor device
US10/107,103 Expired - Fee Related US6878625B2 (en) 1997-12-02 2002-03-25 Method for manufacturing semiconductor device

Family Applications After (1)

Application Number Title Priority Date Filing Date
US10/107,103 Expired - Fee Related US6878625B2 (en) 1997-12-02 2002-03-25 Method for manufacturing semiconductor device

Country Status (4)

Country Link
US (2) US6383302B2 (en)
JP (1) JP3214422B2 (en)
KR (1) KR100281218B1 (en)
CN (1) CN1136607C (en)

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6649518B2 (en) * 1999-02-18 2003-11-18 Micron Technology, Inc. Method of forming a conductive contact
US20030215963A1 (en) * 2002-05-17 2003-11-20 Amrhein Fred Plasma etch resistant coating and process
WO2004007795A1 (en) * 2002-07-12 2004-01-22 Tokyo Electron Limited Film formation method for semiconductor processing
US20050136657A1 (en) * 2002-07-12 2005-06-23 Tokyo Electron Limited Film-formation method for semiconductor process
WO2005059948A1 (en) * 2003-12-19 2005-06-30 Oxford Instruments Plasma Technology Limited Apparatus and method for plasma processing
US20090019349A1 (en) * 2006-02-15 2009-01-15 Nhn Corporation Method and system for exposing games
US20100151680A1 (en) * 2008-12-17 2010-06-17 Optisolar Inc. Substrate carrier with enhanced temperature uniformity
US20150279672A1 (en) * 2012-10-26 2015-10-01 Aledia Process for growing at least one nanowire using a transition metal nitride layer obtained in two steps
US9679966B2 (en) 2012-10-26 2017-06-13 Commissariat A L'energie Atomique Et Aux Energies Alternatives Electronic device containing nanowire(s), equipped with a transition metal buffer layer, process for growing at least one nanowire, and process for manufacturing a device
US20170250086A1 (en) * 2016-02-26 2017-08-31 Tokyo Electron Limited Substrate processing method and recording medium
US20210398864A1 (en) * 2020-06-22 2021-12-23 Kokusai Electric Corporation Method of Manufacturing Semiconductor Device

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030235652A1 (en) * 1999-11-17 2003-12-25 Satoshi Wakabayashi Precoat film forming method
KR100709801B1 (en) * 1999-11-17 2007-04-23 동경 엘렉트론 주식회사 Precoat film forming method, idling method of film forming device, loading table structure, film forming device and film forming method
KR20010066284A (en) * 1999-12-31 2001-07-11 황인길 Method for preventing variation of wafer to wafer thickness in a chemical vaper deposition
US7319057B2 (en) * 2001-10-30 2008-01-15 Ovonyx, Inc. Phase change material memory device
US7204913B1 (en) * 2002-06-28 2007-04-17 Lam Research Corporation In-situ pre-coating of plasma etch chamber for improved productivity and chamber condition control
JP4543611B2 (en) * 2003-03-06 2010-09-15 東京エレクトロン株式会社 Precoat layer forming method and film forming method
JP2005174986A (en) * 2003-12-08 2005-06-30 Matsushita Electric Ind Co Ltd Rapid thermal processor, manufacturing method therefor and temperature adjusting method
JP4583764B2 (en) * 2004-01-14 2010-11-17 ルネサスエレクトロニクス株式会社 Semiconductor device and manufacturing method thereof
WO2005098913A1 (en) * 2004-04-09 2005-10-20 Tokyo Electron Limited METHOD FOR FORMING Ti FILM AND TiN FILM, CONTACT STRUCTURE, COMPUTER READABLE STORING MEDIUM AND COMPUTER PROGRAM
JP5171192B2 (en) * 2007-09-28 2013-03-27 東京エレクトロン株式会社 Metal film formation method
KR101590073B1 (en) 2015-08-19 2016-02-01 (주)프레스코 Honeycomb

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5366164A (en) * 1976-11-26 1978-06-13 Hitachi Ltd Susceptor for semiconductor wafer processing
JPS54157778A (en) * 1978-06-02 1979-12-12 Toshiba Ceramics Co Susceptor
JPS5825244A (en) * 1982-07-12 1983-02-15 Toshiba Ceramics Co Ltd Manufacturing device for semiconductor
JPS617622A (en) * 1984-06-22 1986-01-14 Fujitsu Ltd Manufacture of semiconductor device
JPH0610352B2 (en) 1986-08-22 1994-02-09 日本真空技術株式会社 CVD equipment
JPH0752721B2 (en) 1989-03-10 1995-06-05 信越半導体株式会社 Jig for semiconductor wafer heat treatment
JPH03183151A (en) 1989-12-12 1991-08-09 Denki Kagaku Kogyo Kk Electrostatic chuck plate
JPH05315267A (en) 1992-05-13 1993-11-26 Matsushita Electric Ind Co Ltd Plasma cvd apparatus
US5420072A (en) 1994-02-04 1995-05-30 Motorola, Inc. Method for forming a conductive interconnect in an integrated circuit
US5886863A (en) * 1995-05-09 1999-03-23 Kyocera Corporation Wafer support member

Cited By (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6649518B2 (en) * 1999-02-18 2003-11-18 Micron Technology, Inc. Method of forming a conductive contact
US20030215963A1 (en) * 2002-05-17 2003-11-20 Amrhein Fred Plasma etch resistant coating and process
US6825051B2 (en) * 2002-05-17 2004-11-30 Asm America, Inc. Plasma etch resistant coating and process
US20040255868A1 (en) * 2002-05-17 2004-12-23 Amrhein Fred Plasma etch resistant coating and process
WO2004007795A1 (en) * 2002-07-12 2004-01-22 Tokyo Electron Limited Film formation method for semiconductor processing
US20050136657A1 (en) * 2002-07-12 2005-06-23 Tokyo Electron Limited Film-formation method for semiconductor process
WO2005059948A1 (en) * 2003-12-19 2005-06-30 Oxford Instruments Plasma Technology Limited Apparatus and method for plasma processing
US20070186854A1 (en) * 2003-12-19 2007-08-16 Oxford Instruments Plasma Technology Limited Apparatus and method for plasma processing
US20090019349A1 (en) * 2006-02-15 2009-01-15 Nhn Corporation Method and system for exposing games
US20100151680A1 (en) * 2008-12-17 2010-06-17 Optisolar Inc. Substrate carrier with enhanced temperature uniformity
US20150279672A1 (en) * 2012-10-26 2015-10-01 Aledia Process for growing at least one nanowire using a transition metal nitride layer obtained in two steps
US9679966B2 (en) 2012-10-26 2017-06-13 Commissariat A L'energie Atomique Et Aux Energies Alternatives Electronic device containing nanowire(s), equipped with a transition metal buffer layer, process for growing at least one nanowire, and process for manufacturing a device
US9698011B2 (en) 2012-10-26 2017-07-04 Commissariat A L'energie Atomique Et Aux Energies Alternatives Process for growing at least one nanowire using a transition metal nitride layer obtained in two steps
US9991342B2 (en) 2012-10-26 2018-06-05 Commissariat A L'energie Atomique Et Aux Energies Alternatives Electronic device containing nanowire(s), equipped with a transition metal buffer layer, process for growing at least one nanowire, and process for manufacturing a device
US10636653B2 (en) * 2012-10-26 2020-04-28 Commissariat A L'energie Atomique Et Aux Energies Alternatives Process for growing at least one nanowire using a transition metal nitride layer obtained in two steps
US20170250086A1 (en) * 2016-02-26 2017-08-31 Tokyo Electron Limited Substrate processing method and recording medium
US10242878B2 (en) * 2016-02-26 2019-03-26 Tokyo Electron Limited Substrate processing method and recording medium
US20210398864A1 (en) * 2020-06-22 2021-12-23 Kokusai Electric Corporation Method of Manufacturing Semiconductor Device
US11967534B2 (en) * 2020-06-22 2024-04-23 Kokusai Electric Corporation Method of manufacturing semiconductor device

Also Published As

Publication number Publication date
CN1136607C (en) 2004-01-28
US20020096115A1 (en) 2002-07-25
JPH11162880A (en) 1999-06-18
KR19990062696A (en) 1999-07-26
US6383302B2 (en) 2002-05-07
US6878625B2 (en) 2005-04-12
JP3214422B2 (en) 2001-10-02
KR100281218B1 (en) 2001-03-02
CN1219760A (en) 1999-06-16

Similar Documents

Publication Publication Date Title
US6878625B2 (en) Method for manufacturing semiconductor device
US5610106A (en) Plasma enhanced chemical vapor deposition of titanium nitride using ammonia
JP3740508B2 (en) Plasma enhanced annealing of titanium nitride
US6208033B1 (en) Apparatus having titanium silicide and titanium formed by chemical vapor deposition
US5104694A (en) Selective chemical vapor deposition of a metallic film on the silicon surface
US5963828A (en) Method for tungsten nucleation from WF6 using titanium as a reducing agent
US20020192396A1 (en) Method of titanium/titanium nitride integration
WO2001004929A2 (en) A method of forming a film in a chamber
US6093638A (en) Method of forming an electrical contact in a substrate
KR19990013876A (en) Titanium film formation method by chemical vapor deposition
US6174805B1 (en) Titanium film forming method
US6168837B1 (en) Chemical vapor depositions process for depositing titanium silicide films from an organometallic compound
JPS6248752B2 (en)
KR100290467B1 (en) Method of forming a metal barrier film in a semiconductor device
US20010041442A1 (en) Fabrication process of semiconductor device with titanium film.
JPH06349774A (en) Method of forming buried plug
JPH08186173A (en) Manufacture of semiconductor device
JP3211290B2 (en) Method for forming semiconductor device
KR100623612B1 (en) Method for fabricating metal line of semiconductor device
JPH07201779A (en) Electrode wiring and its formation
JPH07297279A (en) Manufacture of semiconductor device
KR20020061261A (en) Method for forming a silicide layer on a semiconductor substrate
KR20030079227A (en) Susceptor for supporting a semiconductor substrate and manufacturing apparatus having the same

Legal Events

Date Code Title Description
AS Assignment

Owner name: NEC CORPORATION, JAPAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:URABE, KOJI;REEL/FRAME:009651/0089

Effective date: 19981201

FEPP Fee payment procedure

Free format text: PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

AS Assignment

Owner name: NEC ELECTRONICS CORPORATION, JAPAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:NEC CORPORATION;REEL/FRAME:013736/0321

Effective date: 20021101

FPAY Fee payment

Year of fee payment: 4

REMI Maintenance fee reminder mailed
LAPS Lapse for failure to pay maintenance fees
STCH Information on status: patent discontinuation

Free format text: PATENT EXPIRED DUE TO NONPAYMENT OF MAINTENANCE FEES UNDER 37 CFR 1.362

FP Lapsed due to failure to pay maintenance fee

Effective date: 20100507