TWM625448U - 晶片封裝及晶片結構 - Google Patents

晶片封裝及晶片結構 Download PDF

Info

Publication number
TWM625448U
TWM625448U TW110212504U TW110212504U TWM625448U TW M625448 U TWM625448 U TW M625448U TW 110212504 U TW110212504 U TW 110212504U TW 110212504 U TW110212504 U TW 110212504U TW M625448 U TWM625448 U TW M625448U
Authority
TW
Taiwan
Prior art keywords
die
conductive
layer
protective layer
conductive structure
Prior art date
Application number
TW110212504U
Other languages
English (en)
Inventor
輝星 周
Original Assignee
新加坡商Pep創新私人有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 新加坡商Pep創新私人有限公司 filed Critical 新加坡商Pep創新私人有限公司
Publication of TWM625448U publication Critical patent/TWM625448U/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/16Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different main groups of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. forming hybrid circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/34Arrangements for cooling, heating, ventilating or temperature compensation ; Temperature sensing arrangements
    • H01L23/36Selection of materials, or shaping, to facilitate cooling or heating, e.g. heatsinks
    • H01L23/367Cooling facilitated by shape of device
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/495Lead-frames or other flat leads
    • H01L23/49541Geometry of the lead-frame
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/495Lead-frames or other flat leads
    • H01L23/49541Geometry of the lead-frame
    • H01L23/49548Cross section geometry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/495Lead-frames or other flat leads
    • H01L23/49575Assemblies of semiconductor devices on lead frames
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/04105Bonding areas formed on an encapsulation of the semiconductor or solid-state body, e.g. bonding areas on chip-scale packages
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/12105Bump connectors formed on an encapsulation of the semiconductor or solid-state body, e.g. bumps on chip-scale packages
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L2224/23Structure, shape, material or disposition of the high density interconnect connectors after the connecting process
    • H01L2224/24Structure, shape, material or disposition of the high density interconnect connectors after the connecting process of an individual high density interconnect connector
    • H01L2224/241Disposition
    • H01L2224/24135Connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/24137Connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being arranged next to each other, e.g. on a common substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L2224/23Structure, shape, material or disposition of the high density interconnect connectors after the connecting process
    • H01L2224/25Structure, shape, material or disposition of the high density interconnect connectors after the connecting process of a plurality of high density interconnect connectors
    • H01L2224/251Disposition
    • H01L2224/2518Disposition being disposed on at least two different sides of the body, e.g. dual array
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32151Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/32221Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/32245Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being metallic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/34Strap connectors, e.g. copper straps for grounding power devices; Manufacturing methods related thereto
    • H01L2224/39Structure, shape, material or disposition of the strap connectors after the connecting process
    • H01L2224/40Structure, shape, material or disposition of the strap connectors after the connecting process of an individual strap connector
    • H01L2224/401Disposition
    • H01L2224/40151Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/40221Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/40245Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being metallic
    • H01L2224/40247Connecting the strap to a bond pad of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/481Disposition
    • H01L2224/48151Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/48221Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/48245Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being metallic
    • H01L2224/48247Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being metallic connecting the wire to a bond pad of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73201Location after the connecting process on the same surface
    • H01L2224/73221Strap and wire connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73251Location after the connecting process on different surfaces
    • H01L2224/73265Layer and wire connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Structures Or Materials For Encapsulating Or Coating Semiconductor Devices Or Solid State Devices (AREA)
  • Encapsulation Of And Coatings For Semiconductor Or Solid State Devices (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

本公開提供一種用於電源模組的晶片封裝,包括至少一個半導體晶粒;用於控制所述至少一個半導體晶粒的驅動電路;在所述至少一個半導體晶粒和驅動電路上形成的保護層;包括至少一個金屬特徵的金屬單元;以及塑封層,用於包封所述至少一個半導體晶粒、驅動電路、保護層以及金屬單元。所述晶片封裝藉由至少一個金屬特徵與一外部電路相連接。本公開還提供一種用於電源模組的晶片封裝的製造方法。

Description

晶片封裝及晶片結構
本公開涉及半導體技術領域,尤其涉及具有嵌入式引線框架(embedded lead frame)晶片封裝方法及晶片結構。
面板級封裝(panel-level package)即將晶片切割分離出眾多晶粒,將所述晶粒排布黏貼在載板上,將眾多晶粒在同一工藝流程中同時封裝。面板級封裝作為近年來興起的技術受到廣泛關注,和傳統的晶圓級封裝(wafer-level package)相比,面板級封裝具有生產效率高,生產成本低,適於大規模生產的優勢。
同時,當今功率模組(power modules)對晶片封裝的需求顯著增加。然而,傳統晶片封裝仍然使用銅夾(Cu clip)和打線接合(wire bonding),因此存在許多缺點。例如,銅夾具有龐大的尺寸,這使得傳統晶片封裝難以變薄。而且,在傳統晶片封裝中,位於晶粒(die)之上的銅夾可能會由於其重量而導致晶粒破裂。當功率模組需要較薄晶粒時,該缺點變得更加嚴重。此外,打線接合可能導致傳統晶片封裝的電性能和熱性能較差。
因此,本申請公開了相應的晶片結構和封裝晶片,以解決傳統晶片封裝的缺陷。尤其是具有嵌入式引線框架的晶片結構和封裝晶片對於功率模組具有更好的電性能和熱性能。
本公開旨在提供一種用於電源模組的晶片封裝,包括具有相對的晶粒活性面和晶粒背面的至少一個晶粒,其中所述至少一個晶粒具有較薄的厚度,用於減小用作電源模組時的電阻;用於控制所述至少一個晶粒的驅動電路,其具有相對的驅動活性面和驅動背面;在所述晶粒活性面和驅動活性面上形成的保護層,其具有多個保護層開口,用於將所述晶粒活性面和驅動活性面從所述保護層中暴露;金屬單元,所述金屬單元包括至少一個金屬特徵,其中所述至少一個金屬特徵具有至少一個連接墊,所述至少一個連接墊具有相對的連接墊正面和連接墊背面;以及塑封層,用於包封所述至少一個晶粒、驅動電路、保護層和金屬單元。所述晶片封裝藉由至少一個金屬特徵與一外部電路相連接。
在一些實施例中,所述至少一個晶粒包括第一晶粒和第二晶粒,其分別具有第一晶粒活性面和第二晶粒活性面,其中所述第一晶粒、第二晶粒和驅動電路被所述金屬單元圍繞,所述第一晶粒活性面、第二晶粒活性面和驅動活性面實質上齊平。
在一些實施例中,所述晶片封裝還包括在所述金屬單元的至少一個金屬特徵、保護層和塑封層上形成的第一導電結構,其中所述第一導電結構連接至所述晶粒活性面和驅動活性面,用於將所述至少一個晶粒和驅動電路連接至所述金屬單元。
在一些實施例中,所述第一導電結構具有多個連接至所述晶粒活性面和驅動活性面的導電填充通孔,以及在所述金屬單元的至少一個金屬特徵、保護層和塑封層上形成面板級導電層,其中所述導電填充通孔 由導電材料填充所述保護層開口而形成。
在一些實施例中,所述晶片封裝還包括在所述金屬單元的至少一個金屬特徵和塑封層上形成的第二導電結構,所述第二導電結構和第一導電結構在所述至少一個晶粒的相對側,其中所述第二導電結構藉由所述金屬單元的至少一個金屬特徵和所述第一導電結構相連接。
在一些實施例中,所述第一導電結構和第二導電結構具有基本相同的重量,用於從所述晶粒活性面和晶粒背面來平衡所述晶片封裝。
在一些實施例中,所述第二導電結構和至少一個晶粒的晶粒背面直接接觸,用於將所述晶片封裝電背接地。
在一些實施例中,所述晶片封裝還包括在所述塑封層中形成至少一個空隙,用於將所述晶粒背面從塑封層中暴露,其中在所述至少一個空隙中填充導電介質以形成導電填充空隙,用於和所述第二導電結構相連接。
在一些實施例中,所述晶片封裝還包括在所述至少一個晶粒的晶粒背面形成的附加塑封層,並被所述塑封層包封;以及在所述附加塑封層中至少一個空隙,用於將所述晶粒背面從塑封層中暴露,其中在所述至少一個空隙中填充導電介質以形成導電填充空隙,用於和所述第二導電結構相連接。
在一些實施例中,所述晶片封裝還包括用於包封所述第一導電結構的第一介電層,其中所述第一導電結構從第一介電層中暴露,用於和所述外部電路相連接;以及用於包封所述第二導電結構的第二介電層,其中所述第二導電結構從第二介電層中暴露,用於和一外部元件相連接。
本公開還旨在提供一種晶片結構,包括具有相對的晶粒活性面和晶粒背面的至少一個晶粒;在所述晶粒活性面上形成的保護層,具有多個保護層開口,用於將所述晶粒活性面從所述保護層中暴露;金屬單元,所述金屬單元包括至少一個金屬特徵,其中所述至少一個金屬特徵具有至少一個連接墊,所述至少一個連接墊具有相對的連接墊正面和連接墊背面;塑封層,用於包封所述晶粒、保護層和金屬單元;以及在所述金屬單元的至少一個金屬特徵、保護層和塑封層上形成的第一導電結構,其中所述第一導電結構連接至所述晶粒活性面,用於將所述至少一個晶粒連接至所述金屬單元。所述晶片結構藉由至少一個金屬特徵與一外部電路相連接。
在一些實施例中,所述外部電路包括印刷電路板,所述第一導電結構和印刷電路板直接接觸,用於將所述至少一個晶粒直接連接到所述印刷電路板。
在一些實施例中,所述晶片結構還包括在所述金屬單元的至少一個金屬特徵和塑封層上形成的第二導電結構,所述第二導電結構和第一導電結構在所述至少一個晶粒的相對側,其中所述第二導電結構藉由所述第一導電結構和金屬單元的至少一個金屬特徵從而和所述至少一個晶粒相連接,用於將所述晶片結構電背接地。
在一些實施例中,所述第二導電結構和至少一個晶粒的晶粒背面直接接觸,用於將熱量從所述晶粒背面傳到出所述晶片結構。
在一些實施例中,所述第一導電結構和第二導電結構具有基本相同的重量,用於從所述晶粒活性面和晶粒背面來平衡所述晶片封裝。
本公開還旨在提供一種用於電源模組的晶片封裝的製造方法,包括提供具有相對的晶粒活性面和晶粒背面的至少一個晶粒,其中所述至少一個晶粒的晶粒活性面和晶粒背面之間的厚度較薄,用於減小電源模組的電阻;提供用於控制所述至少一個晶粒的驅動電路,其具有相對的驅動活性面和驅動背面;在所述晶粒活性面和驅動活性面上形成保護層,其具有多個保護層開口,用於將所述晶粒活性面和驅動活性面從所述保護層中暴露;放置金屬單元而圍繞所述至少一個晶粒和驅動電路,其中所述金屬單元具有至少一個金屬特徵,所述至少一個金屬特徵具有至少一個連接墊,所述至少一個連接墊具有相對的連接墊正面和連接墊背面;形成塑封層,用於包封所述至少一個晶粒、驅動電路、保護層和金屬單元;以及藉由所述金屬單元的至少一個金屬特徵將所述晶片封裝連接至一外部電路。
在一些實施例中,所述的製造方法還包括形成第一導電結構,從而和所述至少一個連接墊的連接墊正面、保護層的保護層第二面以及塑封層的塑封層正面直接接觸,其中所述連接墊正面、保護層第二面和塑封層正面實質上齊平。
在一些實施例中,所述製造方法還包括形成第二導電結構,和所述至少一個連接墊的連接墊背面以及塑封層的塑封層背面直接接觸,其中所述塑封層背面和塑封層正面相對。
在一些實施例中,所述的製造方法還包括在所述塑封層中形成至少一個空隙,用於使所述至少一個晶粒的晶粒背面從中暴露;以及在所述至少一個空隙中填充導電介質以形成導電填充空隙,和所述第二導電 結構相連接。
在一些實施例中,所述製造方法還包括形成包封所述第一導電結構的第一介電層,其中所述第一導電結構從第一介電層中暴露,用於和所述外部電路相連接;以及形成包封所述第二導電結構的第二介電層,其中所述第二導電結構從第二介電層中暴露,用於和一外部元件相連接。
10:晶片封裝方法
100:晶片
1001:晶片活性面
1002:晶片背面
103:電連接點
105:絕緣層
106:晶片導電跡線
107:保護層
109:保護層開口
109a:保護層開口下表面
109b:保護層開口上表面
109c:保護層開口側壁
111:晶片導電凸柱
113:晶粒
1131:晶粒活性面
1132:晶粒背面
117:(第一)載板
1171:載板正面
1172:載板背面
118:(第二)載板
121:黏接層
122:黏接層
123:塑封層
1231:塑封層正面
1232:塑封層背面
124:導電填充通孔
125:第一面板級導電跡線
127:第一面板級導電柱
129:第一導電結構
130:晶片導電層
131:表面處理層
140:第二導電結構
142:第二面板級導電跡線
144:第二面板級導電柱
146:第一介電層
150:面板組件
152:面板組件
154:面板組件
160:乾膜
162:圖案化乾膜
163:乾膜開口
164:乾膜
166:圖案化乾膜
167:乾膜開口
170:第二介電層
1702:第二介電層背面
20:晶片封裝方法
200:金屬框架
201:連接墊
2011:連接墊正面
2012:連接墊背面
202:空位
203:連桿
300:臨時支撐板
301:黏接層
400:封裝晶片
410:印刷電路板(PCB)或基板
412:焊料凸塊或焊球
420:無源元件
430:散熱器
440:接地標籤
500:封裝晶片
502:空隙
504:導電填充空隙
550:封裝晶片
600:封裝晶片
602:第一晶粒
6021:第一晶粒活性面
6022:第一晶粒背面
604:第二晶粒
6041:第二晶粒活性面
6042:第二晶粒背面
610:塑封層
700:晶片封裝
710:面板組件
720:驅動電路
7201:驅動活性表面
7202:驅動背面
730:空間
740:電阻器
742:電容器
744:電感器
800:晶片封裝
850:晶片封裝
900:傳統晶片封裝
902:第一晶粒
9021:第一晶粒活性面
9022:第一晶粒背面
904:第二晶粒
9041:第二晶粒活性面
9042:第二晶粒背面
906:銅夾
908:晶粒背金屬層
910:引線(鍵合)
912:引線框架
916:晶粒附接材料
918:點鍍層
922:焊料凸塊或焊球
A:晶粒示意圖
AS:附加步驟
B:晶粒示意圖
C:晶粒示意圖
L1:虛線
L2:虛線
S101至S111:步驟
S201至S211:步驟
SL:切割線
[圖1]是根據本公開的示例性實施例提出的一種晶片封裝方法的流程圖。
[圖2]至[圖25]是根據圖1中的晶片封裝方法而製造的面板組件(panel assembly)的流程示意圖。
[圖26]是根據圖2至圖25製造的面板組件進行切割後形成的封裝晶片的示意圖。
[圖27]是根據本公開的示例性實施例提出的另一種晶片封裝方法的流程圖。
[圖28]至[圖30]是根據圖27中的晶片封裝方法而製造另一面板組件(panel assembly)的額外流程示意圖。
[圖31]是根據圖28至圖30製造的面板組件進行切割後形成的封裝晶片的示意圖。
[圖32]至[圖34]是圖28至圖30中的另一面板組件的變型的流程示意圖。
[圖35]是根據圖32至圖34製造的面板組件的變型進行切割後形成的 封裝晶片的示意圖。
[圖36a、圖36b]是根據圖1中的晶片封裝方法而製造的具有兩個晶片的封裝晶片的示意圖。
[圖37a、圖37b、圖37c]是根據本公開的示例性實施例提出的一種用於功率模組的晶片封裝的示意圖。
[圖38a、圖38b]是根據本公開的示例性實施例提出的另一種用於功率模組的晶片封裝的示意圖。
[圖39a、圖39b]是根據本公開的示例性實施例提出的另一種用於功率模組的晶片封裝的示意圖。
[圖40]是一種用於功率模組的傳統晶片封裝的示意圖。
為使本公開的技術方案更加清楚,技術效果更加明晰,以下結合圖式對本公開的優選實施例給出詳細具體的描述和說明,不能理解為以下描述是本公開的唯一實現形式,或者是對本公開的限制。
圖1是根據本公開一實施例的晶片封裝方法10的流程圖。圖2至圖25是根據圖1中的晶片封裝方法而製造的面板組件(panel assembly)的流程示意圖。
請參照圖1,本公開的晶片封裝方法10包括以下步驟:
步驟S101:提供晶片100。
如圖2所示,提供至少一個晶片100,該晶片100具有晶片活性面1001和晶片背面1002,晶片100包括多個晶粒113,其中每一個晶粒的活性表面構成了晶片活性面1001,晶片100中每一個晶粒的活性面均藉 由摻雜、沉積、刻蝕等一系列工藝形成一系列主動元件和被動元件,主動元件包括二極體、三極體等,被動元件包括電壓元件、電容器、電阻器、電感器等,將這些主動元件和被動元件利用連接線連接形成功能電路,從而實現各種功能。晶片活性面1001還包括用於將功能電路引出的電連接點103以及用於保護該電連接點103的絕緣層105。
步驟S102:在晶片活性面1001施加保護層107。
圖3a與圖3b示出了可選地在晶片活性面1001施加保護層107的工藝步驟:如圖3a所示,在晶片活性面1001上施加保護層107。
優選地,保護層107採用層壓的方式施加到晶片活性面1001上。
可選地,在晶片活性面1001上施加保護層107的步驟前,對晶片活性面1001和/或保護層107施加於晶片100上的一面進行物理和/或化學處理,以使保護層107和晶片100之間的結合更為緊密。處理方法可選地為等離子表面處理使表面粗糙化增大黏接面積和/或化學促進改性劑處理,在晶片100和保護層107之間引入促進改性基團,例如同時帶有親和有機和親和無機的基團的表面改性劑,增加有機/無機介面層之間的黏合力。
如圖3b所示,在保護層107表面形成保護層開口109。
在保護層107與晶片活性面1001上的電連接點103相對應的位置處形成保護層開口109,將晶片活性面1001上的電連接點103暴露出來。
優選地,保護層開口109和晶片活性面1001上的電連接點103之間一一對應。
可選地,至少一部分保護層開口109中的每一個保護層開口109對應多個電連接點103。
可選地,至少一部分電連接點103對應多個保護層開口109。
可選地,至少一部分保護層開口109沒有對應的電連接點103,或者,至少一部分電連接點103沒有對應的保護層開口109。
採用雷射圖形化或者光刻圖案化的方式形成保護層開口109。
若採用雷射圖形化的方式形成保護層開口109,優選地,在晶片活性面1001施加保護層107之前,在晶片活性面1001上進行化學鍍工藝步驟,以在電連接點103上形成導電覆蓋層。可選地,導電覆蓋層為一層或多層的Cu、Ni、Pd、Au、Cr;優選地,導電保護層為Cu層;導電保護層的厚度優選為2-3μm。導電覆蓋層並未在圖中示出。導電覆蓋層能夠在後續的保護層開口109形成步驟中保護晶片活性面1001上的電連接點103免受雷射損害。
優選地,如圖3b中的局部放大圖所示,保護層開口下表面109a和絕緣層105之間具有空隙,優選地,保護層開口下表面109a處於電連接點103接近中央位置處。
在一優選實施例中,保護層開口109的形狀為,保護層開口上表面109b的面積比保護層開口下表面109a的面積大,保護層開口下表面109a與保護層開口上表面109b之面積比為60%~90%。
此時,保護層開口側壁109c的斜度可以使導電材料的填充容易進行,在填充過程中,導電材料會均勻連續形成在側壁上。
可選地,可暫時不形成保護層開口109,在剝離載板的工序後再在保護層上形成保護層開口109。
可選地,在保護層開口109中填充導電介質,使得保護層開口109成為導電填充通孔124。至少一部分導電填充通孔124與晶片活性面1001上的電連接點103連接。使得導電填充通孔124,將晶片活性面1001上的電連接點103單一方面延伸至保護層表面,保護層圍繞形成在導電填充通孔124四周。導電介質可以是金、銀、銅、錫、鋁等材料或其組合材料,也可以為其它合適的導電材料藉由利用PVD、CVD、濺鍍、電解電鍍、無電極電鍍工藝,或者其它合適的金屬沉積工藝形成在保護層開口109形成導電填充通孔124。
圖4a至圖4c示出了另一可選地在晶片活性面1001施加保護層107的工藝步驟:如圖4a所示,在晶片活性面1001上形成晶片導電層130。
晶片導電層130為晶片導電跡線(wafer trace)106。晶片導電跡線106可以是銅、金、銀、錫、鋁等材料或其組合材料,也可以為其它合適的導電材料藉由利用PVD、CVD、濺鍍、電解電鍍、無電極電鍍工藝,或者其它合適的金屬沉積工藝形成。
至少一部分晶片導電跡線106與晶片活性面1001上的至少一部分電連接點103連接。
可選地,晶片導電跡線106將晶片活性面1001上的至少一部 分中的多個電連接點103彼此互連並引出,由此形成的晶粒請參見圖6b中晶粒示意圖A。
晶片導電跡線106的形成可以降低之後工藝中保護層開口109形成的個數,利用晶片導電跡線106按照電路設計首先將多個電連接點103彼此互聯,省去了在每個電連接點103上形成保護層開口109的需求。
可選地,晶片導電跡線106將晶片活性面1001上的至少一部分電連接點103單獨引出,由此形成的晶粒請參見圖6b中晶粒示意圖B。
晶片導電跡線106的形成有助於降低之後的保護層開口109的形成工藝難度,由於晶片導電跡線106的存在,可以使保護層開口下表面109a具有更大的面積,相對應的,可以使保護層開口109具有更大的面積,尤其是在具有較小裸露出的電連接點103的晶片100上,使保護層開口109的形成成為可能。
雖未在圖中示出,但是可以理解的,晶片導電跡線106將晶片活性面1001上的一部分電連接點103單獨引出並且將晶片活性面1001上的另一部分電連接點103彼此互連並引出。
如圖4b所示,在晶片活性面1001和晶片導電層130上施加保護層107。
在一個實施例中,保護層107採用層壓的方式施加。
可選地,在施加保護層107的步驟前,對晶片活性面1001和/或保護層107施加於晶片100上的一面進行物理和/或化學處理,以使保護層107和晶片100的之間的結合更為緊密。處理方法可選地為等離子表面 處理使表面粗糙化增大黏接面積和/或化學促進改性劑處理,在晶片100和保護層107之間引入促進改性基團,例如同時帶有親和有機和親和無機的基團的表面改性劑,增加有機/無機介面層之間的黏合力。
如圖4c所示,在保護層107表面形成保護層開口109。
至少一部分保護層開口109位置為和晶片導電層130相對應,藉由保護層開口109將晶片導電層130暴露出來;保護層開口109具有保護層開口下表面109a和保護層開口上表面109b。
在一優選實施例中,保護層開口109的形狀為,保護層開口上表面109b的面積大於保護層開口下表面109a的面積,此時,保護層開口側壁109c的斜度可以使導電材料的填充容易進行,在填充過程中,導電材料會均勻連續形成在側壁上。
優選地,晶片導電層130與電連接點103的單個接觸區域的接觸面積小於晶片導電層130與保護層開口109的單個接觸區域的接觸面積。
當晶片100的種類為裸露出的電連接點103面積較小時,在晶片活性面1001形成導電層,然後再形成保護層開口109,可以有效降低保護層開口109的形成難度,避免由於保護層開口下表面109a過小,而使保護層開口109難以形成。
採用雷射圖形化或者光刻圖案化的方式形成保護層開口109。
可選地,可暫時不形成保護層開口109,在剝離載板的工序後再在保護層上形成保護層開口109。
可選地,在保護層開口109中填充導電介質,使得保護層開口109成為導電填充通孔124,至少一部分導電填充通孔124與晶片導電層130連接,保護層圍繞在導電填充通孔124四周。
圖5a至圖5c示出了再一可選地在晶片活性面1001施加保護層107的工藝步驟。
如圖5a所示,在晶片活性面1001上形成晶片導電跡線(wafer trace)106。
晶片導電跡線106可以是銅、金、銀、錫、鋁等材料或其組合材料,也可以為其它合適的導電材料藉由利用PVD、CVD、濺鍍、電解電鍍、無電極電鍍工藝,或者其它合適的金屬沉積工藝形成。
所述至少一部分晶片導電跡線106可以為將至少一部分中的多個所述電連接點103彼此互連並引出。
所述至少一部分晶片導電跡線106也可以為將至少一部分電連接點103單獨引出,由此形成的晶粒請參見圖6c中晶粒示意圖B。
如圖5b所示,在晶片導電跡線106的焊墊或連接點上形成晶片導電凸柱(wafer stud)111。
晶片導電凸柱111的形狀可以是圓的,也可以是其它形狀如橢圓形、方形、線形等。晶片導電凸柱111可以是一層或多層的銅、金、銀、錫、鋁等材料或其組合材料,也可以為其它合適的導電材料藉由利用PVD、CVD、濺鍍、電解電鍍、無電極電鍍工藝,或者其它合適的金屬沉積工藝形成。
可選地,晶片導電凸柱111也可以直接形成在晶片活性面 1001上的電連接點103處,將電連接點103引出,由此形成的晶粒請參見圖6c中晶粒示意圖C。
晶片導電跡線106和/或晶片導電凸柱111稱為晶片導電層130。
如圖5c所示,在晶片導電層130上施加保護層107。
保護層107施加於晶片導電層130之上,包覆住晶片導電層130。
在一些實施例中,保護層採用層壓的方式施加。
在一些實施例中,保護層107的施加為保護層107將晶片導電層130完全包覆,在此情況下,在保護層107的施加過程過後,會有一個減薄保護層107厚度以露出晶片導電層130表面。
在另一些實施例中,施加的保護層107厚度正好將晶片導電層130表面露出。
可選地,在施加保護層107的步驟前,對形成有晶片導電層130的晶片活性面1001和/或保護層107施加於晶片100上的一面進行物理和/或化學處理,以使保護層107和晶片100之間的結合更為緊密。處理方法可選地為等離子表面處理使表面粗糙化增大黏接面積和/或化學促進改性劑處理,在晶片100和保護層107之間引入促進改性基團,例如同時帶有親和有機和親和無機的基團的表面改性劑,增加有機/無機介面層之間的黏合力。
步驟S102在晶片活性面1001施加保護層107過程中,保護層107可以保護晶粒活性面1131不使塑封過程中塑封材料滲入從而保護 晶粒活性面1131免受破壞;同時,在塑封過程中,塑封壓力不易導致晶粒113在載板(或稱為第一載板)117上發生位置移動;另外,還可以降低之後的面板級導電層形成過程的對位精准度需求。
保護層107採用絕緣材料,可選地如BCB苯並環丁烯,PI聚醯亞胺,PBO聚苯並惡唑,聚合物基質介電膜,有機聚合物膜,或者其它具有相似絕緣和結構特性的材料,藉由層壓(lamination)、塗覆(coating)、印刷(printing)等方式形成。
優選地,保護層107的楊氏模數為在1000~20000MPa的範圍內、更加優選地保護層107的楊氏模數為在1000~10000MPa範圍內;進一步優選地保護層107的楊氏模數為在1000~7000、4000~7000或4000~8000MPa;在最佳實施例中保護層107的楊氏模數為5500MPa。
優選地,保護層107的厚度為在15~50μm的範圍內;更加優選地保護層的厚度為在20~50μm的範圍內;在一個優選實施例中,保護層107的厚度為35μm;在另一個優選實施例中,保護層107的厚度為45μm;在再一個優選實施例中,保護層107的厚度為50μm。
保護層107的楊氏模數數值範圍在1000-20000MPa時,一方面,保護層107質軟,具有良好的柔韌性和彈性;另一方面,保護層可以提供足夠的支撐作用力,使保護層107對其表面形成的導電層具有足夠的支撐。同時,保護層107的厚度在15-50μm時,保證了保護層107能夠提供足夠的緩衝和支撐。
特別是在一些種類的晶片中,既需要使用薄型晶粒進行封裝,又需要導電層達到一定的厚度值以形成大的電通量,此時,選擇保護 層107的厚度範圍為15~50μm,保護層107楊氏模數的數值範圍為1000-10000MPa。質軟,柔韌性佳的保護層107可以在晶粒113和在保護層表面形成的導電層之間形成緩衝層,以使在晶片的使用過程中,保護層表面的導電層不會過度壓迫晶粒113,防止厚重的導電層的壓力使晶粒113破碎。同時保護層107具有足夠的材料強度,保護層107可以對厚重的導電層提供足夠支撐。
當保護層107的楊氏模數為1000-20000MPa時,特別是保護層107的楊氏模數為4000-8000MPa時,保護層107的厚度為20~50μm時,由於保護層107的材料特性,使保護層107能夠在之後的晶粒轉移過程中有效保護晶粒對抗晶粒轉移設備的頂針壓力。
晶粒轉移過程是將切割分離後的晶粒113重新排布黏合在載板117的過程(reconstruction process),晶粒轉移過程需要使用晶粒轉移設備(bonder machine),晶粒轉移設備包括頂針,利用頂針將晶片100上的晶粒113頂起,用焊頭(bonder head)吸起被頂起的晶粒113轉移並黏合到載板117上。
在頂針頂起晶粒113的過程中,晶粒113尤其是薄型晶粒113質脆,易於受到頂針的頂起壓力而破碎,有材料特性的保護層107在此工藝中可以保護質脆的晶粒113即使在較大的頂起壓力下,也可以保持晶粒113的完整。
優選地,保護層107為包括填料顆粒的有機/無機複合材料層。進一步的,填料顆粒為無機氧化物顆粒;進一步的,填料顆粒為SiO2顆粒;在一個實施例中,保護層107中的填料顆粒,為兩種或兩種以上不 同種類的無機氧化物顆粒,例如SiO2混合TiO2顆粒。優選地,保護層107中的填料顆粒,例如無機氧化物顆粒,例如SiO2顆粒,例如SiO2混合TiO2顆粒,為球型或類球型。在一個優選實施例中,保護層107中的填料顆粒,例如無機氧化物顆粒,例如SiO2顆粒,例如SiO2混合TiO2顆粒的填充量為50%以上。
有機材料具有易操作易施加的優點,待封裝晶粒113為無機材料如矽材質,當保護層107單獨採用有機材料時,由於有機材料的材料學性質和無機材料的材料學性質之間的差異,會使封裝工藝難度大,影響封裝效果。採用在有機材料中添加無機顆粒的有機/無機複合材料,會使有機材料的材料學性能得到改性,使材料兼具有機材料和無機材料的特點。
特別是材料的熱膨脹係數(CTE),矽材質晶粒113具有較低的熱膨脹係數,通常為3ppm/K左右,保護層107為包括填料顆粒的有機/無機複合材料層可以使保護層的熱膨脹係數降低,使封裝結構中的有機層和無機層的性質差異減小。
在一個優選實施例中,當(T<Tg)時,保護層107的熱膨脹係數的範圍為3~10ppm/K;在一個優選實施例中,保護層107的熱膨脹係數為5ppm/K;在一個優選實施例中;保護層107的熱膨脹係數為7ppm/K;在一個優選實施例中,保護層107的熱膨脹係數為10ppm/K。
在接下來的塑封工藝中,施加有保護層107的晶粒113會在塑封過程的加熱和冷卻過程中相應的膨脹和收縮,當保護層107的熱膨脹係數在3~10ppm/K的範圍時,保護層107和晶粒113之間的膨脹收縮程度 保持相對一致,保護層107和晶粒113的連接介面不易產生介面應力,不易破壞保護層107和晶粒113之間的結合,使封裝後的晶片結構更加穩定。
封裝完成的晶片在使用過程中,常常需要經歷冷熱迴圈,保護層107的熱膨脹係數範圍為3~10ppm/K和晶粒113具有相同或者相近的熱膨脹係數,在冷熱迴圈過程中,保護層107和晶粒113保持相對一致的膨脹和收縮程度,免於在保護層107和晶粒113之間的介面累積介面疲勞,使封裝後的晶片具有耐久性,延長晶片使用壽命。
另一方面,保護層的熱膨脹係數過小,需使保護層107的複合材料中填充過多的填料顆粒,在進一步減小熱膨脹係數的同時也會增大材料的楊氏模數,使保護層材料的柔韌性減少,剛度過強,保護層107的緩衝作用欠佳。將保護層的熱膨脹係數限定為5-10ppm/k為最優。
當包括採用雷射圖形化的方式形成保護層開口109步驟時,優選地,保護層107中的填料顆粒,例如無機氧化物顆粒,例如SiO2顆粒的直徑為小於3μm,優選地保護層107中的填料顆粒,例如無機氧化物顆粒,例如SiO2顆粒的直徑為1~2μm之間。
控制填料顆粒的直徑尺寸為小於3μm,有利於雷射圖案化制程中在保護層107上形成具有較平滑側壁的保護層開口109,從而在導電材料填充工藝中可以使材料填充充分,避免具有大尺寸凹凸的保護層開口側壁109c在有凸起遮擋的側壁後側導電材料無法填充,影響導電填充通孔124的導電性能。
同時,1~2μm的填充尺寸會使雷射圖案化的過程中,將小粒徑的填料暴露出來,使保護層開口側壁109c具有一定粗糙度,此具有一 定粗糙度的側壁會和導電材料的接觸面更大,接觸更加緊密,形成導電性能好的導電填充通孔124。
以上所述填料的直徑尺寸為顆粒直徑的平均值。
可選地,保護層107的抗拉強度的數值範圍為20~50MPa;在一個優選實施例中,保護層107的抗拉強度為37MPa。
可選地,在晶片活性面1001上施加保護層107流程後,對晶片背面1002進行研磨減薄晶片100至所需厚度。
現代電子設備小型輕量化,晶片具有薄型化趨勢,在此步驟中,晶片100有時會需要被減薄到很薄的厚度,然而,薄型晶片100的加工和轉移難度大,研磨減薄過程工藝難度大,往往很難將晶片100減薄到理想厚度。當晶片100表面具有保護層107時,具有材料特性的保護層107會對晶片100起到支撐作用,降低晶片100的加工,轉移和減薄難度。
步驟S103:將施加有保護層107的晶片100切割形成具有保護層107的晶粒113。
如圖6a所示,將施加過保護層107的晶片100沿著切割道進行切割,得到多個形成有保護層的晶粒113,晶粒113具有晶粒活性面1131和晶粒背面1132。
如圖6b所示,將形成有晶片導電層130,施加過保護層107形成有保護層開口109的晶片100沿著切割道進行切割,得到多個晶粒113,晶粒113具有晶粒活性面1131和晶粒背面1132。
其中,圖6b中晶粒示意圖A為晶片導電跡線106將晶粒活性面1131上的多個電連接點103彼此互連並引出。
圖6b中晶粒示意圖B為晶片導電跡線106將晶粒活性面1131上的電連接點103單獨引出。
如圖6c所示,將形成有晶片導電層130和施加過保護層107的晶片100沿著切割道進行切割,得到多個晶粒113,晶粒113具有晶粒活性面1131和晶粒背面1132。
其中,圖6c中晶粒示意圖A為晶片導電跡線106將晶粒活性面1131上的多個電連接點103彼此互連並引出。
圖6c中晶粒示意圖B為晶片導電跡線106將晶粒活性面1131上的電連接點103單獨引出。
圖6c中晶粒示意圖C為晶片導電凸柱111直接形成在晶片活性面1001上的電連接點103處,將電連接點103引出。
可選地,在切割晶片100分離出晶粒113步驟之前,還包括對施加有保護層107的晶片100的具有保護層107的一面進行等離子表面處理,增大表面粗糙度,以使後續工藝中晶粒113在載板117上的黏合性增大,不易產生晶粒113在塑封壓力下的晶粒移動。
由於保護層的材料特性,使得在晶片100的切割工序中,分離出的晶粒113沒有毛刺(burrs)和碎屑(chippings)。
可以理解的是,在工藝允許的情況下,根據具體的實際情況可選擇的將晶片100切割成待封裝晶粒113後,在每個晶粒113的晶粒活性面1131上形成晶片導電層130和/或保護層107。晶片導電層130是指在將晶片100切割成的晶粒113裝貼到載板117之前,所形成的導電層。
步驟S104:提供金屬結構。
根據圖7所示的實施例,金屬結構為金屬框架200,該金屬框架200由金屬單元陣列構成。金屬框架200可以使用業界中現有的引線框架,也可是根據實際需求,藉由對一片或/一塊金屬蝕刻或者機械衝壓形成。被刻圖的金屬可以是單金屬,例如銅,也可以是合金。可以在金屬的表面部分或全部塗覆第二金屬,例如鎳和/或金,使金屬片免於受到環境的侵蝕,例如是氧化。在一些實施例中,金屬的厚度不小於晶粒113的厚度。而在另一些實施例中,金屬的厚度最初可以小於晶粒113的厚度,但是在對晶粒113進行研磨以減小封裝晶片厚度之後,金屬和晶粒113兩者的厚度將基本相同。被刻圖的金屬可以為矩形,還可以是正方形或其他形狀,如圖7中所示該金屬被刻圖為包括相同的4個金屬單元,每個金屬單元的外輪廓為矩形,此處也是示例性的,金屬單元的數量不限於4個,可以根據實際需要設置,金屬單元的形狀還可以為矩形或其他形狀,金屬單元中空白區域表示金屬完全被蝕刻掉,保留的金屬部分包括金屬特徵,不同的金屬特徵可帶來不同的性能提高。
引線框架將被嵌入下面描述的塑封層123之中;因此也稱為嵌入式引線框架(embedded lead frame)。可替代地,金屬框架200還可包括塑封互連基板(molded interconnect substrate)或具有與上述引線框架相同或相似功能的其他導電基板。
在圖7中金屬特徵包括至少一個連接墊201,這些連接墊201排列在金屬框架200的輪廓邊緣內側,根據實際需要也可排列在其他位置,連接墊201藉由未被蝕刻掉的金屬的連桿203連接。連接墊201相當於被封裝晶粒的引腳,根據本公開,晶粒113在被封裝完成之後,連接墊201 是處於暴露狀態,被封裝的晶粒113藉由這些連接墊201焊接到電路板上,實現與其他電路元件的連接。在對金屬進行刻圖時保留連桿203,以確保在刻圖形成的連接墊201以及其他一些特徵與金屬框架200的外輪廓線相連,這樣在轉移金屬框架200的時候可以保證刻圖在其上的特徵不會掉落。可選地,可以先將金屬片貼裝到臨時支撐物上進行刻圖,刻圖完成之後借助支撐物來轉移金屬框架的位置,該種方式不需要刻圖連接線/連桿。
如圖7所示金屬框架200中每個金屬單元都包括一空位202,該空位202在圖中顯示為空白區域,該空白區域是藉由將部分金屬完全蝕刻形成的,其面積大於晶粒113的表面積,以方便在後面的步驟中將晶粒113和金屬框架200黏貼到載板117時不接觸到晶粒113。根據圖中的示例,每個金屬單元包括一個空位202,在另外的示例中,一個金屬單元也可以包括兩個或以上空位202,每個空位202容納一個或更多個晶粒113。相鄰的金屬框架200有共同的外輪廓邊,如圖7所示,左上角的金屬框架200,與其右側及下側的金屬框架200各有一條共同的外輪廓邊,從而使得所有的金屬框架200相連成為一體。
如圖7所示的本公開的金屬框架200僅是示例性的,一整塊金屬的面積可以與載板117的表面積相同,形狀也與載板117的形狀相同,優選為矩形或者長方形,但也可以根據實際需要設計為其他形狀。但是,在實驗過程中發現,當載板117的面積比較大的時候,如果使用與載板117同樣大的金屬刻蝕金屬框架200,由於金屬比較薄,當其面積較大時,在轉移過程中會容易造成變形,不易操作。因此,優選地,可以使用 面積總和與載板117表面積相同的兩塊或多塊金屬,在每塊金屬上蝕刻一個或多個金屬框架200,在製作過程中,將蝕刻後的每塊金屬依次設置到載板117上,拼在一起與載板117的表面積相同。
步驟S105:將具有保護層107的晶粒113和金屬結構設置到載板117上。
圖8a至圖9示出了步驟S105中將金屬框架200設置到載板117上的優選實施方式。
由於金屬框架200所使用的金屬材料比較薄,特別是當面積比較大時,取放的時候容易表面彎曲變形,因此為了更加方便的將金屬框架200在保持平面的狀態下準確黏貼到載板117,可以採用以下方式: 如圖8a與圖8b所示,提供一個臨時支撐板300,在其表面形成一黏接層301,將被刻圖的金屬框架200藉由黏貼的方式貼裝到臨時支撐板300上,可選地,也可以不使用臨時支撐板300,而是將厚的黏接層301直接用作臨時支撐板300來運送刻圖的金屬框架200。優選地,臨時支撐板300和黏接層301和載板117的形狀大小一致。此外,金屬框架200的連接墊201與黏接層301接觸和遠離的兩個相對表面分別定義為連接墊背面2012和連接墊正面2011。
優選地,如圖8a所示,在將金屬框架200黏貼到臨時支撐板300上後,切割連桿203,將金屬框架200分開。可選地,切割每一個連接各個金屬單元的連桿203,由此,黏貼到臨時支撐板300上的各個金屬單元都彼此分離開來;也可以為切割特定區域的連桿203,將整個臨時支撐板300上的金屬框架200分離為兩部分、四部分、六部分、或者任意其它 數量的部分。優選地,切割線SL沿著連桿203的中線。此方法的優點為:在封裝過程中,常常需要經歷加熱和冷卻步驟,將一整個金屬框架200分離成面積較小的單位,或者直接分離成彼此分開的金屬單元,這樣在封裝的加熱冷卻步驟中,面積較小的金屬框架200或者金屬單元彼此獨立的膨脹和收縮,由於面積較小,每一個單位或者單元的膨脹和收縮的程度均較小,使封裝過程更易控制和操作。
優選地,如圖8b所示,在將金屬框架200黏貼到臨時支撐板300上後,將連桿203從金屬框架200中分離去除,從而使金屬框架200中的金屬單元分離,圖8b中體現為連接墊201成互相獨立的部分。由於金屬框架上的各特徵(features)可以相互獨立,使得可以在切割之前進行板級測試,可大幅減小測試成本和時間。
如圖9所示,提供一個載板117,載板117具有載板正面1171和載板背面1172。載板117的形狀為:圓形、三邊形,四邊形或其它任何形狀,載板117的大小可以是小尺寸的晶圓襯底,也可以是各種尺寸特別是大尺寸的矩形載板,載板117的材質可以是金屬、非金屬、塑膠、樹脂、玻璃、不銹鋼等。優選地,載板117為不銹鋼材質的四邊形大尺寸面板。
載板117具有載板正面1171和載板背面1172,載板正面1171為一個平面。
利用黏接層121將晶粒113黏合並固定在載板117上。
黏接層121可藉由層壓、印刷、噴塗、塗敷等方式形成在載板正面1171上。為了便於在之後的流程中將載板117和背部塑封完成的晶粒113分離,黏接層121優選地採用易分離的材料,例如採用熱分離材料 作為黏接層121。
將臨時支撐板300貼裝有金屬框架200的一面朝向載板正面1171,臨時支撐板300的表面積與載板117的表面積相同,形狀也相同,將二者對齊並接觸,可將金屬框架200貼裝到黏接層121,隨後將臨時支撐板300剝離,並去除金屬框架200上的黏接層301,即完成了金屬框架200的貼裝。
在該步驟中,優選地,藉由在載板117和金屬框架200上預先形成的對準標記(該標記在圖中未示出),將金屬框架200對準到載板117上,藉由黏接層301將金屬框架200黏貼到載板117上。
另外,也可以藉由臨時支撐板300上的黏接層301將金屬箔或者金屬片貼裝到臨時支撐板300,然後將金屬箔或者金屬片蝕刻為希望的圖案,形成被刻圖的金屬框架200,再將金屬框架200轉移到載板117上。
將金屬框架200朝向載板117的一面定義為金屬框架正面,朝離載板117的一面定義為金屬框架背面。金屬結構正面和金屬結構背面、金屬單元正面和金屬單元背面、金屬特徵正面和金屬特徵背面也依此定義。
圖10示出了步驟S105中將晶粒113設置到載板117上的實施方式。
由於在載板正面1171上的黏接層121上已經黏貼了金屬框架200,在圖10中體現為連接墊201,所以繼續黏貼晶粒113的時候,要保證晶粒113不接觸到金屬框架200,本公開中是將晶粒113黏貼在金屬框架200的空位202中,可選地一個空位202對應一個晶粒113或一個空位202 對應多個晶粒113。優選地,在載板117上設置晶粒113排布的位置標記,標識可採用雷射、機械刻圖等方式在載板117上形成,同時晶粒113上也設置有對位元標識,以在黏貼時與載板117上的黏貼位置瞄準對位。圖10僅為示例圖,圖10中僅僅示出了黏貼在載板117的黏接層121上的晶粒113的形式為如圖6a所示出的具有保護層107和保護層開口109的晶粒113;黏貼在載板117的黏接層121上的晶粒還可以為圖6b中所示出的具有晶片導電層130和保護層107以及保護層開口109的晶粒形式,也可以為圖6c中所示出的具有晶片導電層130和保護層107的晶粒形式。同時,黏貼在黏接層121上的金屬框架200還可以為如圖8a所示出的僅僅切割但未去除連桿203的金屬框架200,也可以為具有完整的連桿203的金屬框架200。
如圖10所示,一個金屬單元對應一個晶粒113,載板117上的晶粒113的數量與載板117上的金屬單元數量相同,晶粒113的排列方式與金屬單元在載板117上的排列方式相對應。金屬單元的數量和排列方式並不限於如圖10所示的方式,而是可根據實際需要進行定制化設計。
此外,一個金屬單元可對應多個晶粒113,多個晶粒113放置在預先確定的空位202中,特別是多個晶粒為具有不同功能的多個晶粒,按照實際產品的需求排布在載板117上的金屬單元中,並進行封裝,在完成封裝後,再切割成多個封裝體;由此一個封裝體包括多個晶粒以形成多晶片組件(multi-chip module,MCM),而多個晶粒的位置可以根據實際產品的需要進行自由設置。
圖9至圖10中示出的安裝順序,首先將金屬框架200安裝到載板117上,然後再安裝晶粒113到載板117上,但是這裡僅是示例性的, 也可以為首先將晶粒113安裝到載板117上,然後再安裝金屬框架200到載板117上。
步驟S106:在載板117上形成塑封層123。
如圖11所示,塑封層123覆蓋在整個載板117上,用於包封住全部晶粒113和金屬框架200,在圖11中體現為連接墊201,以重新構造一平板結構,以便在將載板117剝離後,能夠繼續在重新構造的該平板結構上進行接下來的封裝步驟。
將塑封層123與載板正面1171或黏接層121接觸的一面定義為塑封層正面1231。將塑封層123背離載板正面1171或黏接層121的一面定義為塑封層背面1232。
優選地,塑封層正面1231和塑封層背面1232基本上呈平板狀,且與載板正面1171平行。
塑封層123可採用漿料印刷、注塑成型、熱壓成型、壓縮模塑、傳遞模塑、液體密封劑模塑、真空層壓、或其它合適的成型方式。塑封層123可採用有機複合材料、樹脂複合材料、高分子複合材料、聚合物複合材料,例如具有填充物的環氧樹脂、ABF(Ajinomoto buildup film)或具有合適填充物的其它聚合物。
在一實施例中,塑封層123採用有機/無機複合材料採用模壓成型的方式形成。
可選地,在形成塑封層123之前,可以執行一些前處理步驟,例如化學清洗、等離子清洗方式,將晶粒113和金屬框架200表面的雜質去除,以便塑封層123與晶粒113、金屬框架200以及載板117之間能夠連 接的更加密切,不會出現分層或開裂的現象。
優選地,塑封層123的熱膨脹係數為3~10ppm/K;在一個優選實施例中塑封層123的熱膨脹係數為5ppm/K;在另一個優選實施例中塑封層123的熱膨脹係數為7ppm/K;在再一個優選實施例中塑封層123的熱膨脹係數為10ppm/K。
優選地,塑封層123和保護層107具有相同或相近的熱膨脹係數。
將塑封層123的熱膨脹係數選定為3~10ppm/K且選定和保護層107具有相同或相近的熱膨脹係數,成型流程的加熱和冷卻過程中,保護層107、塑封層123之間的膨脹收縮程度保持一致,兩種材料不易產生介面應力,低的熱膨脹係數使塑封層123、保護層107和晶粒113的熱膨脹係數接近,使塑封層123,保護層107以及晶粒113的介面結合緊密,避免產生介面層分離。
封裝完成的晶片在使用過程中,常常需要經歷冷熱迴圈,由於保護層107,塑封層123以及晶粒113的熱膨脹係數相近,在冷熱迴圈過程中,保護層107和塑封層123以及晶粒113的介面疲勞小,保護層107,塑封層123以及晶粒113之間不易出現介面間隙,使晶片的使用壽命增長,晶片的可應用領域廣泛。
晶粒113和塑封層123熱膨脹係數的差異還會使塑封後的面板組件產生翹曲,由於翹曲現象的產生,使得後續的導電層形成工藝中,難以定位晶粒113在面板組件中的精確位置,對導電層形成工藝產生很大影響。
特別的,在大面板封裝工藝中,由於面板的尺寸較大,即便是輕微的面板翹曲,也會使面板遠離中心的外部四周圍部分的晶粒相對於模塑成型之前,產生較大尺寸的位置變化,所以,在大型面板封裝工藝中,解決翹曲問題成為整個工藝的關鍵之一,翹曲問題甚至限制了面板尺寸的放大化發展,成為大尺寸面板封裝中的技術壁壘。
將保護層107和塑封層123的熱膨脹係數限定在3~10ppm/K的範圍內,且優選塑封層123和保護層107具有相同或相近的熱膨脹係數,可以有效避免面板組件翹曲的產生,實現採用大型面板的封裝工藝。
同時,在塑封過程中,由於塑封壓力會對晶粒113背部產生方向朝向載板117的壓力,此壓力易於將晶粒113壓入黏接層121,從而使晶粒113在形成塑封層123過程中陷入黏接層121中,在塑封層123形成後,晶粒113和塑封層正面1231不處於同一平面,晶粒113的表面為突出在塑封層正面1231之外,形成一個臺階狀的結構,在後續面板級導電層形成過程中,面板級導電層也相應的會出現臺階狀結構,使得封裝結構不穩定。
當晶粒活性面1131有具有材料特性的保護層107時,可以在塑封壓力下起到緩衝作用,避免晶粒113陷入黏接層121中,從而避免塑封層正面1231臺階狀結構的產生。
為了暴露金屬框架200,還需要將塑封層123打薄,可以藉由對塑封層正面1231進行機械研磨或拋光來減薄,塑封層123的厚度減薄至金屬框架200的背面,從而暴露金屬框架200的表面的特徵。如圖12所示,當金屬框架200的厚度比晶粒113厚時,塑封層還可以被繼續打薄至 晶粒113的背面,則金屬框架200(在圖中表示為連接墊201的連接墊背面2012)和晶粒113的背面都被暴露。再例如,如果晶粒113比金屬框架200還厚,則減薄模塑層123直至連接墊背面2012從模塑層123中暴露出來。在此過程中,晶粒113進一步減薄至和連接墊201的厚度相同;因此具有更短的導電路徑和更小的電阻,適用於功率模組。
步驟S107:在晶粒背面1132和第二介電層170上形成第二導電結構140。
第二導電結構140可由面板級的圖案化導電層的方法而形成。
例如,第二導電結構140可藉由光刻工藝而形成。請參照圖13,形成乾膜(dry film)160以覆蓋晶粒背面1132、塑封層背面1232和連接墊背面2012。乾膜160是可用作電鍍模具的感光膜。乾膜160可以藉由滾壓工藝而黏附,其中加熱輥施加受控壓力以在加熱乾膜160的同時將乾膜160壓制到晶粒背面1132、塑封層背面1232和連接墊背面2012之上。可替代地,乾膜160可藉由真空工藝而黏附,當抽吸乾膜160附近的空氣以形成真空時,彈性裝置將乾膜160壓到晶粒背面1132、塑封層背面1232和連接墊背面2012之上。
請參照圖14,對乾膜160進行光刻工藝以形成圖案化乾膜162。在光刻中,掩模(未示出)位於乾膜160上方以覆蓋乾膜160的選定部分,而乾膜160的未選定部分藉由掩模暴露於光源,以形成圖案化乾膜162的多個乾膜開口163。因此,晶粒背面1132(全部或部分)和連接墊背面2012至少一部分的藉由圖案化乾膜162的乾膜開口163而暴露。
請參照圖15,第二面板級導電跡線(panel level trace)142是藉由在圖案化乾膜162的乾膜開口163中填充銅、金、銀、錫、鋁等材料或其組合材料,也可以為其它合適的導電材料藉由利用PVD、CVD、濺鍍、電解電鍍、無電極電鍍工藝,或者其它合適的金屬沉積工藝形成。
請參照圖16,形成另一乾膜164以覆蓋圖案化乾膜162和第二面板級導電跡線142。與乾膜160類似,乾膜164為光敏膜,其可藉由如上所述的滾壓工藝或真空工藝而形成。
請參照圖17,乾膜164也可經過光刻工藝以形成圖案化乾膜166。圖案化乾膜166具有多個乾膜開口167,第二面板級導電跡線142的至少一部分從所述乾膜開口167中暴露。圖案化乾膜162可以完全或部分被圖案化乾膜166所覆蓋。
請參照圖18,第二面板級導電柱144藉由將導電材料例如銅、金、銀、錫和鋁或其組合填充至乾膜開口167而形成,或者藉由PVD、CVD、濺鍍、電解電鍍、無電極電鍍或其他合適的金屬沉積工藝由其他合適的導電材料製成。這樣,第二面板級導電柱144電連接至第二面板級導電跡線142,並進一步電連接至金屬框架200的連接墊201。
如圖19所示,將圖案化乾膜162和圖案化乾膜166移除;同時第二面板級導電跡線142和第二面板級導電柱144保留在晶粒背面1132和連接墊背面2012上。第二面板級導電跡線142和第二面板級導電柱144共同定義為第二導電結構140。特別地,第二導電結構140是在面板級進行製造,從而增加輸送量並降低製造成本。
圖19中的第二導電結構140的圖案僅是示例性的,其可以根 據具體的電路設計具有各種圖案。
請參照圖20,形成第二介電層170以完全包封第二導電結構140(包括第二面板級導電跡線142和第二面板級導電柱144)。此外,第二介電層170也可以覆蓋塑封層背面1232和連接墊背面2012的未被第二面板級導電跡線142所覆蓋的部分。第二介電層170可以包括薄膜、顆粒或液體形式的環氧樹脂模塑膠。如上所述,第二介電層170可以具有與塑封層123類似的組分和特性。例如,第二介電層170具有與塑封層123相同或相似的熱膨脹係數(CTE),使得第二介電層170與塑封層123之間不易產生介面應力。
為了使第二面板級導電柱144露出,還需要將第二介電層170減薄。請參照圖21,藉由對第二介電層背面1702進行機械研磨或拋光,使第二介電層170減薄,從而將第二面板級導電柱144從第二介電層170中暴露。
步驟S108:剝離載板(或稱為第一載板)117形成具有第二導電結構140的面板組件150。
請參照圖22,在剝離載板117後,晶粒活性面1131上的保護層107、金屬框架200的下表面(在圖中以連接墊201的連接墊正面2011為代表)以及塑封層正面1231被暴露。圖22中的箭頭示出了載板117與面板組件150的分離。
載板117分離後,將包覆有晶粒113和金屬框架200的塑封層123結構定義為面板組件150,其具有第二導電結構140。
圖13至圖22顯示第二面板級導電跡線142與第二面板級導 電柱144分別具有一層導電層。然而,可以理解的是,在將第一載板117與面板組件150分離之前,第二面板級導電跡線142和第二面板級導電柱144也可以藉由重複圖13至圖20而具有多個導電層。
步驟S109:如圖23a所示,將具有第二導電結構140的面板組件150倒置到另一個載板(也稱為第二載板)118之上。
在一些實施方式中,黏接層122可藉由層壓、印刷、噴塗、塗敷等方式形成在第二載板118和第二介電層背面1702之間。為了便於在之後的流程中將載板118和第二介電層背面1702相分離,黏接層122優選地採用易分離的材料,例如採用熱分離材料作為黏接層122。
步驟S110:藉由面板級工藝,在晶粒活性面1131上形成第一導電結構129。
請參照圖23b,填充保護層開口109以形成導電填充通孔124。在保護層107表面形成面板級導電層,面板級導電層藉由晶片導電層130和/或導電填充通孔124與晶粒活性面1131上的電連接點103連接,並與金屬框架200(在圖中表示為連接墊201)連接。面板級導電層可以為一層也可以為多層。
如圖23b所示,面板級導電層在圖中體現為面板級導電跡線125(或稱為第一面板級導電跡線)。可選地,導電填充通孔124和面板級導電跡線125在同一面板級導電層形成步驟中進行。和第二面板級導電跡線142類似,可利用圖案化導電層的形成方法形成導電填充通孔124和面板級導電跡線125,例如光刻工藝。導電填充通孔124和面板導電跡線125可以為銅、金、銀、錫、鋁等材料或其組合材料,也可以為其它合適 的導電材料藉由利用PVD、CVD、濺鍍、電解電鍍、無電極電鍍工藝,或者其它合適的金屬沉積工藝形成。
至少一部分面板級導電跡線125藉由導電填充通孔124和晶粒活性面1131上的電連接點103連接並和連接墊201連接,藉由面板級導電跡線125和導電填充通孔124將晶粒活性面上的電連接點103引到連接墊201。同時,面板級導電跡線125亦藉由連接墊201與第二導電結構140相電連接。因此,晶粒113可藉由導電填充通孔124、面板級導電跡線125和連接墊201到第二導電結構140,進行電背接地(即晶粒113的接地位於晶粒背面1132處)。由於第二導電結構140可以為電背接地的晶粒113提供大的接地接觸面積,因此晶粒113用於功率模組時具有優越的電性能。
圖23b中面板級導電跡線125的圖形軌跡僅僅是示例性的,根據具體的電路設計其可具有多種圖形軌跡。
可選地,導電填充通孔124和面板級導電跡線125也可以分步驟形成,先形成導電填充通孔124再行成面板級導電跡線125。
當在前的施加保護層步驟中已經形成了導電填充通孔124,可直接進行面板級導電層的形成步驟。
當在前的施加保護層步驟中還未形成保護層開口109,還需要包括一個形成保護層開口109的步驟。
在一些實施方式中,藉由面板級的形成圖案化導電層的方法,在第一面板級導電跡線125上形成第一面板級導電柱127。
例如,第一面板級導電柱127可以藉由光刻工藝形成,類似於第二面板級導電柱144。第一面板級導電柱127可以是銅、金、銀、錫、 鋁等材料或其組合材料,也可以為其它合適的導電材料藉由利用PVD、CVD、濺鍍、電解電鍍、無電極電鍍工藝,或者其它合適的金屬沉積工藝形成。面板級導電跡線125與第一面板級導電柱127共同定義為第一導電結構129。因此,晶粒113可藉由填充通孔124和第一導電結構129(包括面板級導電跡線125和第一面板級導電柱127)電連接至外部元件(例如印刷電路板(PCB))。
圖23b中的第一面板級導電柱127的圖案僅是示例性的,其可以根據具體電路設計具有各種圖案。
形成第一介電層146以封裝第一導電結構129,在研磨工藝(例如機械研磨或拋光)之後,第一面板級導電柱127從第一介電層146中暴露。第一介電層146可以包括薄膜、顆粒或液體形式的環氧模塑膠。此外,第一介電層146可具有與上述塑封層123相似的組分和特性。例如,第一介電層146具有與塑封層123相同或相似的熱膨脹係數(CTE),使得第一介電層146和塑封層123之間不易產生介面應力。
圖24顯示了第一面板級導電跡線125和第一面板級導電柱127分別具有一個導電層。然而,應當理解,在將第二載板118與面板組件150分離之前,藉由重複上述過程,第一面板級導電跡線125和第一面板級導電柱127可以具有多個導電層。
此外,第二載板118被剝離以形成面板組件150,該面板組件150具有封裝在第二介電層170中的第二導電結構140和封裝在第一介電層146中的第一導電結構129。
請參照圖24,剝離第二載板118後,第二介電層170和第二 導電結構140的第二面板級導電柱144暴露。圖24中的箭頭示出了第二載板118與面板組件150的分離。因此,晶粒113可以經由晶粒活性面1131的第一導電結構129和晶粒背面1132的第二導電結構140而電連接和熱連接到外部部件。
步驟S111:切割形成多個封裝晶片400。
請參照圖25,藉由切割面板組件150而分離封裝單體,形成多個封裝晶片400。切割可藉由例如機械或雷射來執行。圖25中的二點鏈線示出了沿其進行分離的切割線SL(也稱為鋸線)。
當被塑封的金屬框架200為如圖8a所示出的包含連桿203的金屬框架200時,切割分離時,需要在連桿203的週邊進行切割以去除連桿203,使封裝完成形成的封裝晶片500中不包括連桿,從而使金屬框架200的金屬單元中各個金屬特徵都是獨立的。
優選地,在切割分離步驟之前或者之後,在從封裝晶片400中暴露的第一導電結構129和/或第二導電結構140上形成一層表面處理層131。可選地,表面處理層131採用電鍍、無電極電鍍或其他合適的方法形成。例如,表面處理層131採用非電鍍鎳浸金(electroless nickel immersion gold,ENIG)、非電鍍鎳非電鍍鈀浸金(electroless nickel electroless palladium immersion gold,ENEPIG)、鍍錫(Tin)、鍍鎳金(NiAu plating)或它們的組合。
可選地,表面處理層131還可以設置為能夠實現封裝晶片400中晶粒113的電背接地(electrical back-grounding),即表面處理層131根據電路的具體設計將晶粒背面1132和特定連接背面接地的連接墊 201電連接在一起(特定連接背面接地的連接墊即為:連接墊藉由導電結構和晶粒活性面上背面接地的電連接點連接)。
圖26是從面板組件150分離並在使用中的封裝晶片400的示例性示意圖。在使用過程中藉由至少一個金屬特徵,圖中體現為連接墊201,將封裝晶片400連接到印刷電路板(PCB)或基板410上。另外,無源元件420也可以安裝在第二導電結構140上,並與封裝晶片400中的晶粒113相電連接。無源元件420可以是電阻器740、電容器742、電感器744或其組合。
除了藉由第一導電結構129將熱發散到印刷電路板(PCB)或基板410之外,也可將散熱器430安裝在第二導電結構140上,從而藉由導電填充通孔124、第一導電結構129、金屬框架200的連接墊201和第二導電結構140將晶粒113所產生的熱量散發出去。特別地,連接墊201從封裝晶片400的側表面暴露。因此,封裝晶片400具有三側散熱設計,從而具有高效冷卻功能,即第一側,從晶粒活性面1131經由第一導電結構129散熱;第二側,從晶粒背面1132的第二導電結構140散熱;以及第三側,從側面經由連接墊201散熱。
另外,接地標籤440顯示了封裝晶片400從晶粒背面1132藉由第二導電結構140的而實現電背接地。與傳統接地相比,藉由第二導電結構140的電背接地可以提供更大的接觸面積,使封裝晶片400更穩定、更安全地電接地,特別是用作大電通量(electric flux)的功率模組。
替代無源元件420和/或散熱器430,另一個封裝晶片400也可以安裝在該封裝晶片400的第二導電結構140之上,以形成堆疊封裝 (package-on-package,POP)的配置。
圖27示出了根據本公開示例性實施例的另一種晶片封裝方法20的流程圖。與晶片封裝方法10相比,晶片封裝方法20包括從S201到S211的所有步驟,以及在S206和S207之間的附加步驟AS,即在塑封層123中形成和填充多個空隙502。
圖28至圖30示出了利用晶片封裝方法20製作面板組件152的附加示意圖。晶片封裝方法20具有與晶片封裝方法10相同的步驟S201至S211和附加步驟AS。因此,第二晶片封裝方法20將不再重複相同的步驟S201至S211,在此亦使用圖2至圖25中相同的圖式標記來說明相同或相似的特徵。附加步驟AS描述如下。
如圖28所示,連接墊201的高度大於晶粒113的厚度;使得塑封層123變薄直到連接墊背面2012從塑封層123中暴露,而晶粒113仍然完全封裝在塑封層123內。然後藉由塑封層123形成多個空隙502直到晶粒113的晶粒背面1132。因此,晶粒背面1132的一部分藉由空隙502從塑封層123中暴露。可以藉由任何合適的工藝形成空隙502,例如雷射圖案化工藝、機械圖案化工藝、雷射鑽孔或其組合。
類似於導電填充通孔124,空隙502也填充有導電介質。導電介質可以是金、銀、銅、錫、鋁等材料或其組合材料,也可以為其它合適的導電材料藉由利用PVD、CVD、濺鍍、電解電鍍、無電極電鍍工藝,或者其它合適的金屬沉積工藝形成,將導電介質填充在空隙502中以形成導電填充空隙504。
請參照圖30,第二面板級導電跡線142形成在導電填充空隙 504上,並連接至導電填充空隙504。因此,晶粒113仍可藉由第二導電結構140的第二面板級導電跡線142和第二面板導電柱144,從晶粒背面1132進行電背接地。
類似地,形成第二介電層170而包封第二導電結構140,其中第二導電結構140在研磨工藝(例如機械研磨或拋光)之後從第二介電層170中暴露。此外,第二介電層170可以具有與如上所述的塑封層123相似的組分和特性。
請參照圖30,藉由切割面板組件152分離封裝單體,形成多個封裝晶片500。切割可採用例如機械或雷射來執行。圖30中的二點鏈線示出了沿其進行分離的切割線SL(也稱為鋸線)。
圖31是根據圖28至圖30製造的面板組件152進行切割後形成的封裝晶片500的示意圖。在此也使用圖26中相同的圖式標記來描述圖30中相同或相似的特徵。與封裝晶片400類似,連接墊201也暴露於封裝晶片500的側表面。因此,封裝晶片500也具有三邊散熱設計,有利於高效冷卻功能。
與封裝晶片400相比,當空隙502被塑封層123填充時,第二面板級導電跡線142具有更大的接觸面積,因此封裝晶片500的第二導電結構140從晶粒背面1132向晶粒113施加的應力較小。此外,更大的接觸面積也會更牢固地連接第二面板級導電跡線142和塑封層123,這允許第二面板級導電跡線有一個較薄的厚度;並且第二面板級導電跡線142相應地具有較小的重量,這進一步降低了從晶粒背面1132施加到晶粒113上的應力。
替代無源元件420和/或散熱器430,另一個封裝晶片500可以安裝在該封裝晶片500的第二導電結構140上以形成堆疊封裝(POP)配置。或者,一個封裝晶片400可安裝在該封裝晶片500的第二導電結構140上以形成堆疊封裝(package-on-package,POP)的配置。或者,該封裝晶片500可以安裝在一個封裝晶片400的第二導電結構140上,以形成堆疊封裝的配置。
圖32至圖34是圖28至圖30中的另一面板組件154的變型的流程示意圖。同理,晶片封裝方法10的步驟S101至S111不再贅述;因此,在此亦使用相同的標號來描述圖2至圖25以及圖28至圖30中相同或相似的特徵。此外,與圖28至圖30所示的面板組件152相比,改變晶片封裝方法20的附加步驟AS以製造面板組件154。因此,以下描述用於製造面板組件154的附加步驟AS的變化。
請參照圖32,面板組件154具有與圖28中的面板組件152類似的結構,除了在晶粒113的晶粒背面1132上形成塑封層610,其從塑封層123中暴露。塑封層610可以藉由漿料印刷、注塑成型、熱壓成型、壓縮成型、傳遞成型、液體密封劑成型、真空層壓、或其它合適的成型方式。例如,塑封層610由薄膜模製工藝(film molding)形成到晶粒背面1132之上。
塑封層610可由與塑封層123相同的材料製成,例如有機複合材料、樹脂複合材料、高分子複合材料、聚合物複合材料,例如具有填充物的環氧樹脂、ABF(Ajinomoto build-up film)或具有合適填充物的其它聚合物。或者,塑封層610可以由與塑封層123不同的材料製成。與 塑封層123相比,不同的材料可以具有與第二面板級導電跡線142更好的相容性,以更穩定固定第二導電結構140和塑封層123。
請參照圖33,藉由任何合適的工藝在塑封層610中形成空隙502,例如雷射圖案化工藝、機械圖案化工藝、雷射鑽孔工藝或其組合;因此,晶粒113的晶粒背面1132的一部分藉由空隙502從塑封層610中暴露。然後在空隙502中填充導電介質,導電介質可以是金、銀、銅、錫、鋁等材料或其組合材料,也可以為其它合適的導電材料藉由利用PVD、CVD、濺鍍、電解電鍍、無電極電鍍工藝,或者其它合適的金屬沉積工藝,而將導電介質填充在空隙502中以形成導電填充空隙504。
請參照圖34,藉由切割面板組件154分離封裝單體,以形成多個封裝晶片550。切割可藉由例如機械或雷射來進行。圖34中的二點鏈線顯示了沿其進行分離的切割線SL(也稱為鋸線)。
圖35是根據圖32至圖34製造的面板組件154的變型進行切割後形成的封裝晶片的示意圖。封裝晶片550具有與封裝晶片500相同的結構,除了如上所述的在晶粒背面1132上的塑封層610中形成空隙502之外。此外,無源元件420和/或散熱器430可以安裝在封裝晶片550的第二導電結構140上。
或者,另一封裝晶片550可安裝在該封裝晶片550的第二導電結構140上以形成堆疊封裝(package-on-package,POP)的配置。或者,一個封裝晶片400、500可以安裝在該封裝晶片550的第二導電結構140上以形成堆疊封裝的配置。或者,該封裝晶片550可以安裝在一個封裝晶片400、500的第二導電結構140上以形成層堆封裝的配置。
在第一導電結構129和第二導電結構140由金屬或金屬材料(例如銅)製成的情況下,其與管芯113、金屬框架200(在此表示為連接墊201)、塑封層123和上述其他元件(例如保護層107)相比,具有相對較重的重量。優選地,第一導電結構129和第二導電結構140具有基本相同的重量以整體上平衡封裝晶片400、500、550。換言之,如果第一導電結構129和第二導電結構140均由相同的金屬或金屬材料(例如銅)製成,則其具有基本相等的品質。
圖36a圖示了具有第一晶粒602和第二晶粒604的封裝晶片600的示意圖,其採用圖1中的晶片封裝方法10。晶粒602、604可以是傳統的矽芯、碳化矽(SiC)芯、氮化鎵(GaN)芯或其組合。晶粒602、604可根據需要的應用而選擇任何合適的設計。例如,晶粒602、604可以是並排放置的第一場效應電晶體(FET)和第二場效應電晶體(FET)。
封裝晶片600具有與封裝晶片400類似的封裝結構;因此,在此使用相同的圖式標記來描述圖26中相同或相似的特徵。第一導電結構129和第二導電結構140形成在第一和第二晶粒602、604的兩側。因此,第一導電結構129連接至第一晶粒602的第一晶粒活性面6021以及第二晶粒604的第二晶粒活性面6041;而第二導電結構140連接至第一晶粒602的第一晶粒背面6022和第二晶粒604的第二晶粒背面6042。
同樣地,第一導電結構129和第二導電結構140也藉由封裝晶片600中的連接墊201連接。因此,第一和第二晶粒活性面6021、6041電連接到第二導電結構140用於實現封裝晶片600中的第一和第二晶粒602、604的電背接地。
類似地,封裝晶片600也具有三側散熱設計,有利於高效的冷卻功能,即第一側,從晶粒活性面6021、6041經由第一導電結構129;第二側,從晶粒背面6022、6042的背面經由第二導電結構140;以及第三側,經由連接墊201從側面進行散熱。此外,散熱器430可安裝在第二導電結構140上,以加速將來自封裝晶片600的熱量加速耗散。
可選地,封裝晶片600可以包括大尺寸的散熱器430(稱為大散熱器),可進一步增強第一和第二晶粒602、604的散熱。例如,如果第一晶粒602比第二晶粒604佔據更多空間,則大散熱器430可以安裝在第一晶粒602之上。在這種情況下,由第二晶粒604產生的熱量仍然可以藉由第一導電結構129、連接墊201和第二導電結構140而耗散到大散熱器430。
或者,大尺寸的無源元件420可以安裝在第二導電結構140和第一晶粒602之上;而小尺寸的散熱器430(稱為小散熱器)可以安裝在第二導電結構140上和第二晶粒604之上。在這種情況下,第一晶粒602產生的熱量仍然可以藉由第一導電結構129、連接墊201和第二導電結構140而耗散到小散熱器430。
特別地,第一晶粒602和第二晶粒604都具有面朝下的配置(face-down configuration),其藉由直接覆晶工藝(direct flip-chip process)而連接到外部元件(例如印刷電路板(PCB)或基板(substrate)),並沒有使用焊料凸塊(solder bumps)或焊球(solder balls)。例如,第一晶粒活性面6021和第二晶粒活性面6041均藉由導電填充通孔124和第一導電結構129直接連接到外部元件(例如印刷電路板 或基板)之上。換言之,使用上述直接倒裝晶片工藝的封裝晶片600不再需要傳統倒裝晶片工藝中使用焊料凸塊或焊球的凸塊和回焊工藝(bumping and reflowing process)。考慮到焊料凸塊或焊球的導電性和導熱性較低,本申請的直接倒裝晶片工藝使封裝晶片600具有更好的電性能和熱性能,這對於在運行過程中具有較大電通量和伴隨熱量的功率模組非常重要。圖36a示出了封裝晶片600可以藉由第一導電結構129的第一面板級導電柱127直接連接到印刷電路板(PCB)或基板(substrate)410。
或者,如果需要,也可以將傳統的倒裝晶片工藝應用於封裝晶片600。圖36b顯示焊料凸塊或焊球412形成在第一導電結構129的第一面板級導電柱127下方,用於將封裝晶片600連接到印刷電路板(PCB)或基板(substrate)410。
第一和第二晶粒602、604的面朝下配置(face-down configuration)將使面板級封裝方法更容易且更有效。例如,在步驟S105(稱為面板級封裝的晶粒轉移過程(reconstruction process))中,可將第一和第二晶粒602、604(在圖10中為晶粒113)準確地佈置並黏附到載板117上,因為在將第一和第二晶粒602、604接合到載板117之前,可以藉由保護層107容易地觀察到晶粒活性面6021、6041上的特徵(例如對準標記(alignment marks)(未示出))。
替代無源元件420和/或散熱器430,另一封裝晶片600可以安裝在該封裝晶片600的第二導電結構140上以形成層堆封裝(package-on-package,POP)的配置。或者,一個或多個封裝晶片400、500、550可以安裝在該封裝晶片600的第二導電結構140上以形成層堆封 裝的配置。
類似地,在第一導電結構129和第二導電結構140由金屬或金屬材料(例如銅)製成的情況下,與晶粒113、連接墊201、塑封層123和上述其他元件(例如保護層107)相比,其具有相對較重的重量。優選地,第一導電結構129與第二導電結構140具有基本相同的重量以整體上平衡封裝晶片600。換言之,如果第一導電結構129和第二導電結構140由相同的金屬或金屬材料(例如銅)製成時,則其具有基本相等的品質。
圖37a、圖37b、圖37c是根據本公開的示例性實施例提出的一種用於功率模組的晶片封裝700的示意圖。晶片封裝700由圖1中的晶片封裝方法10而製造。因此,與圖2至圖25中相同或相似的特徵以相同的圖式標記標注。
圖37a示出了在分割之前包括多個晶片封裝700(例如圖37a中所示的四個晶片封裝700)的面板組件710的俯視圖。多個晶片封裝700以矩陣配置排列。晶片封裝700包括金屬氧化物半導體場效應電晶體(MOSFET)的第一晶粒602和第二晶粒604,以及用於控制第一晶粒602和第二晶粒604的驅動電路(也稱為驅動元件)720。因此,晶片封裝700可以用作DrMOS的功率模組。例如,第一晶粒602是針對超快速開關優化的低側(low side)MOSFET,而第二晶粒604是針對最小傳導損耗優化的高側(high side)MOSFET。
因此,金屬框架200包括多個金屬單元(例如圖37a所示的四個金屬單元)。每個金屬單元包圍著第一晶粒602、第二晶粒604和驅動電路720,構成晶片封裝700。此外,晶片封裝700是藉由對面板組件710 進行切割而製成的。圖37a示出了沿其進行分離的切割線SL(也稱為鋸線)。
圖37b示出了沿圖37a中的虛線L1的晶片封裝700的截面圖。晶片封裝700具有與封裝晶片600相似的結構,除了第二晶粒604被驅動電路720替代之外。驅動電路720具有比第一晶粒602更薄的厚度,驅動電路720的驅動背面7202不直接接觸第二導電結構140。因此,驅動背面7202與第二導電結構140之間形成了空間730。採用晶片封裝方法10,空間730填充有如圖11中的塑封層123。這樣,熱量仍可藉由塑封層123從驅動背面7202散發至第二導電結構140。
無源元件420可以安裝在第二導電結構140之上,例如分別安裝在第一晶粒602和驅動電路720上方的電阻器740和電容器742,以及安裝在電阻器740和驅動電路720之間的電感器744。因此,第一晶粒602與驅動電路720藉由填充導電過孔124、第一導電結構129、連接墊201及第二導電結構140而電連接至無源元件420,用於傳輸電信號。
同樣地,晶片封裝700也可以藉由第二導電結構140來實現電背接地。
特別地,晶片封裝700沿圖37a中的虛線L1保留了三邊散熱設計,從而具有高效的冷卻功能,即第一側,從第一晶粒活性面6021的和驅動電路720的驅動活性表面7201經由第一導電結構129;第二側,從第二晶粒活性面6041的以及驅動電路720的驅動背面7202經由第二導電結構140;以及第三側,由連接墊201從側面散熱。
圖37c示出了沿圖37a中的虛線L2的晶片封裝700的截面 圖。晶片封裝700具有與封裝晶片600相似的結構,使得第一晶粒602和第二晶粒604分別在第一晶粒背面6022和第二晶粒背面6042處與第二導電結構140直接接觸。電感器744也安裝在從塑封層123暴露的第二導電結構140之上。
類似地,晶片封裝700仍保留沿圖37a中虛線L2的三邊散熱設計,從而具有高效的冷卻功能,即第一側,從第一和第二晶粒活性面6021、6041的經由第一導電結構129;第二側,從第一和第二晶粒背面6022、6042經由第二導電結構140;以及第三側,從側面經由塑封層123暴露的連接墊201散熱。
替代無源元件420(例如電阻器740、電容器742和電感器744)和/或散熱器430,另一個晶片封裝700可以安裝在該晶片封裝700的第二導電結構140上形成電源模組的堆疊封裝(package-on-package,POP)的配置。
圖38a、圖38b是根據本公開的示例性實施例提出的另一種用於功率模組的晶片封裝800的示意圖。晶片封裝800以圖2中的晶片封裝方法20而製造。因此,與圖2至25以及圖28至圖30中相同或相似的特徵用相同的圖式標記表示。
與晶片封裝700類似,如圖37a的俯視圖所示,顯示晶片封裝800也藉由將面板組件710分離來製造。
圖38a示出了沿圖37a中的虛線L1的晶片封裝800的截面圖。晶片封裝800具有與圖37b所示的晶片封裝700類似的結構,即驅動背面7202與第二導電結構140之間形成的空間730填充有塑封層123,使熱量 仍可藉由塑封層123從驅動背面7202散發至第二導電結構140。
然而,如圖28中描述的多個空隙502可藉由任何合適的工藝,例如雷射圖案化工藝、機械圖案化工藝、鑽孔工藝或其組合,形成於塑封層123並延伸至第一晶粒背面6022。然後如圖29所示,用諸如金、銀、銅、錫、鋁等或其組合的導電介質或其他合適的導電材料填充空隙502。
圖38b示出了沿圖37a中的虛線L2的晶片封裝800的截面圖。與圖37c中所示晶片封裝700相比較,可藉由任何合適的工藝,例如雷射圖案化工藝、機械圖案化工藝、鑽孔工藝或其組合,形成穿透塑封層123直到第二晶粒背面6042的如圖28中所述的多個空隙502。然後,如圖29所示用諸如金、銀、銅、錫、鋁等或其組合的導電介質或其他合適的導電材料填充空隙502,從而形成導電填充空隙504。
替代無源元件420(例如電阻器740、電容器742和電感器744)和/或散熱器430,另一晶片封裝800可以安裝在該晶片封裝800的第二導電結構140上形成堆疊封裝(package-on-package,POP)的配置。或者,一個晶片封裝700可以安裝在該晶片封裝800的第二導電結構140上以形成堆疊封裝(POP)的配置。或者,該晶片封裝800可以安裝在一個晶片封裝700的第二導電結構140上以形成堆疊封裝(POP)的配置。
圖39a、圖39b是根據本公開的示例性實施例提出的另一種用於功率模組的晶片封裝850的示意圖。晶片封裝850採用圖27中的晶片封裝方法20製造。因此,相同或相似的特徵用圖2至圖25和圖32至圖34中相同的圖式標記來表示。
與晶片封裝700類似,如圖37a的俯視圖所示,顯示晶片封 裝850也藉由將面板組件710分離來製造。
圖39a示出了沿圖37a中的虛線L1的晶片封裝850的截面圖。晶片封裝850具有與圖38a所示的晶片封裝800類似的結構。然而,晶片封裝800中的空間730填充了塑封層123;而空隙502形成於塑封層123之中,然後填充導電介質以形成導電填充空隙504。
相較之,如圖32所示,晶片封裝850中的空隙502形成在塑封層610之中。塑封層610可以藉由漿料印刷、注塑成型、熱壓成型、壓縮模塑、傳遞模塑、液體密封劑模塑、真空層壓、或其它合適的成型方式。例如,塑封層610由薄膜模製工藝(film molding)形成到第一和第二晶粒602、604的晶粒背面6022、6042之上。
替代無源元件420(例如電阻器740、電容器742和電感器744)和/或散熱器430,另一晶片封裝850可安裝在該晶片封裝850的第二導電結構140上形成堆疊封裝(package-on-package,POP)的配置。或者,一個晶片封裝700、800可以安裝在該晶片封裝850的第二導電結構140上以形成堆疊封裝的配置。或者,該晶片封裝850可以安裝在晶片封裝700、800的第二導電結構140上以形成堆疊封裝的配置。
在第一導電結構129和第二導電結構140由金屬或金屬材料(例如銅)製成的情況下,相比晶粒113、連接墊201、塑封層123和上述其他元件(例如保護層107),其具有相對較重的重量。優選地,第一導電結構129與第二導電結構140基本具有相同的重量,以整體上平衡晶片封裝700、800、850。換言之,如果第一導電結構129和第二導電結構140由相同的金屬或金屬材料(例如銅)製成,則其具有基本相等的品質。
圖40是一種用於功率模組的傳統晶片封裝900的示意圖。傳統晶片封裝900具有面朝下配置(face-down configuration)的第一半導體晶粒902,即第一半導體晶粒902的第一晶粒活性面9021面向引線框架(lead frame)912,並採用焊料凸塊(solder bumps)或焊球(solder balls)藉由傳統倒裝晶片工藝(flip-chip process)使其與引線框架912相連接;以及面朝上(face-up configuration)配置的第二半導體晶粒904,即第二半導體晶粒904的第二晶粒活性面9041背對引線框架912,並藉由引線鍵合910連接至引線框架912。這兩種不同的配置(即第一半導體晶粒902的面朝下配置和第二半導體晶粒904的面朝上配置)將使傳統晶片封裝900的製造工藝複雜且成本高,並且在將半導體晶粒902、904轉移到載板117的晶粒轉移過程(reconstruction process)中,第一和第二半導體晶粒黏貼(bonding)的精度較低。
相比之下,在晶片封裝700、800、850中的第一和第二晶粒602、604都具有面朝下(face-down configuration)的配置,藉由沒有焊料凸塊或焊球的直接覆晶工藝(direct flip-chip process)連接到第一導電結構129,並進一步連接到印刷電路板(PCB)或基板(substrate)410;因此,晶片封裝方法10、20在製造晶片封裝700、800、850時更簡單、成本更低且更準確,尤其是如圖10所示的將第一和第二晶粒602、604和驅動電路720接合到的載板117的晶粒轉移過程(reconstruction process)(晶粒113代表圖10中的第一和第二晶粒602、604)。
如圖40所示,銅夾(Cu clip)906安裝到第一半導體晶粒902和第二半導體晶粒904之上。然而,由於銅夾906具有龐大的尺寸,傳統 晶片封裝900需要厚大的外形。因此,銅夾906的重量較重,可能會導致第一和第二半導體晶粒902、904破裂。同時,在傳統晶片封裝900中也常用引線910來將第二半導體晶粒904連接到引線框架912。引線910也需要較大的空間(在垂直和橫向上),從而使傳統晶片封裝900更為厚大。
相比之,本公開採用不使用焊料凸塊或焊球的直接覆晶工藝(direct flip-chip process)將第一和第二晶粒602、604和驅動電路720直接連接到印刷電路板(PCB)或基板(substrate)410上。因此,晶片封裝700、800、850具有更薄更小的外形,更適用於現今越來越流行的可擕式電子裝置(例如行動電話、觸控板及筆記型電腦)。
如圖40所示,晶粒附接材料(die attach material)916用於將第一和第二半導體晶粒902、904附接到引線框架912上。相較於第一導電結構129使用的導電材料(例如銅),晶粒附接材料916儘管可能也是導電的(例如導電膏或焊料),但仍具有較大的電阻。因此,具有晶粒附接材料916的傳統晶片封裝900不適用於需要低電阻大電流的功率模組。或者,晶粒附接材料916也可以採用非導電材料(例如黏合劑或薄膜黏合劑),但是這些非導電材料不能有效地進行散熱。因此,具有非導電性能的晶粒附接材料916的傳統晶片封裝900也不適用於因大電流而產生較多熱量的功率模組。
相比之下,在晶片封裝700、800、850中,第一和第二晶粒602、604和驅動電路720藉由第一和第二導電結構129、140直接連接到金屬框架200(例如引線框架)的連接墊201,並不需要傳統晶片封裝900的晶粒附接材料916。第一和第二導電結構129、140可以由導電率高的導電 材料(例如銅)製成,這允許大電通量的電流在功率模組中流動。同時,由於第一和第二導電結構129、140的電阻較小,將產生較少的熱量。
特別地,在傳統晶片封裝900中,為使電流垂直地流過第一和第二半導體晶粒902、904,必須在第一和第二晶粒背面9022、9042上附加一晶粒背金屬層(die back metal layer)908(例如銅)。例如,晶粒背金屬層908被施加到第一晶粒背面9022,用於從第一晶粒活性面9021到第一晶粒背面9022的垂直地導電。但同時,如圖12所示的研磨工藝不可用於晶粒背金屬層908;因而第一和第二半導體晶粒902、904不能藉由研磨工藝減薄以降低電阻,這會降低採用傳統晶片封裝900的功率模組的性能。
相比之,晶片封裝700、800、850不具有晶粒背金屬層908,因為垂直地導電是藉由金屬框架200的連接墊201和晶粒背面6022、6042和驅動背面7202上的第二導電結構140傳導的。因此,可以採用圖12所示的研磨工藝將第一和第二晶粒602、604和驅動電路720減薄,以增強晶片封裝700、800、850用作功率模組時的電性能。
此外,傳統晶片封裝900使用著在封裝之前單獨製造的分立金屬部件(例如銅夾906和引線框架912)。因此,還需要在封裝過程中採用昂貴金屬(例如銀或鎳鈀金(NiPdAu))來連接任何兩個分立的金屬元件。例如,點鍍層(spot plating layer)918施加在銅夾906和引線框架912之間,以將銅夾906安裝在引線框架912之上。再例如,點鍍層918(未示出)也需施加在銅夾906和晶粒背金屬層908之間,從而將銅夾906安裝於第一半導體晶粒902的第一晶粒背面9022。
相比之,晶片封裝700、800、850不使用分立金屬部件。相反,晶片封裝700、800、850的金屬元件(例如導電填充通孔124、第一和第二導電結構129、140)是在封裝期間藉由合適的金屬沉積工藝(例如PVD、CVD、濺鍍、電解電鍍、無電極電鍍工藝)而形成地。例如,第一面板級導電跡線125直接形成在導電填充通孔124和連接墊正面2011上;然後,在第一面板級導電線路125上直接形成第一面板級導電柱127。因此,晶片封裝700、800、850中的所有導電元件直接連接,不需要在封裝期間進行形成點鍍層918的工藝。因此,晶片封裝700、800、850中的上述直接連接提高了導電部件之間連接的可靠性和機械穩定性,這進一步提高了其在溼度敏感等級測試(moisture senility level test)中的性能。
此外,晶片封裝700、800、850不需要傳統晶片封裝900中的焊料凸塊或焊球922來連接印刷電路板(PCB)或基板410、無源元件或散熱器。例如,第一和第二晶粒602、604和驅動電路720藉由第一導電結構129直接連接到印刷電路板(PCB)或基板410,而不使用焊料凸塊或焊球。又例如,第一和第二晶粒602、604和驅動電路720藉由第二導電結構直接連接到無源元件420(例如電阻器740、電容器742和電感器744)或散熱器430,也不使用焊球或焊球。與傳統晶片封裝900相比,直接連接對晶片封裝700、800、850具有多種益處,特別是用作功率模組時。
焊料性質較軟;因此在安裝傳統晶片封裝900的元件(包括半導體管芯902、904、銅夾906、引線框架912和塑封層)時,焊料凸塊或焊球922容易變形。此外,焊料的熔化溫度低;當傳統晶片封裝(特別是作為功率模組)產生大量熱量時,焊料凸塊或焊球922可能熔化並移動, 這可能會影響甚至破壞傳統晶片封裝900中的電連接。
焊料對於大電通量的電流也具有較高的電阻和阻抗,並且當功率模組的電通量很大時,也可能發生電遷移(electromigration)。相比之下,晶片封裝700、800、850中的直接連接的導電材料(例如銅)的電阻和阻抗要小得多,並且不容易受到電遷移的影響,適合用作電源模組。
此外,傳統的晶片封裝900沿著銅夾906和引線鍵合910的導電路徑較長,這可能引起嚴重的寄生效應(parasitic effect)和傳導損耗(conduction loss)。相比之下,晶片封裝700、800、850中的直接連接(direct connection)藉由第一和第二導電結構129、140以及金屬框架200的連接墊201具有較短的導電路徑,從而減輕寄生效應和傳導損耗。
此外,還可在晶片封裝700、800、850中形成直接連接之前,形成晶種層(seed layer)(未示出)以進一步增強直接連接。種子層可以藉由濺鍍Ti/Cu、濺鍍SUS/Cu/SUS、無電極鍍銅或其組合而形成。
請參照圖40,傳統晶片封裝900主要從完全封裝了第一和第二半導體晶粒902、904和銅夾906的塑封層散發熱量。因此,由第一和第二半導體晶粒902、904產生的熱量以及由在傳統晶片封裝900中流動的電通量產生的熱量可能無法有效地傳到至周圍環境中。因此,傳統晶片封裝900不太適用於功率模組。
相比之下,晶片封裝700、800、850具有上述三側散熱設計,有利於高效的冷卻功能。即第一側,從第一和第二晶粒活性面6021、6041和驅動電路720的驅動活性表面7201,經由第一導電結構129;第二側,從第一和第二晶片背面6022、6042和驅動電路720的驅動背面7202,經由 第二導電結構140;以及第三側,藉由連接墊201從側表面散熱。此外,散熱器430可以安裝在第二導電結構140上,以加速晶片封裝700、800、850的散熱。
以上所述的具體實施例,其目的是對本公開的技術方案和技術效果進行進一步的詳細說明,但是本領域技術人員將理解的是,以上所述具體實施例,並不用於限制本公開,凡在本公開的發明思路之內,所做的任何修改、均等替換、改進等,均應包含在本公開的保護範圍之內。
200:金屬框架
201:連接墊
202:空位
602:第一晶粒
604:第二晶粒
710:面板組件
720:驅動電路
L1:虛線
L2:虛線
SL:切割

Claims (15)

  1. 一種用於電源模組的晶片封裝,包括: 至少一晶粒,具有相對的一晶粒活性面和一晶粒背面,其中該至少一晶粒具有較薄的厚度,用於減小用作該電源模組時的電阻; 一驅動電路,用於控制該至少一晶粒,該驅動電路具有相對的一驅動活性面和一驅動背面; 一保護層,形成在該晶粒活性面和該驅動活性面上,其中該保護層具有多個保護層開口,用於將該晶粒活性面和該驅動活性面從該保護層中暴露; 一金屬單元,包括至少一金屬特徵,其中該至少一金屬特徵具有至少一連接墊,該至少一連接墊具有相對的一連接墊正面和一連接墊背面;以及 一塑封層,用於包封該至少一晶粒、該驅動電路、該保護層和該金屬單元; 其中該晶片封裝藉由該至少一金屬特徵與一外部電路相連接。
  2. 如請求項1所述之晶片封裝,其中該至少一晶粒包括一第一晶粒和一第二晶粒,該第一晶粒和該第二晶粒分別具有一第一晶粒活性面和一第二晶粒活性面,其中該第一晶粒、該第二晶粒和該驅動電路被該金屬單元圍繞,該第一晶粒活性面、該第二晶粒活性面和該驅動活性面實質上齊平。
  3. 如請求項1所述之晶片封裝,還包括: 在該金屬單元的該至少一金屬特徵、該保護層和該塑封層上形成的一第一導電結構,其中該第一導電結構連接至該晶粒活性面和該驅動活性面,用於將該至少一晶粒和該驅動電路連接至該金屬單元。
  4. 如請求項3所述之晶片封裝,其中該第一導電結構具有連接至該晶粒活性面和該驅動活性面的多個導電填充通孔,以及在該金屬單元的該至少一金屬特徵、該保護層和該塑封層上形成一面板級導電層,其中該些導電填充通孔由一導電材料填充該些保護層開口而形成。
  5. 如請求項3所述之晶片封裝,還包括: 一第二導電結構,形成在該金屬單元的該至少一金屬特徵和該塑封層上,該第二導電結構和該第一導電結構在該至少一晶粒的一相對側,其中該第二導電結構藉由該金屬單元的該至少一金屬特徵和該第一導電結構相連接。
  6. 如請求項5所述之晶片封裝,其中該第一導電結構和該第二導電結構具有實質上相同的一重量,用於從該晶粒活性面和該晶粒背面來平衡該晶片封裝。
  7. 如請求項5所述之晶片封裝,其中該第二導電結構和該至少一晶粒的該晶粒背面直接接觸,用於將該晶片封裝電背接地。
  8. 如請求項5所述之晶片封裝,還包括: 在該塑封層中形成的至少一空隙,用於將該晶粒背面從該塑封層中暴露,其中在該至少一空隙中填充一導電介質以形成至少一導電填充空隙,用於和該第二導電結構相連接。
  9. 如請求項5所述之晶片封裝,還包括: 在該至少一晶粒的該晶粒背面形成的一附加塑封層,該附加塑封層被該塑封層包封;以及 在該附加塑封層中的至少一空隙,用於將該晶粒背面從該塑封層中暴露,其中在該至少一空隙中填充一導電介質以形成至少一導電填充空隙,用於和該第二導電結構相連接。
  10. 如請求項5所述之晶片封裝,還包括: 用於包封該第一導電結構的一第一介電層,其中該第一導電結構從該第一介電層中暴露,用於和該外部電路相連接;以及 用於包封該第二導電結構的一第二介電層,其中該第二導電結構從該第二介電層中暴露,用於和一外部元件相連接。
  11. 一種晶片結構,包括: 至少一晶粒,具有相對的一晶粒活性面和一晶粒背面; 一保護層,形成在該晶粒活性面上,具有多個保護層開口,用於將該晶粒活性面從該保護層中暴露; 一金屬單元,包括至少一金屬特徵,其中該至少一金屬特徵具有至少一連接墊,該至少一連接墊具有相對的一連接墊正面和一連接墊背面; 一塑封層,用於包封該晶粒、該保護層和該金屬單元;以及 一第一導電結構,形成在該金屬單元的該至少一金屬特徵、該保護層和該塑封層上,其中該第一導電結構連接至該晶粒活性面,用於將該至少一晶粒連接至該金屬單元; 其中該晶片結構藉由該至少一金屬特徵與一外部電路相連接。
  12. 如請求項11所述之晶片結構,其中該外部電路包括一印刷電路板,該第一導電結構和該印刷電路板直接接觸,用於將該至少一晶粒直接連接到該印刷電路板。
  13. 如請求項12所述之晶片結構,還包括: 一第二導電結構,形成在該金屬單元的該至少一金屬特徵和該塑封層上,該第二導電結構和該第一導電結構在該至少一晶粒的一相對側,其中該第二導電結構藉由該第一導電結構和該金屬單元的該至少一金屬特徵從而和該至少一晶粒相連接,用於將該晶片結構電背接地。
  14. 如請求項13所述之晶片結構,其中該第二導電結構和該至少一晶粒的一晶粒背面直接接觸,用於將熱量從該晶粒背面傳導出該晶片結構。
  15. 如請求項14所述之晶片結構,其中該第一導電結構和該第二導電結構具有實質上相同的重量,用於從該晶粒活性面和該晶粒背面來平衡該晶片封裝。
TW110212504U 2020-10-24 2021-10-22 晶片封裝及晶片結構 TWM625448U (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
SG10202010557R 2020-10-24
SG10202010557R 2020-10-24

Publications (1)

Publication Number Publication Date
TWM625448U true TWM625448U (zh) 2022-04-11

Family

ID=80141722

Family Applications (3)

Application Number Title Priority Date Filing Date
TW110139387A TWI800049B (zh) 2020-10-24 2021-10-22 晶片封裝方法及晶片結構
TW110212504U TWM625448U (zh) 2020-10-24 2021-10-22 晶片封裝及晶片結構
TW111139131A TWI829392B (zh) 2020-10-24 2021-10-22 晶片封裝方法及晶片結構

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW110139387A TWI800049B (zh) 2020-10-24 2021-10-22 晶片封裝方法及晶片結構

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW111139131A TWI829392B (zh) 2020-10-24 2021-10-22 晶片封裝方法及晶片結構

Country Status (2)

Country Link
CN (2) CN217035634U (zh)
TW (3) TWI800049B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI802527B (zh) * 2022-05-24 2023-05-11 南韓商Tse有限公司 半導體封裝的測試裝置

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN114999934B (zh) * 2022-07-18 2022-10-21 威海艾迪科电子科技股份有限公司 一种半导体封装结构及其形成方法
US20240222339A1 (en) * 2022-12-30 2024-07-04 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated Circuit Package With Improved Heat Dissipation Efficiency and Methods of Forming the Same
CN116417356B (zh) * 2023-06-12 2023-09-05 甬矽半导体(宁波)有限公司 芯片封装方法、芯片封装模块和内埋衬底式芯片封装结构

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9040346B2 (en) * 2012-05-03 2015-05-26 Infineon Technologies Ag Semiconductor package and methods of formation thereof
US8987876B2 (en) * 2013-03-14 2015-03-24 General Electric Company Power overlay structure and method of making same
US9209151B2 (en) * 2013-09-26 2015-12-08 General Electric Company Embedded semiconductor device package and method of manufacturing thereof
CN210182362U (zh) * 2019-03-11 2020-03-24 Pep创新私人有限公司 芯片结构

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI802527B (zh) * 2022-05-24 2023-05-11 南韓商Tse有限公司 半導體封裝的測試裝置

Also Published As

Publication number Publication date
TWI829392B (zh) 2024-01-11
TW202236562A (zh) 2022-09-16
TW202308086A (zh) 2023-02-16
CN114038843A (zh) 2022-02-11
CN217035634U (zh) 2022-07-22
TWI800049B (zh) 2023-04-21

Similar Documents

Publication Publication Date Title
TWI772672B (zh) 晶片封裝方法及晶片結構
TWI725519B (zh) 晶片封裝方法
US7138706B2 (en) Semiconductor device and method for manufacturing the same
TWI829392B (zh) 晶片封裝方法及晶片結構
TWI485817B (zh) 微電子封裝及其散熱方法
US11233028B2 (en) Chip packaging method and chip structure
US20070122943A1 (en) Method of making semiconductor package having exposed heat spreader
US8426251B2 (en) Semiconductor device
US20210183799A1 (en) Ultra-thin multichip power devices
WO2023241304A1 (zh) 芯片封装方法及芯片
JP2004260051A (ja) 半導体装置の製造方法および半導体装置
US20220102254A1 (en) Chip packaging method and chip structure
TWI841586B (zh) 晶片封裝方法
CN117096036A (zh) 芯片封装方法及封装结构