TWI821158B - 用於半導體製程的整合系統 - Google Patents

用於半導體製程的整合系統 Download PDF

Info

Publication number
TWI821158B
TWI821158B TW106120104A TW106120104A TWI821158B TW I821158 B TWI821158 B TW I821158B TW 106120104 A TW106120104 A TW 106120104A TW 106120104 A TW106120104 A TW 106120104A TW I821158 B TWI821158 B TW I821158B
Authority
TW
Taiwan
Prior art keywords
chamber
substrate
layer
epitaxial
chambers
Prior art date
Application number
TW106120104A
Other languages
English (en)
Other versions
TW201824427A (zh
Inventor
鮑新宇
華 仲
紹芳 諸
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW201824427A publication Critical patent/TW201824427A/zh
Application granted granted Critical
Publication of TWI821158B publication Critical patent/TWI821158B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/04Pattern deposit, e.g. by using masks
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/18Epitaxial-layer growth characterised by the substrate
    • C30B25/186Epitaxial-layer growth characterised by the substrate being specially pre-treated by, e.g. chemical or physical means
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/02Elements
    • C30B29/06Silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32899Multiple chambers, e.g. cluster tools
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by physical means, e.g. sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/67034Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for drying
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Ceramic Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Optics & Photonics (AREA)
  • Drying Of Semiconductors (AREA)
  • Bipolar Transistors (AREA)

Abstract

本揭露書的實施方式一般關於用於在基板表面上進行磊晶沉積的方法和設備。更具體地,本揭露書的實施方式一般關於用於處理N型金屬氧化物半導體(NMOS)裝置的整合系統。在一個實施方式中,提供了一種用於處理基板的群集工具。群集工具包括預清潔腔室、蝕刻腔室、一或多個通過腔室、一或多個除氣腔室、第一傳送腔室、第二傳送腔室及一或多個處理腔室。預清潔腔室和蝕刻腔室耦接到第一傳送腔室。一或多個通過腔室耦接到第一傳送腔室和第二傳送腔室之間並設置在第一傳送腔室和第二傳送腔室之間。一或多個除氣腔室耦接到第二傳送腔室。一或多個處理腔室耦接到第二傳送腔室。

Description

用於半導體製程的整合系統
本揭露書的實施方式一般關於用於在基板表面上磊晶沉積的方法和設備。
積體電路形成在矽和其它半導體基板中及上。在單晶矽的情況下,藉由從熔融矽的浴中生長錠,並接著將固化的錠切割成多個晶圓來製造基板。接著可在單晶矽晶圓上形成磊晶矽層,以形成可摻雜或未摻雜的無缺陷矽層。半導體裝置(諸如電晶體)從磊晶矽層所製造。所形成的磊晶矽層的電性質大體將優於單晶矽基板的性質。
當曝露於典型的晶圓製造設施環境條件時,單晶矽和磊晶矽層的表面易受污染。例如,存在於周圍環境中的污染物可能沉積在單晶表面上。此外,用以形成半導體部件的各種化學相互作用可在製造期間相互作用,並污染或降級腔室部件和基板兩者。此外,當製造具有進階的裝置整合方案的基板時,當前的製程系統受到低產量的困擾。
因此,存在有一種用於製造半導體裝置的整合系統的需求。
本揭露書大體關於用於在基板表面上進行磊晶沉積的方法和設備。更具體地,本揭露書的實施方式一般關於用於處理N型金屬氧化物半導體(NMOS)裝置的整合系統。在一個實施方式中,提供了一種用於處理基板的群集工具。群集工具包括:第一傳送腔室;預清潔腔室,耦接到第一傳送腔室;蝕刻腔室,耦接到第一傳送腔室;第二傳送腔室,耦接到第一傳送腔室;一或多個通過腔室,設置在第一傳送腔室和第二傳送腔室之間;一或多個除氣腔室,耦接到第二傳送腔室;及一或多個沉積腔室,耦接到第二傳送腔室。
在另一個實施方式中,群集工具包括:第一傳送腔室;預清潔腔室,耦接到第一傳送腔室;蝕刻腔室,耦接到第一傳送腔室;第二傳送腔室,耦接到第一傳送腔室;及四個磊晶沉積腔室,耦接到第二傳送腔室。
在另一個實施方式中,群集工具包括:第一傳送腔室;預清潔腔室,耦接到第一傳送腔室;蝕刻腔室,耦接到第一傳送腔室;第二傳送腔室,耦接到第一傳送腔室;兩個通過腔室,設置在第一傳送腔室和第二傳送腔室之間;一或多個除氣腔室,耦接到第二傳送腔室;及四個磊晶沉積腔室,耦接到第二傳送腔室。
以下的揭露書大體描述了用於在基板表面上進行磊晶沉積的方法和設備。以下將參考可使用可得自加州聖克拉拉市的應用材料公司的系統進行的清潔、蝕刻和沉積製程來描述於此所述的實施方式。能夠執行這些清潔、蝕刻和沉積製程的其它工具也可適以於從於此所述的實施方式中受益。此外,根據於此所述的實施方式,可有利地利用實施於此所述的清潔,蝕刻和沉積製程的任何系統。於此所述的設備是說明性的,且不應被解釋或解讀為限制於此所述的實施方式的範圍。
第1圖顯示了根據本揭露書的一個實施方式的方法100。方法100從操作110開始,其中一或多個基板被加載到系統。在一個實施方式中,使用盒將基板加載到系統中。盒通常是可從清潔室進入的前開式晶圓傳送盒(FOUP)。
在操作120,將基板傳送到第一處理腔室,以藉由清潔製程移除基板表面上的原生氧化物。基板可包括含矽材料,且表面可包括諸如矽(Si)、鍺(Ge)或矽鍺合金(SiGe)的材料。在一些實施方式中,Si、Ge或SiGe表面可具有設置在其上的氧化物層,諸如原生氧化物層。基板可為其上形成有裝置的半導體基板。在一個實施方式中,基板具有形成在其上的複數個半導體鰭片,且每個半導體鰭片可位於形成於介電材料中的兩個溝槽之間。原生氧化物層可形成在複數個鰭片上和在溝槽中。在一個實施方式中,在第一處理腔室的處理區域中執行操作120。在一個實施方式中,第一處理腔室位於群集工具上,允許基板的傳送而不將基板曝露於大氣(如,在真空環境中)。
可使用從基板移除氧化物而不顯著損傷基板的任何合適的清潔方法。合適的清潔製程包括濺射蝕刻製程、電漿乾式蝕刻製程或其組合。示例性的清潔方法包括基於NF3 /NH3 電漿的製程或NF3 /NH3 感應耦合電漿製程。
在一個實施方式中,電漿蝕刻製程是遠端電漿輔助乾式蝕刻製程,其涉及將基板同時曝露於NF3 和NH3 電漿副產物。在一個實施方式中,電漿蝕刻製程可為感應耦合電漿(ICP)製程。電漿蝕刻製程可在可從加州聖克拉拉市的應用材料公司獲得的SiCoNiTM 腔室中進行。第一處理腔室可為SiCoNiTM 腔室。遠端電漿蝕刻可主要對於氧化矽層是共形的和選擇性的,且因此不管無論矽是無定形、結晶還是多晶,都不容易蝕刻矽。電漿蝕刻製程導致其上具有矽-氫(Si-H)鍵的基板表面。
在一個實施方式中,在操作120之後,將基板從第一處理腔室移除並傳送到執行操作130的第二處理腔室。第一處理腔室和第二處理腔室可定位在群集工具上,允許基板的傳送而不將基板曝露於大氣(如,在真空環境中)。第二處理腔室可為可從加州聖克拉拉市的應用材料公司獲得的SelectraTM 蝕刻腔室。在另一實施方式中,操作120和操作130都在相同的處理腔室中執行。在操作130,從設置在基板上的源極/汲極區域移除矽。在一個實施方式中,使用蝕刻製程從源極/汲極區域移除矽。在一個實施方式中,基板包括形成在介電材料中的複數個半導體鰭片和溝槽,且移除位於每個溝槽內的每個半導體鰭片的一部分。每個半導體鰭片可由矽所製成。半導體鰭片可為n-MOS電晶體的源極/汲極區域,且半導體鰭片的部分的移除可被稱為源極/汲極延伸回蝕刻。矽蝕刻製程可為基於電漿的蝕刻製程。
在基於電漿的蝕刻製程期間,將蝕刻製程氣體引入到腔室中。蝕刻製程氣體可包含一或多種蝕刻劑。蝕刻劑可藉由RF功率而激發。蝕刻劑包括含鹵素的氣體、任選的含氫氣體和任選的惰性氣體。在一個實施方式中,含鹵素氣體是氯氣,含氫氣體是氫氣,且任選的惰性氣體是氬氣、氦氣或兩者。示例性的含氯氣體包括雙原子氯(Cl2 )氣。惰性氣體可包括氬、氦、氖、氙及類似者的至少一種。
在操作140,將基板從第二處理腔室移除並傳送到第三處理腔室,在第三處理腔室中磊晶層沉積在基板的表面上。在一個實施方式中,第二處理腔室和第三處理腔室均位於群集工具上,允許將基板從第二處理腔室傳送到第三處理腔室,而不將基板曝露於大氣(如,在真空環境中)。第三處理腔室可為可從加州聖克拉拉市的應用材料公司獲得的減壓(RP)Epi腔室。基板的表面基本上或完全不含污染物,這改善隨後在基板的表面上所形成的磊晶層的品質。在一個實施方式中,磊晶層可為摻雜有砷化物的矽(Si:As)。磊晶層可為二元膜、三元膜或四元膜。可使用任何合適的磊晶沉積技術(諸如選擇性磊晶沉積)來沉積磊晶層。在一個實施方式中,磊晶層是Si:As層,且沉積在每個溝槽內側的每個半導體鰭片的一部分上。磊晶層可被稱為源極/汲極延伸層。
在操作150,將基板傳送到第四處理腔室,並且可在基板上形成磊晶層。磊晶層可藉由磊晶沉積製程(諸如選擇性磊晶沉積製程)而形成。第四處理腔室可為可從加州聖克拉拉市的應用材料公司獲得的RP Epi腔室。在一個實施方式中,操作140和操作150在相同的處理腔室中執行,諸如RP Epi腔室。在一個實施方式中,磊晶層是摻雜有磷的矽(Si:P)。基板的表面是無污染的,這改善隨後在基板的表面上所形成的磊晶層的品質。在一個實施方式中,磊晶層是Si:P層,且沉積在形成在介電材料中的每個溝槽中,且Si:P層與形成在基板上的每個半導體鰭片上的Si:As層接觸。
在操作160,將基板傳送到第五處理腔室,且可在基板上選擇性地形成矽化鈦層。第五處理腔室可為可從加州聖克拉拉市的應用材料公司獲得的RP Epi腔室。在一個實施方式中,操作140、操作150和操作160在相同的處理腔室中執行,諸如RP Epi腔室。矽化鈦層可藉由選擇性磊晶沉積製程而形成。在一個實施方式中,鈦和矽前驅物流到處理腔室中以形成矽化鈦層。鈦和矽前驅物最初可為液體形式,且可能在流到處理腔室中之前蒸發,以形成蒸汽。在一個實施方式中,使用一或多個起泡器來蒸發液體前驅物。
在操作170,將基板傳送到用於除氣的腔室。腔室可為包括第一、第二、第三、第四和第五處理腔室的群集工具的一部分。在一個實施方式中,腔室可為裝載閘腔室。在另一個實施方式中,腔室可為通過腔室。
可在一或多個處理腔室上進行除汙製程。在一個實施方式中,除汙製程由進階的前級清潔系統、乾式清潔吸收器和可燃系統執行。前級清潔系統可使用氟化銨(NF3 )與前級管線中的任何砷化合物反應並結合。乾式清潔吸收器可接著從前級管線中除去砷化合物。可燃系統用以將任何剩餘的氫轉化成水。三階段排氣除汙系統提供在半導體處理之後清潔和安全地處理殘留在腔室部件內的副產物。
第2圖顯示了根據本揭露書的實施方式的可用於執行第1圖所示的方法100的處理系統200。處理系統200的一個例子是可從加州聖克拉拉市的應用材料公司獲得的Centura® 系統。如第2圖所示,複數個處理腔室202耦接到第一傳送腔室204。在一個實施方式中,四個處理腔室202耦接到第一傳送腔室204,如第2圖所示。在一個實施方式中,複數個處理腔室202是RP Epi腔室。在一個實施方式中,四個處理腔室202的一個被用以執行操作140,而剩餘的三個處理腔室202用以執行操作150和160。在另一個實施方式中,所有四個處理腔室202用以執行操作140、150和160。第一傳送腔室204還耦接到一或多個通過腔室206和一或多個後處理腔室220。在一個實施方式中,兩個通過腔室206耦接到第一傳送腔室204且兩個後處理腔室220耦接到第一傳送腔室204。一或多個通過腔室206可用以執行操作170。後處理腔室220可為脫氣、冷卻或表面鈍化腔室。
第一傳送腔室204具有置中佈置的傳送機器人218,用於在通過腔室206和處理腔室202之間傳送基板。通過腔室206耦接到第二傳送腔室210,第二傳送腔室210與用於預清潔基板(操作120)的清潔腔室214和用於蝕刻基板(操作130)的蝕刻腔室216耦接。清潔腔室214可特別適用於進行基於熱或電漿的氧化製程及/或電漿輔助乾式蝕刻製程。在一個實施方式中,清潔腔室214是SiCoNiTM 腔室,且蝕刻腔室216是SelectraTM 蝕刻腔室。在一個實施方式中,操作120和130都可在單個處理腔室中(諸如在清潔腔室214中)執行。
第二傳送腔室210具有置中設置的傳送機器人222,用於在一組裝載閘腔室208和清潔腔室214或蝕刻腔室216之間傳送基板。操作170可在裝載閘腔室208中執行。工廠介面212藉由裝載閘腔室208而連接到第二傳送腔室210。工廠介面212耦接到裝載閘腔室208的相對側上的一或多個盒224。盒224通常為可從清潔腔室進入的前開式晶圓傳送盒(FOUP)。
在操作期間,首先將基板傳送到清潔腔室214,在清潔腔室214中進行清潔製程,以從基材表面移除原生氧化物和污染物(諸如碳或烴)。清潔製程在第1圖中描述為在操作120下。接著將基板傳送到執行操作130的蝕刻腔室216。在一個實施方式中,操作120和130可在單個腔室214中執行。
接著將基板傳送到執行操作140、150和160的一或多個處理腔室202。接著可將基板傳送到腔室206或裝載閘腔室208,以進行脫氣,如在操作170下所描述的。由於操作120、130、140、​​150、160和170可在相同的處理系統內執行,所以當基板被傳送到各個腔室時,不破坏真空,這降低了污染的可能性並改善所沉積的磊晶膜的品質。
總而言之,本揭露的優點提供了一種用於在磊晶沉積、源極汲極回蝕、利用源極汲極延伸的磊晶沉積、磊晶沉積和晶圓除氣之前預清潔含矽基板的整合系統和方法,這導致改進半導體裝置。群集製程腔室通過真空傳送減少曝露於大氣,並相應地減少曝露於氧氣污染物。例如,在磊晶沉積之前進行矽的感應耦合電漿氯蝕刻,而不會在蝕刻和沉積之間破壞真空,從而減少曝露於氧污染物。將原生氧化物移除腔室與矽的蝕刻和磊晶沉積群集在一起也導致氧污染物的減少。因此,整合系統有利地提供了改進的半導體裝置。
雖然前述內容涉及本揭露書的實施方式,但是本揭露書的其他和進一步的實施方式可經設計而不背離本揭露書的基本範圍,且本揭露書的範圍由以下的申請專利範圍而決定。
100‧‧‧方法110‧‧‧操作120‧‧‧操作130‧‧‧操作140‧‧‧操作150‧‧‧操作160‧‧‧操作170‧‧‧操作200‧‧‧處理系統202‧‧‧處理腔室204‧‧‧第一傳送腔室206‧‧‧通過腔室/腔室208‧‧‧裝載閘腔室210‧‧‧第二傳送腔室212‧‧‧工廠介面214‧‧‧清潔腔室/腔室216‧‧‧蝕刻腔室218‧‧‧傳送機器人220‧‧‧後處理腔室222‧‧‧傳送機器人224‧‧‧盒
因此,可詳細了解本揭露書的以上所載的特徵的方式,可藉由參考實施方式來對簡單概要於上的實施方式的更具體的描述而獲得,其中一些實施例顯示在附隨的圖式中。然而,應當注意附隨的圖式僅顯示了本揭露的典型實施方式,且因此不被視為限制其範圍,因為本揭露可允許其他等效的實施方式。
第1圖是顯示根據本揭露書的一個實施方式的方法的流程圖。
第2圖是根據於此所述的實施方式的可用已完成第1圖所示的處理順序的處理系統的示意性頂視圖。
為促進理解,在可能的情況下,使用相同的元件符號來表示圖式共有的相同元件。應設想一個實施方式的元件和特徵可有益地併入到其他實施方式中,而無需進一步的載明。然而,應當注意附隨的圖式僅顯示了本揭露的示例性實施方式,且因此不被視為限制其範圍,因為本揭露可承認其他等效的實施方式。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無
200‧‧‧處理系統
202‧‧‧處理腔室
204‧‧‧第一傳送腔室
206‧‧‧通過腔室/腔室
208‧‧‧裝載閘腔室
210‧‧‧第二傳送腔室
212‧‧‧工廠介面
214‧‧‧清潔腔室/腔室
216‧‧‧蝕刻腔室
218‧‧‧傳送機器人
220‧‧‧後處理腔室
222‧‧‧傳送機器人
224‧‧‧盒

Claims (5)

  1. 一種用於在基板表面上進行磊晶沉積的方法,包含以下步驟:在一預清潔腔室內,預清潔一基板的一表面,該基板包含矽並在其上形成有元件,其中該預清潔步驟包含以下步驟:移除該基板上的一原生氧化物層及接著執行一電漿蝕刻製程,其中該電漿蝕刻製程包含從設置在該基板上的一源極/汲極區域移除矽;在一第一沉積腔室內,在該經蝕刻的基板上磊晶沉積一摻雜有砷化物的矽層;在一第二沉積腔室內,在該基板上的該摻雜有砷化物的矽層上磊晶沉積一摻雜有磷的矽層;在一第三沉積腔室內,在該基板上的該摻雜有磷的矽層上磊晶沉積一矽化鈦層;及在一除氣腔室內,對其上具有該矽化鈦層的該基板除氣。
  2. 如請求項1所述之方法,其中該等沉積腔室中的任何一者包含一個磊晶沉積腔室。
  3. 如請求項2所述之方法,其中該等沉積腔室中的任何一者可操作以執行一選擇性磊晶沉積製程。
  4. 一種用於在基板表面進行磊晶沉積的方法,包含以下步驟: 在一預清潔腔室內,預清潔一基板的一表面,該基板包含矽並在其上形成有元件,其中該預清潔步驟包含以下步驟:移除該基板上的一原生氧化物層及接著執行一電漿蝕刻製程,其中該電漿蝕刻製程包含從設置在該基板上的一源極/汲極區域移除矽;在一第一磊晶沉積腔室內,在該經蝕刻的源極/汲極區域上形成一源極/汲極延伸層;在一第二磊晶沉積腔室內,在該源極/汲極延伸層上形成一磊晶層;在一第三磊晶沉積腔室內,在該磊晶層上形成一矽化物層;及在一除氣腔室內,對其上具有該矽化物層的該基板進行一除氣處理。
  5. 如請求項4所述之方法,其中該等磊晶沉積腔室中的任何一者可操作以執行一選擇性磊晶沉積製程。
TW106120104A 2016-09-15 2017-06-16 用於半導體製程的整合系統 TWI821158B (zh)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US201662395083P 2016-09-15 2016-09-15
US62/395,083 2016-09-15
US15/418,506 US20180076065A1 (en) 2016-09-15 2017-01-27 Integrated system for semiconductor process
PCT/US2017/015472 WO2018052479A1 (en) 2016-09-15 2017-01-27 Integrated system for semiconductor process
WOPCT/US17/15472 2017-01-27
??PCT/US17/15472 2017-01-27
US15/418,506 2017-01-27

Publications (2)

Publication Number Publication Date
TW201824427A TW201824427A (zh) 2018-07-01
TWI821158B true TWI821158B (zh) 2023-11-11

Family

ID=61560213

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106120104A TWI821158B (zh) 2016-09-15 2017-06-16 用於半導體製程的整合系統

Country Status (5)

Country Link
US (2) US20180076065A1 (zh)
EP (1) EP3513428A4 (zh)
KR (2) KR102312122B1 (zh)
TW (1) TWI821158B (zh)
WO (1) WO2018052479A1 (zh)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2017052905A1 (en) * 2015-09-22 2017-03-30 Applied Materials, Inc. Apparatus and method for selective deposition
WO2020060751A1 (en) * 2018-09-18 2020-03-26 Applied Materials, Inc. In-situ integrated chambers
US20200144397A1 (en) * 2018-11-05 2020-05-07 Applied Materials, Inc. Methods and apparatus for silicon-germanium pre-clean
US11555250B2 (en) 2020-04-29 2023-01-17 Applied Materials, Inc. Organic contamination free surface machining
US11965241B2 (en) * 2021-09-03 2024-04-23 Applied Materials, Inc. Cluster tools, systems, and methods having one or more pressure stabilization chambers

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200737310A (en) * 2006-02-03 2007-10-01 Applied Materials Inc Epitaxial deposition process and apparatus
US20110175140A1 (en) * 2009-12-17 2011-07-21 Applied Materials, Inc. Methods for forming nmos epi layers
TW201130016A (en) * 2009-08-06 2011-09-01 Applied Materials Inc Methods of selectively depositing an epitaxial layer

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6281098B1 (en) * 1999-06-15 2001-08-28 Midwest Research Institute Process for Polycrystalline film silicon growth
US20030027427A1 (en) 2001-08-06 2003-02-06 Applied Materials, Inc. Integrated system for oxide etching and metal liner deposition
US7049226B2 (en) 2001-09-26 2006-05-23 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
US6908865B2 (en) * 2001-09-28 2005-06-21 Applied Materials, Inc. Method and apparatus for cleaning substrates
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US6911695B2 (en) * 2002-09-19 2005-06-28 Intel Corporation Transistor having insulating spacers on gate sidewalls to reduce overlap between the gate and doped extension regions of the source and drain
KR101177576B1 (ko) * 2003-06-13 2012-08-27 어플라이드 머티어리얼스, 인코포레이티드 구리 금속배선을 위한 통합식 질화탄탈 원자층 증착 방법및 이를 위한 장치
KR101025740B1 (ko) * 2003-12-19 2011-04-04 주식회사 하이닉스반도체 증착 접합을 갖는 트랜지스터의 제조 방법
US7651948B2 (en) 2006-06-30 2010-01-26 Applied Materials, Inc. Pre-cleaning of substrates in epitaxy chambers
KR20090035578A (ko) * 2006-07-03 2009-04-09 어플라이드 머티어리얼스, 인코포레이티드 향상된 전단부 처리를 위한 클러스터 기기
US7554110B2 (en) * 2006-09-15 2009-06-30 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with partial stressor channel
US20100075499A1 (en) * 2008-09-19 2010-03-25 Olsen Christopher S Method and apparatus for metal silicide formation
CN103346116B (zh) * 2008-10-07 2016-01-13 应用材料公司 用于从蚀刻基板有效地移除卤素残余物的设备
US8329547B2 (en) 2010-07-22 2012-12-11 United Microelectronics Corp. Semiconductor process for etching a recess into a substrate by using an etchant that contains hydrogen peroxide
US8616821B2 (en) * 2010-08-26 2013-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated apparatus to assure wafer quality and manufacturability
US9230835B2 (en) * 2013-03-15 2016-01-05 Applied Materials, Inc. Integrated platform for fabricating n-type metal oxide semiconductor (NMOS) devices
WO2015020792A1 (en) * 2013-08-09 2015-02-12 Applied Materials, Inc. Method and apparatus for precleaning a substrate surface prior to epitaxial growth
US9218980B2 (en) * 2013-09-13 2015-12-22 Applied Materials, Inc. Surface treatment to improve CCTBA based CVD co nucleation on dielectric substrate

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200737310A (en) * 2006-02-03 2007-10-01 Applied Materials Inc Epitaxial deposition process and apparatus
TW201130016A (en) * 2009-08-06 2011-09-01 Applied Materials Inc Methods of selectively depositing an epitaxial layer
US20110175140A1 (en) * 2009-12-17 2011-07-21 Applied Materials, Inc. Methods for forming nmos epi layers

Also Published As

Publication number Publication date
KR20210063459A (ko) 2021-06-01
WO2018052479A1 (en) 2018-03-22
EP3513428A1 (en) 2019-07-24
TW202141667A (zh) 2021-11-01
US11164767B2 (en) 2021-11-02
TW201824427A (zh) 2018-07-01
US20180076065A1 (en) 2018-03-15
KR20190041030A (ko) 2019-04-19
KR102312122B1 (ko) 2021-10-14
EP3513428A4 (en) 2020-06-10
US20200035525A1 (en) 2020-01-30

Similar Documents

Publication Publication Date Title
TWI821158B (zh) 用於半導體製程的整合系統
TWI745390B (zh) 減少晶圓釋氣的整合方法
TWI692799B (zh) 清潔方法
TW201218255A (en) Integrated platform for in-situ doping and activation of substrates
US10395916B2 (en) In-situ pre-clean for selectivity improvement for selective deposition
TWI673772B (zh) 形成經摻雜鍺的方法
JP7326447B2 (ja) 接触抵抗が低減された半導体デバイスの作製方法
WO2010117703A2 (en) Method of selective nitridation
US20200144397A1 (en) Methods and apparatus for silicon-germanium pre-clean
US20240038859A1 (en) Metal cap for contact resistance reduction
KR20210046079A (ko) 인-시튜 통합 챔버들
TWI840682B (zh) 用於半導體製程的整合系統
JP7175385B2 (ja) シリコン含有層を形成する方法
US11955381B2 (en) Low-temperature plasma pre-clean for selective gap fill
US20240194605A1 (en) Post-treatment for removing residues from dielectric surface
US20240203741A1 (en) Cavity shaping and selective metal silicide formation for cmos devices
US20240018647A1 (en) Oxidation barriers with cvd soak processes
TW202418374A (zh) 用於cmos元件的接觸層之選擇性覆蓋
TW202418355A (zh) Cvd浸泡製程的氧化阻障層