JP7326447B2 - 接触抵抗が低減された半導体デバイスの作製方法 - Google Patents

接触抵抗が低減された半導体デバイスの作製方法 Download PDF

Info

Publication number
JP7326447B2
JP7326447B2 JP2021534958A JP2021534958A JP7326447B2 JP 7326447 B2 JP7326447 B2 JP 7326447B2 JP 2021534958 A JP2021534958 A JP 2021534958A JP 2021534958 A JP2021534958 A JP 2021534958A JP 7326447 B2 JP7326447 B2 JP 7326447B2
Authority
JP
Japan
Prior art keywords
source
layer
doped
drain regions
silicon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2021534958A
Other languages
English (en)
Other versions
JP2022515080A (ja
Inventor
ゴラフ タレハ,
シュエピン リー,
アビシェーク デュベ,
イー-チャウ フアン,
トゥシャール ビディアダル マンドレカー,
アンディ ロー,
パトリシア エム. リウ,
サンジェイ ナタラジャン,
ソーラブ チョプラ,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2022515080A publication Critical patent/JP2022515080A/ja
Application granted granted Critical
Publication of JP7326447B2 publication Critical patent/JP7326447B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76856After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66674DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/66681Lateral DMOS transistors, i.e. LDMOS transistors
    • H01L29/66696Lateral DMOS transistors, i.e. LDMOS transistors with a step of recessing the source electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66674DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/66712Vertical DMOS transistors, i.e. VDMOS transistors
    • H01L29/66727Vertical DMOS transistors, i.e. VDMOS transistors with a step of recessing the source electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28575Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising AIIIBV compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • H01L29/452Ohmic electrodes on AIII-BV compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • H01L29/456Ohmic electrodes on silicon

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Description

[0001]本開示の実装態様は、概して、トランジスタを形成するための方法に関する。具体的には、ここに記載される実装態様は、概して、ソース/ドレイン接点を形成するための方法に関する。
関連技術の記載
[0002]半導体の設計、製造、及び動作の重要な課題は接触抵抗である。例えば、フィン型電界効果トランジスタ(FinFET)装置のソース及びドレイン領域は、ソース/ドレイン接点トレンチを形成するためのエッチング処理により浸食される可能性があり、これは接触抵抗の増加に繋がる。接触抵抗が増加する結果、トランジスタ及び半導体基板上に形成されるその他の装置構造を含む回路装置の性能が低下する。
[0003]接触抵抗が低減されたトランジスタを形成するための半導体処理方法が必要とされている。
[0004]本開示の実装態様は、概して、トランジスタを形成するための方法に関する。具体的には、ここに記載される実装態様は、概して、ソース/ドレイン接点を形成するための方法に関する。一実装態様において、接点を形成するための方法は、ソース/ドレイン領域を露出させるために誘電体材料内にトレンチを形成すること、ソース/ドレイン領域に前洗浄処理を実施すること、ドープされた半導体層をソース/ドレイン領域に形成すること、及びコンダクタでトレンチを充填することを含む。
[0005]別の実装態様では、半導体デバイスは、半導体構造から延びるソース/ドレイン領域、ソース/ドレイン領域の第1の部分に配置されたドープされた半導体層、ドープされた半導体層上に配置された金属ケイ化物層、金属ケイ化物層上に配置されたキャップ層、及びキャップ層上に配置されたコンダクタを含む。
[0006]別の実装態様では、処理システムは、第1の移送チャンバ、第1の移送チャンバに連結された複数の処理チャンバ、及びソース/ドレイン領域に前洗浄処理を実施すること、ドープされた半導体層をソース/ドレイン領域に形成すること、及びコンダクタでトレンチを充填することを含む処理がシステム内で実施されるように構成されたコントローラを含む。
[0007]本開示の上述の特徴を詳細に理解することができるように、上記で簡単に要約された本開示のより具体的な記載が実装態様を参照することによって得られろ。実装態様のうちのいくつかが、添付図面に例示されている。しかしながら、添付図面は例示的な実装態様を示しているにすぎず、したがってその範囲を限定すると見なすべきではなく、他の等しく有効な実装態様が許容され得ることに留意されたい。
[0008]接点を形成するための方法のフロー図である。 [0009]図2A-2Bは、図1の方法の異なる段階の間のトランジスタの種々のビューを示す。 図2C-2Dは、図1の方法の異なる段階の間のトランジスタの種々のビューを示す。 図2E-2Fは、図1の方法の異なる段階の間のトランジスタの種々のビューを示す。 図2Gは、図1の方法の1つの段階の間のトランジスタの1つのビューを示す。 [0010]図1の方法の実施に適した例示的なマルチチャンバ処理システムの概略上面図である。
[0011]理解を容易にするために、可能な場合には、図に共通する同一要素を指し示すために同一の参照番号を使用した。一実装態様の要素及び特徴は、更なる記述がなくとも、他の実装態様に有益に組み込まれ得ると考慮される。
[0012]本開示は、概して、トランジスタを形成するための方法に関する。具体的には、ここに記載される方法は、概して、ソース/ドレイン接点を形成するための方法に関する。ここに記載される1つ又は複数の実装態様を含むことができるか、又はそれらと組み合わせることのできる一実装態様において、この方法は、誘電体材料内にトレンチを形成してトランジスタのソース/ドレイン領域を露出させること、露出されたソース/ドレイン領域に前洗浄処理を実施すること、エピタキシャル堆積処理によりドープされた半導体層をソース/ドレイン領域に形成すること、及びトレンチをコンダクタで充填することを含む。ドープされた半導体層は、ドープされた半導体層におけるより高いドーパント濃度に起因して、ソース/ドレイン領域より低い電気抵抗を有する。結果として、ソース/ドレイン接点の接触抵抗は低減する。
[0013]上記は、本開示に記載される技法の概要をまとめている。本開示の概念は、平面のトランジスタ装置又は三次元トランジスタ装置、例えばフィン型電界効果トランジスタ(FinFET)、水平ゲートオールアラウンド(HGAA)FET、垂直ゲートオールアラウンド(VGAA)FET、ナノワイヤチャネルFET、ストレインド半導体デバイスなどのために実施できると考慮される。
[0014]図1は、接点を形成するための方法100のフロー図である。図2A-2Hは、図1の方法100の異なる段階の間のトランジスタの様々なビューを示している。方法100が、ここに提示されない他の任意の半導体構造を形成するために利用され得ることに留意されたい。当業者であれば、半導体デバイスを形成するための完全なプロセスとそれに関連する構造が図面に示されているわけではなく、またここに記載されているわけでないことを認識するであろう。種々の工程が図面に示され、且つここに記載されているが、このようなステップの順序又はステップの有無に関する制限はない。連続して図示又は記載される工程は、別段の断りがない限り、説明を目的としてそのように図示又は記載されているにすぎず、それぞれのステップが、全体的にでなくとも少なくとも部分的に、実際には同時に又は重複して実施される可能性を排除しない。
[0015]方法100は、基板200を処理チャンバ中に配置することにより、工程102で開始される。処理チャンバは、エッチングチャンバであり得る。図2Aに示されるように、基板200は、半導体層202、半導体層202から延びる複数の半導体構造204(2つのみが図示されている)、及び半導体層202上で半導体構造204間に配置された誘電体材料206を含む。半導体構造204は半導体フィンであり得る。半導体層202は、シリコン、ゲルマニウム、シリコンゲルマニウム、又はIII/V族化合物の半導体、例えばGaA又はInGaAから作製される。半導体層202は、p型又はn型ドーパントでドープされていてよい。ここに記載される1つ又は複数の実装態様を含むか又はそれらと組み合わせることのできる一実装態様において、半導体層202はp型ドーパント、例えばホウ素でドープされる。ここに記載される1つ又は複数の実装態様を含むか又はそれらと組み合わせることのできる一実装態様において、半導体層202はn型ドーパント、例えばリン又はヒ素でドープされる。半導体構造204は、半導体層202と同じ材料から作製される。ここに記載される1つ又は複数の実装態様を含むか又はそれと組み合わせることのできる一実装態様において、半導体構造204は半導体層202と一体である。誘電体材料206は、シャロートレンチアイソレーション(STI)領域とすることができ、SiO、SiN、SiCN、又は他の適切な誘電体材料から作製することができる。
[0016]基板200は、各半導体構造204から延びるソース/ドレイン領域208をさら含む。ここに記載される1つ又は複数の実装態様を含むか又はそれらと組み合わせることのできる一実装態様において、ソース/ドレイン領域208は、ソース領域又はドレイン領域である。ここに記載される1つ又は複数の実装態様を含むか又はそれらと組み合わせることのできる一実装態様において、ソース/ドレイン領域208は、図2Aに示されるように、マージされたソース及びドレイン領域208を含む。いずれの実装態様においても、ソース/ドレイン領域208は、半導体構造204上にエピタキシャル成長させる半導体材料から作製される。ソース/ドレイン領域208は、シリコン、ゲルマニウム、シリコン-ゲルマニウム、又はIII/V族化合物の半導体、例えばGaA、InGaAから作製される。ソース/ドレイン領域208は、p型又はn型ドーパントでドープされてもよい。一実施例において、ソース/ドレイン領域208は、p型ドーパント、例えばホウ素でドープされる。代替的に、ソース/ドレイン領域208は、n型ドーパント、例えばリン又はヒ素で.ドープされる。ソース/ドレイン領域208は、半導体構造204上にエピタキシャル成長させることができ、異なる表面平面上における異なる成長率のために、ソース/ドレイン領域208がダイヤモンド形状を有するようにファセットを形成することができる。
[0017]接触エッチングストップ層(CESL)210が、誘電体材料206及びソース/ドレイン領域208の上に形成される。CESL210は、窒化ケイ素、酸窒化ケイ素、炭窒化ケイ素、又はこれらの組み合わせといった誘電体材料から作製される。誘電体材料212は、CESL210の上に配置される。誘電体材料212は、層間誘電体とすることができ、酸化ケイ素、窒化ケイ素、酸窒化ケイ素、炭化ケイ素、又はこれらの組み合わせといった誘電体材料から作製され得る。基板200は、ソース/ドレイン領域208を横切って配置される複数のゲート(図示しない)を含み得る。
[0018]次に、工程104では、図2Bに示されるように、各ソース/ドレイン領域208を露出させるために、トレンチ214が誘電体材料212内に形成される。トレンチ214は、各ソース/ドレイン領域208の上に配置された誘電体材料212及びCESL210の一部分を除去することにより形成され、各ソース/ドレイン領域208の表面216が露出する。トレンチ214は、任意の適切な除去処理により形成され得る。一実施例において、トレンチ214は、反応性イオンエッチング(RIE)処理により形成される。単一のソース又はドレイン領域208が各トレンチ214内に露出する。代替的には、図2Bに示されるように、マージされたソース及びドレイン領域208は、各トレンチ214内に露出する。ソース/ドレイン領域208の一部分は、トレンチ214形成の間に除去される。浸食されたソース/ドレイン領域208は、増加した接触抵抗を有する。トレンチ214は、反応性イオンエッチング(RIE)チャンバ又は他の適切なエッチングチャンバ内に形成されてもよい。
[0019]次に、工程106では、前洗浄処理が、ソース/ドレイン領域208の露出した表面216に対して実施される。前洗浄処理は、炭素又は酸化物汚染物質といった汚染物質を除去するために、ソース/ドレイン領域208の表面216に対して実施される。前洗浄処理は、ドライエッチング、湿式エッチング、又はこれらの組み合わせといった任意の適切なエッチング処理とすることができる。ここに記載される1つ又は複数の実装態様を含むか又はそれらと組み合わせることのできる一実装態様において、前洗浄処理は、湿式エッチング処理と、それに続くドライエッチング処理とを含む。湿式エッチング処理は、アンモニア又はフッ化水素溶液を利用することができる。ドライエッチング処理は、プラズマエッチング処理とすることができ、エッチング液を含む水素又はフッ素を利用することができる。前洗浄処理は、ソース/ドレイン領域208のいかなる部分も実質的に除去しない。
[0020]前洗浄処理は、処理システムの第1の処理チャンバ内で実施される。一実施例において、前洗浄処理は、遠隔プラズマ源を使用して処理チャンバ内で実施される。前洗浄処理を実施するために適した1つの例示的処理チャンバは、Applied Materials,Inc.(Santa Clara,CA)から入手可能なAKTIV Pre-CleanTMチャンバ又はSICONI(登録商標)クリーンチャンバである。代替的に、前洗浄処理は、エッチングチャンバ、例えば誘導結合プラズマ(ICP)源を使用してエッチングチャンバ内で実施される。1つの例示的なエッチングチャンバは、Applied Materials Inc.(Santa Clara,CA)から入手可能な改良型分離プラズマ窒化(DPN)チャンバとすることができる。しかしながら、前洗浄処理を実施するために、他の製造者からの他の適切に構成されたチャンバも実装され得ると考慮される。
[0021]工程108では、図2Cに示されるように、表面216からあらゆる汚染物質を除去する前洗浄処理の後で、ドープされた半導体層220が、ソース/ドレイン領域208の洗浄済み表面216上に形成される。ドープされた半導体層220は、選択的エピタキシャル堆積処理により形成され得る。ドープされた半導体層220は、表面216、即ち、トレンチ214の底部上に形成され、選択的エピタキシャル堆積処理の結果としてトレンチ214の側壁218上には形成されない。選択的エピタキシャル堆積処理は、基板が摂氏約450度未満の温度に維持される間に実施される。ドープされた半導体層220は、ドープされた半導体層220内のドーパント濃度がソース/ドレイン領域208内のドーパント濃度より実質的に高いことを例外として、ソース/ドレイン領域208と同じ材料から作製することができる。例えば、ドープされた半導体層220は、インシトゥでホウ素及びガリウムでドープされたゲルマニウム-TiN(GeSn)、インシトゥでホウ素でドープされたシリコン-ゲルマニウム(SiGe)、インシトゥでホウ素及びガリウムでドープされたゲルマニウム(Ge)、又はインシトゥでリン及びヒ素でドープされたシリコンから作製される。ここに記載される1つ又は複数の実装態様を含むか又はそれらと組み合わせることのできる一実装態様において、ドープされた半導体層220は、ドーパント浸漬処理により形成される。ドーパント浸漬処理の間に、ソース/ドレイン領域208の頂部、例えば表面216から所定の深さまでが、ドープされた半導体層220に変換される。例えば、ソース/ドレイン領域208の表面216は、ドーパント浸漬処理の間に、ガリウム、ホウ素、リン、及びヒ素といった1つ又は複数のドーパントを含有する1つ又は複数のガスに露出される。ドープされた半導体層220は、約数オングストロームから約10nmの範囲の厚さを有し得る。ソース/ドレイン領域208内のドーパント濃度は、ドープされた半導体層220内のドーパント濃度未満である。ドープされた半導体層220内の増加したドーパント濃度は、接触抵抗を低減する。
[0022]ここに記載される1つ又は複数の実装態様を含むか又はそれらと組み合わせることのできる一実装態様において、ドープされた半導体層220は、Applied Materials,Inc.(Santa Clara,CA)から入手可能な減圧(RP)Epiチャンバ内に形成される。しかしながら、他の製造者からの他の適切に構成されたチャンバを実装して、ドープされた半導体層220を形成するための選択的エピタキシャル堆積又はドーパント浸漬処理を実施してもよい。
[0023]次に、工程110では、図2Dに示されるように、選択的エピタキシャル堆積処理により、ドープされた半導体層220の上に金属ケイ化物層222が形成される。金属ケイ化物層222は、ドープされた半導体層220、即ち、トレンチ214の底部上に形成され、選択的エピタキシャル堆積処理の結果としてトレンチ214の側壁218上には形成されない。金属ケイ化物層222は、ケイ化チタン、ケイ化コバルト、ケイ化ルテニウム、又は他の適切な金属ケイ化物とすることができる。金属ケイ化物層222は、数オングストロームから約10nmの範囲の厚さを有する。金属ケイ化物層222は、ドープされた半導体層220と同じ処理チャンバ内に形成されてもよい。
[0024]次に、工程112では、図2Eに示されるように、金属ケイ化物層222の上にキャップ層224が形成される。キャップ層224は、窒化物又は酸化物材料、例えば窒化チタン、窒化ケイ素、酸化アルミニウム、酸化ハフニウム、酸化ジルコニウム、又は酸化マンガンから作製され得る。キャップ層224は、原子層堆積(ALD)処理により形成することができ、図2Eに示されるようにキャップ層224は共形であり得る。キャップ層224がALD 処理によって形成される場合、キャップ層224は側壁218及び金属ケイ化物層222両方の上に形成される。キャップ層224の堆積は、ALDチャンバ内で実施され得る。ALDチャンバの一実施例は、Applied Materials,Inc.(Santa Clara,CA)から入手可能なOLYMPIA(登録商標)ALDチャンバであるが、他の適切なチャンバも利用可能である。
[0025]いくつかの実装態様では、キャップ層224は、金属ケイ化物層を窒化することにより形成され、キャップ層224は金属ケイ素窒化物層である。窒化処理は、窒素原子が、金属ケイ化物層222の露出表面に常在する原子と化学反応して表面窒化物層(例えば、キャップ層224)を形成するように、窒素含有プラズマ又は窒素含有周囲環境に金属ケイ化物層222を露出させることを含み得る。窒化処理は、誘導結合プラズマ(ICP)源、例えばApplied Materials,Inc.(Santa Clara,CA)から入手可能な改良型分離プラズマ窒化(DPN)チャンバ、又は他の適切なチャンバを使用するプラズマチャンバ内で実施することができる。
[0026]次に、工程114では、図2Fに示されるように、トレンチ214を充填するためにコンダクタ226がトレンチ214内に形成される。コンダクタ226は、金属などの導電性材料から作製される。一実施例において、コンダクタ226はコバルトから作製される。コンダクタ226は、1つ又は複数の堆積処理によって形成されてもよい。例えば、コンダクタ226は、まずシード層を形成し、次いでシード層にバルク充填することにより形成されてもよい。シード層及びバルク充填は、同じ材料から作製される。コンダクタ226は、化学気相堆積(CVD)又は物理的気相堆積(PVD)といった任意の適切な堆積方法により形成することができる。
[0027]図2Gは、図2Fに示されるラインA-Aにおける基板200の断面図である。図2Gに示されるように、ソース/ドレイン領域208は、半導体構造204から延びる。ドープされた半導体層220は、ソース/ドレイン領域208に配置され、金属ケイ化物層222は、ドープされた半導体層220の上に配置され、キャップ層224は金属ケイ化物層222の上に配置される。図2Gに示されるように、CESL210及び誘電体材料212は、ソース/ドレイン領域208の上方、例えばキャップ層224の上に配置され得る。隣接するソース/ドレイン領域208は、コンダクタ234により分離される。コンダクタ234は、トランジスタのゲートとして機能し得る。1つ又は複数の層が、ソース/ドレイン領域208とコンダクタ234の間に配置されてよい。例えば、図2Gに示されるように、スペーサ228、誘電体層230、及び仕事関数層232が、ソース/ドレイン領域208とコンダクタ234の間に配置される。スペーサ228は、酸化物又は窒化物といった誘電体材料から作製され得る。誘電体層230は、酸化ハフニウム又は酸化チタンといった高K誘電体層とすることができる。仕事関数層232は、窒化チタンといった窒化物層とすることができる。
[0028]再び図1を参照すると、工程106、108、110、及び112は、トランジスタ内のソース/ドレイン接点の接触抵抗を低減するために実施される。いくつかの実装態様では、工程106、108、110、及び112のうちの1つ又は複数の工程が、依然として接触抵抗の低減をしながら省略されてもよい。
[0029]ここに記載される1つ又は複数の実装態様を含むか又はそれらと組み合わせることのできる一実装態様においては、工程106及び108が実施された後、工程110及び112が実施されることなく工程114が実施される。例えば、ドープされた半導体層220がソース/ドレイン領域208に形成された後、コンダクタ226が、トレンチ214内と、ドープされた半導体層220上とに形成される。
[0030]ここに記載される1つ又は複数の実装態様を含むか又はそれらと組み合わせることのできる一実装態様において、工程110は、工程104の後、工程106及び108が実施されることなく実施される。例えば、ソース/ドレイン領域208を露出させるためにトレンチ214が形成された後、露出したソース/ドレイン領域208に金属ケイ化物層222が形成される。工程112及び114は、工程110に続いて実施される。
[0031]ここに記載される1つ又は複数の実装態様を含むか又はそれらと組み合わせることのできる一実装態様において、工程110は、工程106及び108が実施されることなく工程104の後で実施され、工程114は、工程112が実施されることなく工程110の後で実施される。例えば、ソース/ドレイン領域208を露出させるためにトレンチ214が形成された後、露出したソース/ドレイン領域208に金属ケイ化物層222が形成され、コンダクタ226がトレンチ214内と金属ケイ化物層222上とに形成される。
[0032]ここに提供される教示に従って適切に改良され得る処理システムの例には、ENDURA(登録商標)、PRODUCER(登録商標)又はCENTURA(登録商標)統合型処理システム又はカリフォルニア州サンタクララに所在のApplied Materials,Inc.から市販されている他の適切な処理システムが含まれる。ここに記載される態様の恩恵を受けるために他の処理システム(他の製造者からのものを含む)が採用されてもよいと考慮される。図3は、本開示の実装態様による、図1に示される方法100を完了するために使用することのできる例示的なマルチチャンバ処理システム300の概略上面図である。図3に示されるように、複数の処理チャンバ302が、第1の移送チャンバ304に連結される。第1の移送チャンバ304には、通過チャンバ306の第1の対も連結される。第1の移送チャンバ304は、通過チャンバ306と処理チャンバ302の間で基板を移送するための、中央に配置された移送ロボット(図示せず)を有する。通過チャンバ306は第2の移送チャンバ310に連結され、第2の移送チャンバは、前洗浄処理(工程106)を実施するように構成された処理チャンバ314と、エピタキシャル堆積処理(工程108/110)を実施するように構成された処理チャンバ316とに連結される。第2の移送チャンバ310は、ロードロックチャンバ312の組と処理チャンバ314又は処理チャンバ316との間で基板を移送するための、中央に配置された移送ロボット(図示せず)を有する。ファクトリインターフェース320は、ロードロックチャンバ312によって第2の移送チャンバ310に接続される。ファクトリインターフェース320は、ロードロックチャンバ312の反対側で1つ又は複数のポッド330に連結される。ポッド330は一般的に、洗浄室からアクセス可能な前方開口型統一ポッド(FOUP)である。
[0033]動作中、基板はまず処理チャンバ314に移送され、そこで基板のトランジスタのソース/ドレイン領域の露出表面から炭素又は酸化物汚染物といった汚染物質を除去するために前洗浄処理が実施される。汚染物質除去処理は、図1の工程106に記載されている。次いで基板は、工程108及び110が実施される処理チャンバ316に移送される。いくつかの実装態様では、処理チャンバ314及び/又は処理チャンバ316は、1つ又は複数の処理チャンバ302のうちのいずれかと入れ替えることができる。
[0034]基板は次いで、工程112及び工程114が実施される1つ又は複数の処理チャンバ302に移送される。工程106、108、110、112のすべては同じ処理システム300内で実施されるため、基板が種々のチャンバに移送される際に真空が破れることがなく、これにより汚染の可能性が低下し、堆積されるエピタキシャル膜の品質が改善される。
[0035]いくつかの実装態様では、トレンチ形成処理(工程104)を実施するために、処理チャンバ314、316及び1つ又は複数の処理チャンバ302を含む処理システムの一部ではないエッチングチャンバに基板が提供される。トレンチが誘電体材料内に形成されると、次いで基板はポッド330に移送される。次いで基板は、工程106が実施される処理チャンバ314に移送される。次いで基板は、工程108、110、112、及び114が実施される処理チャンバ302のうちの少なくとも1つと処理チャンバ316とに移送される。
[0036]システムコントローラ380は、処理システム300又はその構成要素を制御するための処理システム300に連結される。例えば、システムコントローラ380は、処理システム300のチャンバ302、304、306、310、312、314、316、320、330の直接制御を使用して、又はチャンバ302、304、306、310、312、314、316、320、330、360に関連付けられるコントローラを制御することにより、処理システム300の工程を制御することができる。動作中、システムコントローラ380は、処理システム300の性能を調整するために、それぞれのチャンバからのデータ収集及びフィードバックを可能にする。
[0037]システムコントローラ380は通常、中央処理装置(CPU)382、メモリ384、及び支持回路386を含む。CPU382は、工業環境で使用することのできる任意の形態の汎用プロセッサの1つであり得る。メモリ384、非一過性のコンピュータ可読媒体、又はマシン可読ストレージデバイスは、CPU382によりアクセス可能であり、ランダムアクセスメモリ(RAM)、リードオンリーメモリ(ROM)、フロッピーディスク、ハードディスク、又はローカル若しくは遠隔の任意の他の形態のデジタルストレージといったメモリのうちの1つ又は複数とすることができる。支持回路386は、CPU382に連結され、キャッシュ、クロック回路、入出力サブシステム、電源などを含み得る。システムコントローラ380は、メモリ384に記憶された方法100を実施するように構成される。本開示に開示される種々の実装態様は通常、例えば、コンピュータプログラム製品又はソフトウエアルーチンとして、メモリ384(又は特定の処理チャンバ内のメモリ)内に記憶されたコンピュータ命令コードを実行することにより、CPU382の制御下で実装され得る。つまり、コンピュータプログラム製品は、メモリ384(又は非一過性のコンピュータ可読媒体若しくはマシン可読ストレージデバイス)上に有形で実現される。コンピュータ命令コードがCPU382によって実行されると、CPU382は、種々の実装態様に従って工程を実施するようにチャンバを制御する。
[0038]要約すると、本開示の実装態様は、ソース/ドレイン接点形成の種々の工程を同じ処理システム内で実施することができる統合された処理を使用することにより、低減された接触抵抗でのソース/ドレイン接点の形成を可能にする。いくつかの実装態様では、接点トレンチの形成後、ソース/ドレイン領域における前洗浄処理と、ドープされた半導体層の形成とが実施される。ドープされた半導体層は、ソース/ドレイン領域より高いドーパント濃度を有し、より高いドーパント濃度は接触抵抗の低減をもたらす。
[0039]以上の記述は、本開示の実装態様を対象としているが、本開示の基本的な範囲から逸脱することなく、本開示の他の実装態様及び更なる実装態様が想起可能であり、本開示の範囲は特許請求の範囲によって決定される。

Claims (18)

  1. 接点を形成するための方法であって、
    ソース/ドレイン領域を露出させるために誘電体材料内にトレンチを形成することと、
    前記ソース/ドレイン領域に前洗浄処理を実施することと、
    ドープされた半導体層を前記ソース/ドレイン領域に形成することと、
    選択的エピタキシャル堆積処理により、前記ドープされた半導体層上に金属ケイ化物層を形成することと、
    前記トレンチをコンダクタで充填することと
    を含む方法。
  2. 前記トレンチを前記コンダクタで充填することに先立ち、前記ドープされた半導体層上に前記金属ケイ化物層を形成することをさらに含む、請求項1に記載の方法。
  3. 前記金属ケイ化物層上にキャップ層を形成することをさらに含み、前記コンダクタは前記キャップ層上に配置される、請求項2に記載の方法。
  4. 前記ドープされた半導体層が、ドープされたシリコン、ドープされたゲルマニウム、ドープされたシリコン-ゲルマニウム、又はドープされたIII/V族化合物の半導体を含み、前記ドープされた半導体層が選択的エピタキシャル堆積処理により形成される、請求項3に記載の方法。
  5. 前記金属ケイ化物層が、ケイ化チタン、ケイ化コバルト、又はケイ化ルテニウムを含、請求項4に記載の方法。
  6. 前記キャップ層が、窒化チタン、窒化ケイ素、酸化アルミニウム、酸化ハフニウム、酸化ジルコニウム、又は酸化マンガンを含み、前記キャップ層が原子層堆積処理により形成される、請求項5に記載の方法。
  7. 前記コンダクタが金属を含む、請求項6に記載の方法。
  8. 半導体構造から延びるソース/ドレイン領域と、
    ソース/ドレイン領域の第1の部分に配置された、ドープされた半導体層と、
    前記ドープされた半導体層上に配置された、選択的エピタキシャル堆積処理により形成された金属ケイ化物層と、
    前記金属ケイ化物層上に配置されたキャップ層と、
    前記キャップ層上に配置されたコンダクタと
    を含む半導体デバイス。
  9. 前記ソース/ドレイン領域が、シリコン、ゲルマニウム、シリコン-ゲルマニウム、又はIII/V族化合物の半導体を含む、請求項8に記載の半導体デバイス。
  10. 前記半導体構造が、シリコン、ゲルマニウム、シリコン-ゲルマニウム、又はIII/V族化合物の半導体を含む、請求項9に記載の半導体デバイス。
  11. 前記ドープされた半導体層が、ドープされたシリコン、ドープされたゲルマニウム、ドープされたシリコン-ゲルマニウム、又はドープされたIII/V族化合物の半導体を含み、前記ドープされた半導体層が選択的エピタキシャル堆積処理により形成される、請求項10に記載の半導体デバイス。
  12. 前記金属ケイ化物層が、ケイ化チタン、ケイ化コバルト、又はケイ化ルテニウムを含む、請求項11に記載の半導体デバイス。
  13. 前記キャップ層が、窒化チタン、窒化ケイ素、酸化アルミニウム、酸化ハフニウム、酸化ジルコニウム、又は酸化マンガンを含む、請求項12に記載の半導体デバイス。
  14. 前記ソース/ドレイン領域の第2の部分上に配置された接触エッチング停止層をさらに含む、請求項8に記載の半導体デバイス。
  15. 前記接触エッチング停止層が、窒化ケイ素、酸窒化ケイ素、炭窒化ケイ素、又はこれらの組み合わせを含む、請求項14に記載の半導体デバイス。
  16. 前記コンダクタが金属を含む、請求項13に記載の半導体デバイス。
  17. 第1の移送チャンバ、
    前記第1の移送チャンバに連結された複数の処理チャンバ、並びに
    命令を記憶する非一過性のコンピュータ可読媒体であって、前記命令は、プロセッサによって実行されると前記処理チャンバのうちの1つ又は複数において方法を実施し、前記方法が:
    ソース/ドレイン領域に前洗浄処理を実施すること、
    ドープされた半導体層を前記ソース/ドレイン領域に形成すること
    選択的エピタキシャル堆積処理により、前記ドープされた半導体層上に金属ケイ化物層を形成すること、及び
    前記ソース/ドレイン領域を露出させるために誘電体材料内に形成されているトレンチを、コンダクタで充填すること
    を含む、前記非一過性のコンピュータ可読媒体
    を備える基板処理システム。
  18. 前記方法が、前記第1の移送チャンバに連結された前記複数の処理チャンバのうちの1つにおいてエピタキシャル堆積処理を実施することをさらに含む、請求項17に記載の処理システム。
JP2021534958A 2018-12-21 2019-11-11 接触抵抗が低減された半導体デバイスの作製方法 Active JP7326447B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201862783851P 2018-12-21 2018-12-21
US62/783,851 2018-12-21
PCT/US2019/060722 WO2020131248A1 (en) 2018-12-21 2019-11-11 Method of fabricating a semiconductor device having reduced contact resistance

Publications (2)

Publication Number Publication Date
JP2022515080A JP2022515080A (ja) 2022-02-17
JP7326447B2 true JP7326447B2 (ja) 2023-08-15

Family

ID=71097799

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2021534958A Active JP7326447B2 (ja) 2018-12-21 2019-11-11 接触抵抗が低減された半導体デバイスの作製方法

Country Status (6)

Country Link
US (2) US11195923B2 (ja)
JP (1) JP7326447B2 (ja)
KR (1) KR20210094134A (ja)
CN (1) CN113228250A (ja)
TW (1) TWI756583B (ja)
WO (1) WO2020131248A1 (ja)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11195923B2 (en) * 2018-12-21 2021-12-07 Applied Materials, Inc. Method of fabricating a semiconductor device having reduced contact resistance
US11107752B2 (en) * 2020-01-03 2021-08-31 International Business Machines Corporation Half buried nFET/pFET epitaxy source/drain strap
US11349005B2 (en) * 2020-05-22 2022-05-31 Taiwan Semiconductor Manufacturing Company, Ltd. Silicide structures in transistors and methods of forming
US20230187507A1 (en) * 2021-12-10 2023-06-15 Intel Corporation Wrap-around contact with reduced resistance
EP4287241A4 (en) 2022-04-18 2023-12-27 Changxin Memory Technologies, Inc. SEMICONDUCTOR STRUCTURE AND PRODUCTION PROCESS THEREOF
CN116959984A (zh) * 2022-04-18 2023-10-27 长鑫存储技术有限公司 半导体结构及其制备方法

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000164529A (ja) 1998-11-27 2000-06-16 Mitsubishi Electric Corp 半導体装置およびその製造方法
JP2001308322A (ja) 2000-04-26 2001-11-02 Hitachi Ltd 半導体集積回路装置の製造方法
JP2004253797A (ja) 2003-02-21 2004-09-09 Hynix Semiconductor Inc エピタキシャルc49相のチタニウムシリサイド層を有する半導体素子及びその製造方法
JP2009543357A (ja) 2006-06-30 2009-12-03 アプライド マテリアルズ インコーポレイテッド エピタキシーチャンバにおける基板の予備洗浄
JP2012156451A (ja) 2011-01-28 2012-08-16 Elpida Memory Inc 半導体装置及びその製造方法
US20160005824A1 (en) 2014-07-01 2016-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structures and methods of forming the same
US20160020301A1 (en) 2014-07-21 2016-01-21 Samsung Electronics Co., Ltd. Methods of manufacturing semiconductor devices
JP2017224666A (ja) 2016-06-14 2017-12-21 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US20180174913A1 (en) 2016-12-15 2018-06-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor Device and Method

Family Cites Families (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5976976A (en) 1997-08-21 1999-11-02 Micron Technology, Inc. Method of forming titanium silicide and titanium by chemical vapor deposition
US6130145A (en) 1998-01-21 2000-10-10 Siemens Aktiengesellschaft Insitu doped metal policide
JP2003023000A (ja) * 2001-07-11 2003-01-24 Hitachi Ltd 半導体装置の製造方法
US7064078B2 (en) 2004-01-30 2006-06-20 Applied Materials Techniques for the use of amorphous carbon (APF) for various etch and litho integration scheme
US20070196011A1 (en) 2004-11-22 2007-08-23 Cox Damon K Integrated vacuum metrology for cluster tool
US20070048451A1 (en) * 2005-08-26 2007-03-01 Applied Materials, Inc. Substrate movement and process chamber scheduling
KR101200938B1 (ko) 2005-09-30 2012-11-13 삼성전자주식회사 반도체 장치의 패턴 형성 방법
WO2007130916A2 (en) 2006-05-01 2007-11-15 Applied Materials, Inc. A method of ultra-shallow junction formation using si film alloyed with carbon
US7960236B2 (en) 2006-12-12 2011-06-14 Applied Materials, Inc. Phosphorus containing Si epitaxial layers in N-type source/drain junctions
WO2008078782A1 (ja) 2006-12-27 2008-07-03 Ntt Docomo, Inc. 動画像符号化装置、動画像復号装置、動画像符号化方法、動画像復号方法、動画像符号化プログラム及び動画像復号プログラム
US20090004875A1 (en) 2007-06-27 2009-01-01 Meihua Shen Methods of trimming amorphous carbon film for forming ultra thin structures on a substrate
JP5431752B2 (ja) * 2009-03-05 2014-03-05 ルネサスエレクトロニクス株式会社 半導体集積回路装置の製造方法
US7842622B1 (en) 2009-05-15 2010-11-30 Asm Japan K.K. Method of forming highly conformal amorphous carbon layer
US8178439B2 (en) * 2010-03-30 2012-05-15 Tokyo Electron Limited Surface cleaning and selective deposition of metal-containing cap layers for semiconductor devices
US9484432B2 (en) 2010-12-21 2016-11-01 Intel Corporation Contact resistance reduction employing germanium overlayer pre-contact metalization
WO2012122166A2 (en) 2011-03-07 2012-09-13 Clean Ethics, Llc Cleaning formulations and uses thereof
AT12563U1 (de) 2011-03-17 2012-07-15 Wollsdorf Leder Schmidt & Co Ges M B H Verfahren zur herstellung von leder zum beledern von flugzeugsitzen
US9059024B2 (en) 2011-12-20 2015-06-16 Intel Corporation Self-aligned contact metallization for reduced contact resistance
US9147765B2 (en) * 2012-01-19 2015-09-29 Globalfoundries Inc. FinFET semiconductor devices with improved source/drain resistance and methods of making same
JP5358725B1 (ja) 2012-07-02 2013-12-04 株式会社アライヘルメット マイクロフォンの保持装置及びオープンフェースヘルメット
US9177820B2 (en) 2012-10-24 2015-11-03 Globalfoundries U.S. 2 Llc Sub-lithographic semiconductor structures with non-constant pitch
US8946029B2 (en) 2012-11-12 2015-02-03 GlobalFoundries, Inc. Methods of manufacturing integrated circuits having FinFET structures with epitaxially formed source/drain regions
US9076759B2 (en) * 2013-01-10 2015-07-07 United Microelectronics Corp. Semiconductor device and manufacturing method of the same
EP2818768B1 (en) 2013-06-28 2019-01-09 Honda Motor Co., Ltd. Shift position detecting device
US20150151329A1 (en) 2013-12-02 2015-06-04 Kabushiki Kaisha Toshiba Pattern Forming Method
DE202014103102U1 (de) 2014-07-07 2015-10-12 Grass Gmbh Vorrichtung zur Bewegungsführung eines Schubelements und Kücheneinrichtung
US9324843B2 (en) 2014-09-05 2016-04-26 International Business Machines Corporation High germanium content silicon germanium fins
US9362285B2 (en) * 2014-10-02 2016-06-07 International Business Machines Corporation Structure and method to increase contact area in unmerged EPI integration for CMOS FinFETs
US9412850B1 (en) 2015-01-15 2016-08-09 Taiwan Semiconductor Manufacturing Co., Ltd. Method of trimming fin structure
KR102491118B1 (ko) 2015-07-03 2023-01-20 삼성디스플레이 주식회사 표시 패널 어셈블리 및 표시 패널 제조 방법
WO2017052905A1 (en) * 2015-09-22 2017-03-30 Applied Materials, Inc. Apparatus and method for selective deposition
US9812451B2 (en) 2016-02-03 2017-11-07 Taiwan Semiconductor Manufacturing Company, Ltd Field effect transistor contact with reduced contact resistance
US9741812B1 (en) * 2016-02-24 2017-08-22 International Business Machines Corporation Dual metal interconnect structure
US9685439B1 (en) * 2016-05-02 2017-06-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9853129B2 (en) 2016-05-11 2017-12-26 Applied Materials, Inc. Forming non-line-of-sight source drain extension in an nMOS finFET using n-doped selective epitaxial growth
US10128337B2 (en) 2016-06-03 2018-11-13 Applied Materials, Inc. Methods for forming fin structures with desired profile for 3D structure semiconductor applications
JP6805577B2 (ja) 2016-06-29 2020-12-23 富士ゼロックス株式会社 液滴吐出装置
US9893189B2 (en) 2016-07-13 2018-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Method for reducing contact resistance in semiconductor structures
US11195923B2 (en) * 2018-12-21 2021-12-07 Applied Materials, Inc. Method of fabricating a semiconductor device having reduced contact resistance
US11935887B2 (en) * 2019-03-28 2024-03-19 Intel Corporation Source or drain structures with vertical trenches

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000164529A (ja) 1998-11-27 2000-06-16 Mitsubishi Electric Corp 半導体装置およびその製造方法
JP2001308322A (ja) 2000-04-26 2001-11-02 Hitachi Ltd 半導体集積回路装置の製造方法
JP2004253797A (ja) 2003-02-21 2004-09-09 Hynix Semiconductor Inc エピタキシャルc49相のチタニウムシリサイド層を有する半導体素子及びその製造方法
JP2009543357A (ja) 2006-06-30 2009-12-03 アプライド マテリアルズ インコーポレイテッド エピタキシーチャンバにおける基板の予備洗浄
JP2012156451A (ja) 2011-01-28 2012-08-16 Elpida Memory Inc 半導体装置及びその製造方法
US20160005824A1 (en) 2014-07-01 2016-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structures and methods of forming the same
US20160020301A1 (en) 2014-07-21 2016-01-21 Samsung Electronics Co., Ltd. Methods of manufacturing semiconductor devices
JP2017224666A (ja) 2016-06-14 2017-12-21 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US20180174913A1 (en) 2016-12-15 2018-06-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor Device and Method

Also Published As

Publication number Publication date
TW202029504A (zh) 2020-08-01
US20200203490A1 (en) 2020-06-25
KR20210094134A (ko) 2021-07-28
US11195923B2 (en) 2021-12-07
JP2022515080A (ja) 2022-02-17
TWI756583B (zh) 2022-03-01
WO2020131248A1 (en) 2020-06-25
CN113228250A (zh) 2021-08-06
US20220093749A1 (en) 2022-03-24

Similar Documents

Publication Publication Date Title
JP7326447B2 (ja) 接触抵抗が低減された半導体デバイスの作製方法
US20200098860A1 (en) Inner spacer for nanosheet transistors
CN105321818B (zh) Finfet热保护方法及其相关结构
TWI424474B (zh) 半導體裝置及其製造方法
CN102832246B (zh) 半导体器件及其制造方法
US9842910B2 (en) Methods for manufacturing devices with source/drain structures
CN106158747B (zh) 半导体结构及其形成方法
CN103035527B (zh) 源极和漏极凹槽的氮钝化
TW201407675A (zh) 半導體裝置及其製造方法
JP7277585B2 (ja) 処理システム及び接点を形成する方法
JP7266105B2 (ja) 半導体デバイス、半導体デバイスの製造方法、および処理システム
US20180151680A1 (en) Semiconductor device structure and method for forming the same
CN107591367B (zh) 半导体结构的制造方法
TWI821319B (zh) 利用先進控制方式的整合cmos源極汲極形成
CN113284803A (zh) 形成半导体器件的方法
US20240203741A1 (en) Cavity shaping and selective metal silicide formation for cmos devices
CN104183496B (zh) 鳍式场效应晶体管器件的制造方法
JP2023166416A (ja) トランジスタのヒ素拡散プロファイルエンジニアリング
TWI521709B (zh) 半導體結構及積體電路之製造方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210827

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210827

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20220914

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20221004

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20230104

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230306

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20230704

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20230802

R150 Certificate of patent or registration of utility model

Ref document number: 7326447

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150