TWI810838B - 電晶體及其形成方法 - Google Patents

電晶體及其形成方法 Download PDF

Info

Publication number
TWI810838B
TWI810838B TW111108334A TW111108334A TWI810838B TW I810838 B TWI810838 B TW I810838B TW 111108334 A TW111108334 A TW 111108334A TW 111108334 A TW111108334 A TW 111108334A TW I810838 B TWI810838 B TW I810838B
Authority
TW
Taiwan
Prior art keywords
gate dielectric
dielectric
gate
layer
active layer
Prior art date
Application number
TW111108334A
Other languages
English (en)
Other versions
TW202245273A (zh
Inventor
戴銘昆
邱維剛
張以澄
吳正一
蔡瀚霆
林燦
林仲德
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202245273A publication Critical patent/TW202245273A/zh
Application granted granted Critical
Publication of TWI810838B publication Critical patent/TWI810838B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/7869Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising an oxide semiconductor material, e.g. zinc oxide, copper aluminium oxide, cadmium stannate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823857Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41733Source or drain electrodes for field effect devices for thin film transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42364Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the insulating layer, e.g. thickness or uniformity
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66969Multistep manufacturing processes of devices having semiconductor bodies not comprising group 14 or group 13/15 materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L2029/42388Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor characterised by the shape of the insulating material

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Thin Film Transistor (AREA)
  • Formation Of Insulating Films (AREA)
  • Oscillators With Electromechanical Resonators (AREA)

Abstract

一種薄膜電晶體,包含閘極電極埋置於絕緣層中,絕緣層上覆於基板。薄膜電晶體更包含閘極介電質上覆於閘極電極。薄膜電晶體更包含主動層,包括化合物半導體材料並上覆於閘極介電質。薄膜電晶體更包含源極電極與汲極電極,與主動層的末端部分接觸。閘極介電質在其與絕緣層的界面上方可具有較厚部分,以抑制氫的擴散。額外地或者作為替代,可***包含介電金屬氧化物材料的鈍化蓋介電質於主動層與上覆於主動層的介電層之間,以抑制氫的擴散。

Description

電晶體及其形成方法
本發明實施例是關於電晶體,特別是關於包含氫擴散阻障的電晶體。
已開發多種電晶體結構以滿足各種設計標準。由氧化物半導體所形成的薄膜電晶體(thin film transistors;TFT)對於後段製程(back-end-of-line;BEOL)整合來說是個具有吸引力的選擇,因為薄膜電晶體的製程可在低溫下進行,且因此將不會損害之前製造的裝置。例如,製造條件以及技術不會損害之前所製造的前段製程(front-end-of-line;FEOL)以及中段製程(middle end-of-line;MEOL)的裝置。
本發明實施例提供一種電晶體,包含閘極電極,埋置於絕緣層中,絕緣層上覆於基板;閘極介電質,包含中心閘極介電部分,中心閘極介電部分具有第一厚度並與閘極電極的頂表面接觸,以及一對周圍閘極介電部分,此對周圍閘極介電部分具有大於第一厚度的第二厚度,此對周圍閘極介電部分與絕緣層的頂表面接觸,並藉由中心閘極介電部分將彼此橫向地分隔;主動層,包含化合物半導體材料且主動層上覆於閘極介電質;源極電極,與主動層的第一末端部分接觸;以及汲極電極,與主動層的第二末端部分接觸。
本發明實施例提供一種電晶體,包含閘極電極,埋置於絕緣層中,絕緣層上覆於基板;閘極介電質,位於閘極電極上方;主動層,包含化合物半導體材料;源極電極,與主動層的第一末端部分接觸;汲極電極,與主動層的第二末端部分接觸;鈍化蓋介電質,包含介電金屬氧化物材料且鈍化蓋介電質上覆於主動層;以及介電層,橫向地圍繞主動層、源極電極、以及汲極電極,並與鈍化蓋介電質的整個頂表面接觸。
本發明實施例提供一種電晶體的形成方法,包含形成閘極電極於絕緣層中,絕緣層位於基板上方;形成閘極介電質於閘極電極上方,其中閘極介電質包含中心閘極介電部分,具有第一厚度並與閘極電極的頂表面接觸,以及一對周圍閘極介電部分,具有大於第一厚度的第二厚度並與絕緣層的頂表面接觸;形成包含化合物半導體材料的主動層於閘極介電質上方;以及形成源極電極及汲極電極於主動層的多個周圍部分上,其中源極電極及汲極電極藉由閘極電極將彼此橫向地分隔。
以下揭露提供了許多的實施例或範例,用於實施所提供的標的物之不同元件。各元件和其配置的具體範例描述如下,以簡化本發明實施例之說明。當然,這些僅僅是範例,並非用以限定本發明實施例。舉例而言,敘述中若提及第一元件形成在第二元件之上,可能包含第一和第二元件直接接觸的實施例,也可能包含額外的元件形成在第一和第二元件之間,使得它們不直接接觸的實施例。此外,本發明實施例可能在各種範例中重複參考數值以及∕或字母。如此重複是為了簡明和清楚之目的,而非用以表示所討論的不同實施例及∕或配置之間的關係。
再者,其中可能用到與空間相對用詞,例如「在……之下」、「下方」、「較低的」、「上方」、「較高的」等類似用詞,是為了便於描述圖式中一個(些)部件或特徵與另一個(些)部件或特徵之間的關係。空間相對用詞用以包括使用中或操作中的裝置之不同方位,以及圖式中所描述的方位。當裝置被轉向不同方位時(旋轉90度或其他方位),其中所使用的空間相對形容詞也將依轉向後的方位來解釋。具有相同參考標號的元件代表相同的元件,且除非另有明確說明,皆假定其具有相同的材料成分以及相同的厚度範圍。
電晶體,特別是薄膜電晶體,可能會遭受電性不穩定的影響。因此,電晶體的性能表現可能會受到影響。一般而言,使用半導體金屬氧化物通道的薄膜電晶體的不穩定性可能是由製造電晶體所使用的製程與環境中的變異(variability)所引起。舉例來說,氫原子與氧空位(vacancies)可能會使電晶體的半導體金屬氧化物材料的電性特性不穩定。此外,氫原子以及氧空位的原子濃度的變異可能造成電晶體中的電性特徵的不穩定。舉例來說,氫原子可能從未摻雜矽酸鹽玻璃(undoped silicate glass)穿過鋁氧化物擴散至銦鎵鋅氧化物(indium gallium zinc oxide;IGZO)之中,而大氣中的氧原子與水蒸氣可能被吸收至由半導體金屬氧化物材料所組成的背側通道(back channel)之中,且可能對電晶體的可靠度造成有害的(deleterious)影響。
本揭露的結構以及方法可用於增強電晶體(例如,薄膜電晶體)的主動層中的半導體金屬氧化物材料的電性穩定性。因此,結構實施例與方法實施例可增強電晶體的性能表現。在一實施例中,可圖案化閘極介電質以提供距離閘極電極較遠的區域增強的厚度區域。額外地或者作為替代,使用了諸如氧化鉿的介電金屬氧化物材料的鈍化蓋介電質(passivation capping dielectric)可用於減少氫原子進入(ingress)主動層之中的情況。本揭露的各種結構與方法可用於增加半導體金屬氧化物材料(諸如銦鎵鋅氧化物)的電性穩定性,並增加裝置性能以及電晶體的可靠度。本發明的各種實施例現在將參照所附圖示來進行描述。
參見第1圖,根據本發明的第一實施例,繪示出第一例示性結構。第一例示性結構包含基板8,其可為半導體基板,諸如市售的矽基板。基板8至少在其上部部份可包含半導體材料層9。半導體材料層9可為塊體(bulk)半導體基板的表面部分,或者可為絕緣體上覆半導體(semiconductor-on-insulator;SOI)基板的頂部半導體層。在一實施例中,半導體材料層9包含單晶(single crystalline)半導體材料,諸如單晶矽。在一實施例中,基板8可包含單晶矽基板,其包含單晶矽材料。例示性結構可包含記憶體區100以及邏輯區200。
淺溝槽隔離(shallow trench isolation;STI)結構720包含介電材料,諸如可形成於半導體材料層9的上部部分中的氧化矽。可形成合適的摻雜半導體井(諸如p型井以及n型井)於被淺溝槽隔離結構720的一部份橫向地圍繞的每個區域之內。可形成場效電晶體701(field effect transistors;FET)於半導體材料層9的頂表面上方。舉例來說,每個場效電晶體701可包含源極電極732、汲極電極738、半導體通道735(包含了基板8延伸至源極電極732與汲極電極738之間的表面部分)、以及閘極結構750。半導體通道735可包含單晶半導體材料。每個閘極結構750可包含閘極介電層752、閘極電極754、閘極蓋介電質758(gate cap dielectric)、以及介電閘極間隔物756。可形成源極側金屬-半導體合金區742(source-side metal-semiconductor alloy region)於每個源極電極732上,以及可形成汲極側金屬-半導體合金區748(drain-side metal-semiconductor alloy region)於每個汲極電極738上。
在可隨後形成記憶體單元(memory cells)的陣列(array)於介電層的水平的實施例中,場效電晶體701可包含電路,其提供了操作記憶體單元的陣列的功能。具體來說,周圍(peripheral)區域中的裝置可配置來控制記憶體單元的陣列的程序化操作(programming operation)、抹除操作(erase operation)、以及感測(讀取)操作(sensing operation)。舉例來說,周圍區域中的裝置可包含感測電路及∕或程序化電路。形成於半導體材料層9的頂表面上的裝置可包含互補式金屬-氧化物-半導體(complementary metal-oxide-semiconductor;CMOS)電晶體,以及可選地包含額外的半導體裝置(諸如電阻器、二極體、電容器等),並被統稱作互補式金-氧-半電路700。
在互補式金-氧-半電路700中的一或多個場效電晶體701可包含半導體通道735,其包含基板8中的半導體材料層9的一部份。若半導體材料層9包含諸如單晶矽的單晶半導體材料,在互補式金-氧-半電路700中的每個場效電晶體701的半導體通道735可包含諸如單晶矽通道的單晶半導體通道。在一實施例中,在互補式金-氧-半電路700中的複數個場效電晶體701可包含各自的節點(node),節點隨後電性地連接至後續形成的各自的鐵電(ferroelectric)記憶體單元的節點。舉例來說,在互補式金-氧-半電路700中的複數個場效電晶體701可包含各自的源極電極732或各自的汲極電極738,其隨後電性地連接至後續形成的各自的鐵電記憶體單元的節點。
在一實施例中,互補式金-氧-半電路700可包含程序化控制電路,其被配置來控制用於程序化各自的鐵電記憶體單元的場效電晶體701的集合(set)的閘極電壓,以及控制後續形成的薄膜電晶體的閘極電壓。在此實施例中,程序化控制電路可被配置來提供第一程序化脈衝(pulse),第一程序化脈衝程序化了所選的鐵電記憶體單元中的各自的鐵電介電層至第一偏振態(polarization state)之中,其中鐵電介電層中的電性偏振指向所選的鐵電記憶體單元的第一電極;以及被配置來提供第二程序化脈衝,第二程序化脈衝程序化所選的鐵電記憶體單元中的各自的鐵電介電層至第二偏振態之中,其中鐵電介電層中的電性偏振指向所選的鐵電記憶體單元的第二電極。
在一實施例中,基板8可包含單晶矽基板,而場效電晶體701可包含單晶矽基板作為半導體通道的各自的部分。如同此處所使用的用詞,「半導體元件」表示元件具有範圍為1.0×10 -6S∕cm至1.0×10 5S∕cm的電性導電性。如同本揭露所使用的用詞,「半導體材料」表示材料在沒有電性摻質的情況下具有範圍為1.0×10 -6S∕cm至1.0×10 5S∕cm的電性導電性,且能在合適的摻雜電性摻質後成為具有範圍為1.0 S∕cm至1.0×10 5S∕cm的電性導電性的摻雜材料。
根據本揭露的一面向,場效電晶體701可隨後電性地連接至存取電晶體的汲極電極以及閘極電極,存取電晶體包含之後將形成於場效電晶體701上方的主動層。在一實施例中,場效電晶體701的子集合(subset)可隨後電性地連接至汲極電極與閘極電極的至少一者。舉例來說,場效電晶體701可包含第一字元線(word line)驅動,其被配置來透過後續形成的低層級(low-level)金屬互連(interconnect)結構的第一子集合施加第一閘極電壓至第一字元線,以及包含第二字元線驅動,其被配置來透過低層級金屬互連結構的第二子集合施加第二閘極電壓至第二字元線。此外,場效電晶體701可包含位元線(bit line)驅動,其被配置來施加位元線偏壓至後續形成的位元線,以及包含感測放大器(sense amplifiers),其被配置來在讀取操作的期間偵測流過位元線的電流。
可隨後形成各種金屬互連結構(形成於介電層之內的金屬互連結構)於基板8以及基板8上方的半導體裝置上方(諸如場效電晶體701)。在繪示的示例中,介電層可包含例如第一介電層601(有時被稱作接觸件層級(contact-level)介電層601),其可為圍繞連接至源極與汲極的接觸件結構的膜層、第一互連層級(interconnect-level)介電層610、以及第二互連層級介電層620。金屬互連結構可包含裝置接觸導孔(contact via)結構612,其形成於第一介電層601中並接觸互補式金-氧-半電路700的各自的元件;第一金屬線結構618,形成於第一互連層級介電層610中;第一金屬導孔結構622,形成於第二互連層級介電層620的較低部分中;以及第二金屬導線結構628,形成於第二互連層級介電層620的上部部分中。
每個介電層(601、610、及620)可包含介電材料,諸如未摻雜矽酸鹽玻璃、摻雜矽酸鹽玻璃、有機矽酸鹽玻璃、非晶氟化碳(amorphous fluorinated carbon)、上述之多孔性變體(porous variants)、或上述之組合。每個金屬互連結構(612、618、622、及628)可包含至少一種導電材料,其可為金屬襯層(liner)(諸如金屬氮化物或金屬碳化物)以及金屬填充材料的組合。每個金屬襯層可包含TiN、TaN、WN、TiC、TaC、以及WC,而每個金屬填充材料可包含W、Cu、Al、Co、Ru、Mo、Ta、Ti、上述之合金、及∕或上述之組合。也可使用在本揭露的考慮範圍之內的其他合適的金屬襯層以及金屬填充材料。在一實施例中,第一金屬導孔結構622以及第二金屬導線結構628可藉由雙重鑲嵌(dual damascene)製程形成作整合的導線與導孔結構。介電層(601、610、及620)在本文被稱作低低層級(lower-lower-level)介電層。形成於低層級介電層之內的金屬互連結構(612、618、622、及628)在本文被稱作低層級金屬互連結構。
儘管本揭露是使用可將電晶體形成於第二互連層級介電層620上方的實施例來描述,但本揭露也明確地考慮了記憶體單元的陣列可形成於不同的金屬互連層級的其他實施例。再者,儘管本揭露是使用將半導體基板用作為基板8的實施例來描述,但本揭露也明確地考慮了將絕緣基板或導電基板用作為基板8的多個實施例。
在電晶體(諸如薄膜電晶體)的陣列或者鐵電記憶體單元的陣列的形成之前,所形成的所有介電層的集合被統稱作低層級介電層(601、610、及620)。形成於低層級介電層(601、610、及620)之內的所有金屬互連結構的集合在本文被稱作第一金屬互連結構(612、618、622、及628)。一般而言,形成於至少一個低層級介電層(601、610、及620)之內的第一金屬互連結構(612、618、622、及628)可形成於位於基板8中的半導體材料層9的上方。
根據本揭露的一面向,薄膜電晶體可隨後形成於金屬互連層級中,金屬互連層級上覆於包含低層級介電層(601、610、及620)以及第一金屬互連結構(612、618、622、及628)的金屬互連層級。在一實施例中,可形成具有均勻厚度的平坦介電層於低層級介電層(601、610、及620)上方。平面介電層在本揭露被稱作絕緣間隔物層635。絕緣間隔物層635包含介電材料,諸如未摻雜矽酸鹽玻璃、摻雜矽酸鹽玻璃、有機矽酸鹽玻璃、或多孔介電材料,且可藉由化學氣相沉積(chemical vapor deposition;CVD)來沉積。儘管可使用更小或更大的厚度。絕緣間隔物層635的厚度範圍可為20奈米至300奈米。
一般來說,包含於金屬互連結構(諸如第一金屬互連結構(612、618、622、及628)之中的互連層級介電層(諸如低層級介電層(601、610、及620))可形成於半導體裝置上方。絕緣間隔物層635可形成於互連層級介電層上方。
在一實施例中,基板8可包含單晶矽基板,而埋置於低層級金屬互連結構(612、618、622、及628)的低層級介電層(601、610、及620)可位於單晶矽基板上方。場效電晶體701包含單晶矽基板作為通道的各自的部分可形成於低層級介電層(601、610、及620)之內。場效電晶體可隨後電性地連接至後續形成的一個、多個、或每個薄膜電晶體的閘極電極、源極電極、以及汲極電極三者的至少一個。
可以可選地形成蝕刻停止介電層636於絕緣間隔物層635上方。蝕刻停止介電層636包含蝕刻停止介電材料,其在後續蝕刻介電材料(後續將沉積於蝕刻停止介電層636上方)的非等向性蝕刻製程期間能對蝕刻化學提供更高的抗蝕刻能力。舉例來說,蝕刻停止介電層636可包含氮碳化矽、氮化矽、氮氧化矽、或諸如氧化鋁的介電金屬氧化物。儘管也可使用更小或更大的厚度,蝕刻停止介電層636的厚度範圍可為2奈米至40奈米,諸如4奈米至20奈米。
參見第2A、2B圖以及第2C圖,繪示出第一例示性結構的區域,其將對應至後續可形成電晶體(例如,薄膜電晶體)的區域。儘管本揭露是使用電晶體的單一實例來描述,應理解的是,電晶體的多個實例可同時形成於本揭露的任何例示性結構中。
可形成絕緣層42於絕緣間隔物層635上方以及於可選的蝕刻停止介電層636上方。絕緣層42包含介電材料,諸如未摻雜矽酸鹽玻璃、摻雜矽酸鹽玻璃、有機矽酸鹽玻璃、或多孔介電材料,且可藉由化學氣相沉積來沉積。儘管也可使用更小或更大的厚度,絕緣層42的厚度範圍可為20奈米至300奈米。多重電晶體,諸如多重薄膜電晶體,可隨後形成於絕緣層42上方。在一實施例中,多重電晶體可沿著第一水平方向hd1以及沿著垂直於第一水平方向hd1的第二水平方向hd2來配置。
參見第3A、3B圖以及第3C圖,可形成光阻層(未繪出)於絕緣層42的頂表面上方,且可進行光學微影地圖案化以形成開口於繪示的區域之內。在一實施例中,開口可為具有一對沿著第一水平方向hd1的寬度方向側壁以及具有一對沿著第二水平方向hd2的長度方向側壁的矩形開口。可執行非等向性蝕刻製程以轉移光阻層中開口的圖案至絕緣層42的上部部分之中。可形成凹槽區11(recess region)於絕緣層42的上部部分中。凹槽區11也被稱作閘極溝槽。
在一實施例中,儘管可使用更小或更大的寬度,凹槽區11沿著第一水平方向hd1的寬度範圍可為20奈米至300奈米。在一實施例中,儘管可使用更小或更大的長度,凹槽區11沿著第二水平方向hd2的長度範圍可為30奈米至3000奈米。凹槽區11的深度可與絕緣層42的厚度相同。因此,露出了可選的蝕刻停止介電層636的頂表面或者在沒有使用蝕刻停止介電層636的實施例中露出了絕緣間隔物層635的頂表面。可隨後藉由諸如灰化(ashing)來移除光阻層。
參見第4A、4B圖以及第4C圖,可沉積至少一種導電材料於凹槽區11中。所述至少一種導電材料可包含例如金屬阻障襯層材料(諸如TiN、TaN、及∕或WN)以及金屬填充材料(諸如Cu、W、Mo、Co、Ru等)。也可使用在本揭露的考慮範圍之內的其他合適的金屬阻障襯層以及金屬填充材料。所述至少一種導電材料的多餘部分可自包含絕緣層42的頂表面的水平平面上方藉由平坦化處理來移除,平坦化處理可包含化學機械拋光(chemical mechanical polishing;CMP)處理及∕或凹蝕蝕刻製程。平坦化處理可使用化學機械拋光處理或凹蝕蝕刻製程。可形成閘極電極15於凹槽區11中。閘極電極15可形成於絕緣層42之內。閘極電極15的頂表面可位於與絕緣層42的頂表面相同的水平平面之內。
參見第5A、5B圖以及第5C圖,可沉積第一閘極介電層101L於絕緣層42的頂表面上以及於閘極電極15的頂表面上。第一閘極介電層101L可藉由第一介電金屬氧化物的沉積來形成,諸如氧化鉿、氧化鋯、氧化鉿鋯、氧化釔、氧化鑭、氧化鉭、氧化鈦、氧化鍶、氧化鋁、或上述之合金。第一閘極介電金屬氧化物可藉由原子層沉積(atomic layer deposition;ALD)或化學氣相沉積來沉積。儘管也可使用更小或更大的厚度,第一閘極介電層101L的厚度範圍可為1奈米至100奈米,諸如3奈米至30奈米。第一閘極介電層101L的厚度的上限是受制於過厚的閘極介電質所導致的導通電流的降低。第一閘極介電層101L的厚度的下限是受制於橫跨薄閘極介電質中的漏電流的增加。
參見第6A、6B圖以及第6C圖,可形成光阻層37於第一閘極介電層101L的頂表面上方,且可進行光學微影地圖案化以形成包含閘極電極15的區域的開口。在一實施例中,光學微影地圖案化的光阻層37可具有筆直的邊緣,此邊緣上覆於或者相鄰於閘極電極15沿著第二水平方向hd2橫向地延伸的側壁。在一實施例中,圖案化的光阻層37中的開口沿著第一水平方向hd1可具有均勻的寬度,此寬度在沿著第二水平方向hd2的平移下是不變的。在一實施例中,所述均勻的寬度可相同於或者小於閘極電極15沿著第一水平方向hd1的寬度。
可執行蝕刻製程以移除第一閘極介電層101L未被圖案化的光阻層37遮蓋的部分。在一實施例中,蝕刻製程可包括等向性蝕刻製程,諸如濕式蝕刻製程。在另一實施例中,蝕刻製程可包括非等向性蝕刻製程,諸如反應離子蝕刻(reactive ion etch;RIE)製程。在一實施例中,圖案化的光阻層37的剩餘部分的實體地(physically)露出的表面可包括漸縮(tapered)側壁,漸縮側壁相對於垂直方向具有範圍為10度至80度的漸縮角度。可隨後藉由諸如灰化來移除光阻層37。在一實施例中,第一閘極介電層101L位於第一閘極介電層101L的頂表面下方的表面部分可在光阻層37的移除期間被側向地(collaterally)凹蝕。在此實施例中,第一閘極介電層101L的厚度可藉由凹槽距離來減少,其減少範圍為0.1奈米至5奈米,諸如0.2奈米至2奈米。
參見第7A、7B圖以及第7C圖,可依序沉積第二閘極介電層102L、連續主動層20L、以及鈍化蓋介電層30L。第二閘極介電層102L可沉積於第一閘極介電層101L的圖案化部分上方(並直接沉積於其上)以及於閘極電極15的頂表面上。第二閘極介電層102L可藉由第二介電金屬氧化物材料的沉積來形成,諸如氧化鉿、氧化鋯、氧化鉿鋯、氧化釔、氧化鑭、氧化鉭、氧化鈦、氧化鍶、氧化鋁、或上述之合金。第二閘極介電層102L的第二介電金屬氧化物材料可相同於或者可不同於第一閘極介電層101L的第一介電金屬氧化物材料。第二閘極介電金屬氧化物材料可藉由原子層沉積或化學氣相沉積來沉積。儘管也可使用更小或更大的厚度,第二閘極介電層102L的厚度範圍可為1奈米至100奈米,諸如3奈米至30奈米。第二閘極介電層102L的厚度的上限是受制於過厚的閘極介電質所導致的導通電流的降低。第二閘極介電層102L的厚度的下限是受制於橫跨薄閘極介電質中的漏電流的增加。
第一閘極介電層101L與第二閘極介電層102L的膜層堆疊被統稱作閘極介電層10L。閘極介電層10L具有第一區(在本揭露被稱作中心閘極介電部分),第一區具有第一厚度t1且上覆於閘極電極15並與閘極電極15接觸,閘極介電層10L也具有第二區(在本揭露被稱作周圍閘極介電部分),第二區具有第二厚度t2且上覆於絕緣層42並與絕緣層42接觸。在一實施例中,第一厚度t1與第二閘極介電層102L的厚度相同,且其範圍可為1奈米至100奈米。第二厚度t2與第一閘極介電層101L的厚度以及第二閘極介電層102L的厚度的總和相同。在一實施例中,儘管可使用更小或更大的厚度,第二厚度t2之範圍可為2奈米至200奈米,諸如6奈米至60奈米。第二厚度t2的下限可能受制於上覆於絕緣層42的閘極介電層10L的部分的效率,其目的為阻擋從絕緣層42擴散出來的氫。第二厚度t2的上限可能受制於維持後續形成的薄膜電晶體的高導通電流的需求。
可沉積連續主動層20L於閘極介電層10L上方。連續主動層20L包括、及∕或基本上是由化合物半導體材料所組成。在一實施例中,連續主動層20L包含半導體金屬氧化物材料,其在電性摻質(可為p型摻質或n型摻質)的合適摻雜後提供範圍為1.0 S∕cm至1.0×10 5S∕cm的電性導電性。
可用於連續主動層的例示性半導體金屬氧化物材料包括但不限於四元化合物(quaternary compounds),諸如銦鎵鋅氧化物、銦鎢鋅氧化物、錫鎵鋅氧化物、以及錫鎢鋅氧化物;三元化合物(ternary compounds),諸如銦錫氧化物、銦鎵氧化物、銦鋅氧化物、銦鎢氧化物、錫鎵氧化物、以及錫鎢氧化物;以及五元化合物(quinary compounds),諸如銦鎵鋅錫氧化物。在一實施例中,連續主動層20L的半導體金屬氧化物材料可包括一個含重後過渡金屬(heavy-post-transition-metal-containing)氧化物材料或者複數個含重後過渡金屬氧化物材料。
如同本揭露所使用的,後過渡金屬元素指的是不屬於鹼金屬(alkali metals)、鹼土金屬(alkaline earth metals)、外過渡金屬(outer transition metals)、或內過渡金屬(inner transition metals)(亦即,鑭系元素(Lanthanides)以及錒系元素(Actinides))的金屬元素。因此,後過渡金屬元素包含鋁、鋅、鎵、鎘、銦錫、汞、鉈、鉛、鉍、以及釙。輕後過渡金屬元素包含鋁、鋅、以及鎵。重過渡後金屬元素包含鎘、銦錫、汞、鉈、鉛、鉍、以及釙。
連續主動層20L可包含非晶半導體金屬氧化物材料。在一實施例中,連續主動層20L可藉由單元層(unit layer)堆疊沉積製程的多次疊代(iteration)沉積來形成。每個單元層堆疊沉積製程包含受體型氧化物沉積製程(acceptor-type oxide deposition process),其以受體型氧化物層的形式沉積選自鎵(Ga)以及鎢(W)的受體型元素的氧化物;後過渡金屬氧化物沉積製程,其以後過渡金屬氧化物層的形式沉積選自銦(In)以及錫(Sn)的重後過渡金屬元素的氧化物;以及可選地包含氧化鋅沉積製程,其以氧化鋅層的形式沉積氧化鋅。儘管可使用更小或更大的厚度,連續主動層20L的厚度範圍可為3奈米至100奈米,諸如5奈米至50奈米,及∕或10奈米至30奈米。
在一實施例中,相對於連續主動層20L的底表面上覆於閘極介電層10L的周圍閘極介電部分的部分,連續主動層20L的底表面位於閘極介電層10L的中心閘極介電部分上方的部分可垂直地內凹。相對於連續主動層20L的頂表面上覆於閘極介電層10L與絕緣層42之間的界面的部分,連續主動層20L的頂表面上覆於閘極介電層10L的中心閘極介電部分的部分垂直地內凹。連續主動層20L的頂表面上覆於閘極介電層10L的中心閘極介電部分的內凹部分沿著第一水平方向hd1可具有第一寬度w1。閘極電極15沿著第一水平方向hd1可具有第二寬度w2。在一實施例中,第一寬度w1可以與第二寬度w2相同,或者可小於第二寬度w2。在此實施例中,閘極介電層10L的中心閘極介電部分的寬度可小於閘極電極15的寬度(亦即,第二寬度w2),而閘極介電層10L的周圍閘極介電部分可在靠近閘極電極15的側壁的區域中提供足夠的氫阻隔,以防止氫原子進入連續主動層20L的半導體金屬氧化物材料之中。
鈍化蓋介電層30L包含、及∕或基本上是由介電金屬氧化物形成,且可形成於連續主動層20L上方。鈍化蓋介電層30L可藉由第三介電金屬氧化物的沉積來形成,諸如氧化鉿、氧化鋯、氧化鉿鋯、氧化釔、氧化鑭、氧化鉭、氧化鈦、氧化鍶、氧化鋁、或上述之合金。鈍化蓋介電層30L的第三介電金屬氧化物可以相同於或者不同於第一閘極介電層101L的第一介電金屬氧化物。鈍化蓋介電層30L的第三介電金屬氧化物可以相同於或者不同於第二閘極介電層102L的第二介電金屬氧化物。第三閘極介電金屬氧化物材料可藉由原子層沉積或化學氣相沉積來沉積。儘管可使用更小或更大的厚度,鈍化蓋介電層30L的厚度範圍可為1奈米至200奈米,諸如3奈米至100奈米。鈍化蓋介電層30L的厚度的上限可能受制於後續用於形成源極凹孔以及汲極凹孔的非等向性蝕刻製程的持續時間。鈍化蓋介電層30L的厚度的下限可能受制於鈍化蓋介電層30L作為氫阻隔結構的效率。換句話說,鈍化蓋介電層30L需要具有足夠的厚度來有效地阻擋氫原子、氧原子、以及水分子擴散出來。鈍化蓋介電層30L與連續主動層20L的頂表面接觸。可選地,連續主動層20L以及鈍化蓋介電層30L可在相同的製程腔室(chamber)中形成。
參見第8A、8B圖以及第8C圖,可形成光阻層(未繪出)於鈍化蓋介電層30L上方,且可光學微影地圖案化以形成不連續的圖案化光阻材料部份,沿著第一水平方向hd1跨越各自的閘極電極15。在一實施例中,光阻層的每個圖案化部分可具有矩形或圓角矩形的水平剖面形狀。光阻層中的圖案可藉由執行非等向性蝕刻製程轉移至鈍化蓋介電層30L、連續主動層20L、以及閘極介電層10L。鈍化蓋介電層30L的每個圖案化部分包含鈍化蓋介電質30。連續主動層20L的每個圖案化部分包含主動層20。閘極介電層10L的每個圖案化部分包含閘極介電質10。
在一實施例中,每個主動層20可具有矩形或圓角矩形的水平剖面形狀。在一實施例中,儘管可使用更小或更大的橫向尺寸,每個主動層20沿著第一水平方向hd1可具有橫向尺寸,其範圍為60奈米至1000奈米,諸如100奈米至300奈米。在一實施例中,儘管可使用更小或更大的橫向尺寸,每個主動層20沿著第二水平方向hd2可具有橫向尺寸,其範圍為20奈米至500奈米,諸如40奈米至250奈米。儘管可使用更小或更大的比例,在每個主動層20中,沿著第一水平方向hd1的橫向尺寸對沿著第二水平方向hd2的橫向尺寸的比例範圍可為0.5至4,例如可為1至2。
一般而言,可形成閘極電極15、閘極介電質10、主動層20、以及鈍化蓋介電質30的垂直堆疊於上覆於基板8的低層級介電層(601、610、及620)上方。閘極介電質10與主動層20的側壁可垂直地重合,亦即,可位於相同的垂直平面之內。光阻層隨後可藉由諸如灰化來移除。
閘極介電質10的側壁可與主動層20的側壁以及鈍化蓋介電質30的側壁垂直地重合。如同本揭露在此處所使用的,如果第一表面位於第二表面之上或之下,並且如果存在一個包含第一表面以及第二表面的垂直平面,則稱第一表面與第二表面垂直地重合。
在一實施例中,閘極介電質10包含中心閘極介電部分10C,其具有第一厚度t1並與閘極電極15的頂表面接觸;以及包含一對周圍閘極介電部分10P,其具有大於第一厚度t1的第二厚度t2並與絕緣層42的頂表面接觸。此對周圍閘極介電部分10P可藉由中心閘極介電部分10C將彼此橫向地分隔。在一實施例中,此對周圍閘極介電部分10P的底表面以及中心閘極介電部分10C的底表面可位於相同的水平平面之內,此水平平面可包含閘極介電質10與閘極電極15之間的界面以及閘極介電質10與絕緣層42之間的界面。
第一閘極介電層101L的剩餘部分包含第一閘極介電質101。第二閘極介電層102L的剩餘部分包含第二閘極介電質102。第一閘極介電質101與第二閘極介電質102的堆疊構成了閘極介電質10。
在一實施例中,閘極介電質10可包含一對漸縮區(taper region),此對漸縮區具有浮動厚度(variable thickness)且將中心閘極介電部分10C連接至所述周圍閘極介電部分10P的各自部分。此對漸縮區的每一個具有與主動層20的漸縮底表面接觸的漸縮頂表面。閘極介電質10包含一對浮動厚度部分上覆於閘極電極15位於此對漸縮區之內的周圍部分。
在一實施例中,鈍化蓋介電質30的底表面包含一對漸縮表面區段(segments),與主動層20的多個漸縮頂表面區段接觸;以及包含水平底表面區段,鄰接此對漸縮表面區段的多個底部邊緣並與主動層20的水平表面區段接觸,主動層20的水平表面區段為內凹表面並具有第一寬度w1。
參見第9A、9B圖以及第9C圖,可沉積介電層48於鈍化蓋介電質30上方。介電層48同樣被稱作電極層級(electrode-level)介電層。介電層48包含介電材料,諸如未摻雜矽酸鹽玻璃、摻雜矽酸鹽玻璃、有機矽酸鹽玻璃、氮氧化矽、或上述之堆疊。可選地,可平坦化介電層48以提供平坦的頂表面。絕緣層42與介電層48的集合在本揭露被稱作薄膜電晶體層級(thin-film-transistor-level;TFT-level)介電層40,亦即,位於薄膜電晶體的水平的介電層。介電層48可包含與絕緣層42相同或者不同的介電材料。儘管可使用更小或更大的厚度,介電層48的厚度從鈍化蓋介電質30的上方開始量測,其範圍可為1奈米至1000奈米,諸如10奈米至500奈米,及∕或100奈米至300奈米。
參見第10A、10B圖以及第10C圖,可形成光阻層47於薄膜電晶體層級介電層40上方,且可光學微影地圖案化以形成不連續的開口於光阻層47中。光阻層47中的開口的圖案包含一對開口上覆於主動層20的末端部分,以及包含上覆於閘極電極15未被主動層20覆蓋的部分的開口。光阻層47中此對開口之間的橫向間距在本揭露被稱作第三寬度w3。
參見第11A、11B圖以及第11C圖,光阻層47中的不連續開口的圖案可藉由進行非等向性蝕刻製程轉移至介電層48以及鈍化蓋介電質30,以形成源極凹孔51、汲極凹孔59、以及閘極接觸導孔凹孔19。源極凹孔51與汲極凹孔59之間的橫向間距可為第三寬度w3,其可大於第一寬度w1,且可大於、小於、或者與第二寬度w2相同。非等向性蝕刻製程可對主動層20以及閘極電極15的材料具有選擇性。然而,由於用來形成源極凹孔51以及汲極凹孔59的非等向性蝕刻製程具有有限的選擇性,主動層20位於源極凹孔51以及汲極凹孔59下方的表面可能垂直地內凹。儘管可使用更小或更大的厚度,垂直凹槽距離之範圍可為0.1奈米至6奈米,諸如0.3奈米至3奈米。光阻層47隨後可藉由諸如灰化來移除。
參見第12A、12B圖以及第12C圖,可沉積至少一種導電材料於凹孔(51、19、及59)中以及於薄膜電晶體層級介電層40上方。所述至少一種導電材料可包含金屬襯層材料以及金屬填充材料。金屬襯層材料可包含導電金屬氮化物或者導電金屬碳化物,諸如TiN、TaN、WN、TiC、TaC、及∕或WC。儘管可使用更小或更大的厚度,金屬襯層材料的厚度範圍可為1奈米至100奈米,諸如3奈米至30奈米。金屬填充材料可包含W、Cu、Al、Co、Ru、Mo、Ta、Ti、上述之合金、及∕或上述之組合。也可使用在本揭露的考慮範圍之內的其他合適的材料。
可藉由平坦化處理從包含薄膜電晶體層級介電層40的頂表面的水平平面上方移除所述至少一種導電材料的多餘部分,平坦化處理可使用化學機械拋光處理及∕或凹蝕蝕刻製程。也可使用其他合適的平坦化處理。所述至少一種導電材料的每個剩餘部分填充了源極凹孔51並構成源極電極52。所述至少一種導電材料的每個剩餘部分填充了汲極凹孔59並構成汲極電極56。所述至少一種導電材料的每個剩餘部分填充了背側電極接觸導孔凹孔19並構成背側電極接觸導孔結構18,其與閘極電極15的頂表面接觸。
在一實施例中,每個源極電極52可包含源極金屬襯層53,其為金屬襯層材料的剩餘部分;以及源極金屬填充材料部分54,其為金屬填充材料的剩餘部分。每個汲極電極56可包含汲極金屬襯層57,其為金屬襯層材料的剩餘部分;以及汲極金屬填充材料部分58,其為金屬填充材料的剩餘部分。每個背側電極接觸導孔結構18可包含閘極接觸金屬襯層,其為金屬襯層材料的剩餘部分;以及閘極接觸金屬填充材料部份17,其為金屬填充材料的剩餘部分。儘管可使用更小或更大的高度,源極金屬填充材料部份54以及汲極金屬填充材料部份58的高度範圍可為1奈米至1000奈米,諸如10奈米至300奈米,及∕或30奈米至100奈米。
一般來說,源極電極52以及汲極電極56可穿過鈍化蓋介電質30形成於主動層20的各自表面區段上。源極電極52以及汲極電極56可形成於主動層20的周圍部分上,並藉由閘極電極15將彼此橫向地分隔。源極電極52與主動層20的第一末端部分接觸,而汲極電極56與主動層20的第二末端接觸。
鈍化蓋介電質30可包含、及∕或基本上是由介電金屬氧化物形成,且可與主動層20的頂表面接觸。鈍化蓋介電質30可橫向地延伸於源極電極52與汲極電極56之間,並與源極電極52與汲極電極56的側壁接觸。
介電層48可橫向地圍繞主動層20、源極電極52、以及汲極電極56,且可與鈍化蓋介電質30的整個頂表面接觸。相對於主動層20與源極電極52的側壁或者汲極電極56的側壁接觸的水平表面,主動層20的頂表面可於中心閘極介電部分10C上方垂直地內凹。在一實施例中,源極電極52以及汲極電極56的頂表面位於與介電層48的頂表面相同的水平平面之內。
參見第13A、13B圖以及第13C圖,根據本發明的第二實施例,第二例示性結構可從第4A、4B圖以及第4C圖中所繪示的第一例示性結構藉由形成閘極介電層10L於絕緣層42的頂表面上以及於閘極電極15的頂表面上來推導出。閘極介電層10L可藉由第一介電金屬氧化物的沉積來形成,諸如氧化鉿、氧化鋯、氧化鉿鋯、氧化釔、氧化鑭、氧化鉭、氧化鈦、氧化鍶、氧化鋁、或上述之合金。第一閘極介電金屬氧化物可藉由原子層沉積或化學氣相沉積來沉積。儘管也可使用更小或更大的厚度,閘極介電層10L的厚度範圍可為1奈米至200奈米,諸如6奈米至100奈米。閘極介電層10L的厚度的上限是受制於過厚的閘極介電質所導致的導通電流的降低。閘極介電層10L的厚度的下限是受制於橫跨薄閘極介電質中的漏電流的增加。
參見第14A、14B圖以及第14C圖,可形成光阻層37於閘極介電層10L的頂表面上方,且可光學微影地圖案化以形成包含閘極電極15的區域的開口。在一實施例中,光學微影地圖案化的光阻層37可具有筆直的邊緣,此邊緣上覆於或者相鄰於閘極電極15沿著第二水平方向hd2橫向地延伸的側壁。在一實施例中,圖案化的光阻層37中的開口沿著第一水平方向hd1可具有均勻的寬度,此寬度在沿著第二水平方向hd2的平移下是不變的。在一實施例中,所述均勻的寬度可相同於或者小於閘極電極15沿著第一水平方向hd1的寬度。
可執行凹蝕蝕刻製程以移除閘極介電層10L未被圖案化的光阻層37遮蓋的部分。閘極介電層10L的未遮蓋部分可藉由提供能蝕刻閘極介電層10L的材料的蝕刻劑穿過圖案化的光阻層37中的開口來薄化。在一實施例中,凹蝕蝕刻製程可包含等向性蝕刻製程,諸如濕式蝕刻製程。在另一實施例中,凹蝕蝕刻製程可包含非等向性蝕刻製程,諸如反應離子蝕刻製程。根據本揭露的一面向,控制了凹蝕蝕刻製程的持續時間,使得閘極介電層10L的未遮蓋部分具有較薄的厚度(此較薄的厚度在本揭露被稱作第一厚度t1),其小於閘極介電層10L的初始厚度(此初始厚度在本揭露被稱作第二厚度t2)。
在一實施例中,圖案化的光阻層37的剩餘部分的實體地露出的表面可包含漸縮側壁,漸縮側壁相對於垂直方向具有範圍為10度至80度的漸縮角度。可隨後藉由諸如灰化來移除光阻層37。在一實施例中,第一厚度t1之範圍可為1奈米至100奈米。閘極介電層10L的中心部分(亦即,中心閘極介電部分)被薄化。在一實施例中,閘極介電層10L的薄化的中心部分可具有相同於或者小於其下方的閘極電極15沿著第一水平方向hd1的寬度。
參見第15A、15B圖以及第15C圖,可藉由執行第7A、7B圖以及第7C圖的製程步驟來形成連續主動層20L以及鈍化蓋介電層30L於閘極介電層10L上方。隨後,可執行第8A、8B、8C、9A、9B、9C、10A、10B、10C、11A、11B、11C、12A、12B圖以及第12C圖的製程步驟以提供如第15A、15B圖以及第15C圖中所繪示的第二例示性結構,第二例示性結構可具有與第12A、12B圖以及第12C圖中所繪示的第一例示性結構相同的結構特性,但差別在於閘極介電質10在所有區域中具有同質的(homogenous)材料成分且不具有任何內部的界面(諸如存在於第12A、12B圖以及第12C圖中所繪示的第一例示性結構之內的第一閘極介電質101與第二閘極介電質102之間的界面)。
一般來說,閘極介電層10L可被圖案化為閘極介電質10,使得閘極介電質10的側壁與主動層20的側壁以及鈍化蓋介電質30的側壁垂直地重合。閘極介電質10在所有區域中具有相同的介電金屬氧化物材料成分。閘極介電質10包含中心閘極介電部分10C,其具有第一厚度t1並與閘極電極15的頂表面接觸;以及包含一對周圍閘極介電部分10P,其具有大於第一厚度t1的第二厚度t2,其與絕緣層42的頂表面接觸並藉由中心閘極介電部分10C將彼此橫向地分隔。
參見第16A、16B圖以及第16C圖,根據本發明的第三實施例,第三例示性結構可從第12A、12B圖以及第12C圖中所繪示的第一例示性結構藉由將第二閘極介電質102使用不同於第一閘極介電質101的介電金屬氧化物材料來推導出。換句話說,第二閘極介電質102的第二介電金屬氧化物材料不同於第一閘極介電質101的第一介電金屬氧化物材料。在一實施例中,第二閘極介電質102的厚度與材料成分可擇自能最佳化薄膜電晶體的性能表現的厚度與材料成分,而第一閘極介電質101的厚度與材料成分可擇自能增強阻擋氫、氧、以及水分子穿過的厚度與材料成分。舉例來說,第一閘極介電質101可包含氧化鑭或氧化釔,而第二閘極介電質102可包含鉿。
在此實施例中,閘極介電質10可包括一對第一閘極介電部分,其為第一閘極介電質101的一部份,位於所述周圍閘極介電部分10P中,所述第一閘極介電部分包含第一介電金屬氧化物材料,且藉由中心閘極介電部分10C橫向地分隔;以及包括第二閘極介電部分(亦即,第二閘極介電質102)連續地延伸橫跨所述周圍閘極介電部分10P及中心閘極介電部分10C,第二閘極介電部分包含第二介電金屬氧化物材料,其具有不同於第一介電金屬氧化物材料的材料成分。在一實施例中,第二閘極介電部分與閘極電極15的頂表面接觸,且與所述第一閘極介電部分的頂表面接觸。
在一實施例中,閘極介電質10包含一對漸縮區,此對漸縮區具有浮動厚度且上覆於閘極電極15的周圍部分。一般來說,閘極介電質10包含第二閘極介電質102的介電金屬氧化物材料;以及第一閘極介電質101的至少一種額外的介電金屬氧化物材料,其可為複數個介電金屬氧化物材料。在第一閘極介電質101包含複數個介電金屬氧化物材料的實施例中,第一閘極介電質101可使用多重介電金屬氧化物層的膜層堆疊。
在一實施例中,鈍化蓋介電質30的第三介電金屬氧化物材料可具有與第一閘極介電質101的所述至少一種額外的介電金屬氧化物材料相同的材料成分,或者可具有與第一閘極介電質101的所述至少一種額外的介電金屬氧化物材料的任何一種都不相同的材料成分。鈍化蓋介電質30的第三介電金屬氧化物材料可具有與第二閘極介電質102的第二介電金屬氧化物材料相同的材料成分,或者可具有與第二閘極介電質102的第二介電金屬氧化物材料不同的材料成分。在一實施例中,鈍化蓋介電質30的第三介電金屬氧化物材料可具有與第一閘極介電質101以及第二閘極介電質102的一者相同的材料成分。
參見第17A、17B圖以及第17C圖,根據本發明的第四實施例,第四例示性結構可從第12A、12B圖以及第12C圖中所繪示的第一例示性結構藉由將第一閘極介電質101、第二閘極介電質102、以及鈍化蓋介電質30的每一個都使用不同的材料來推導出。換句話說,第一閘極介電質101的第一介電金屬氧化物材料、第二閘極介電質102的第二介電金屬氧化物材料、以及鈍化蓋介電質30的第三介電金屬氧化物材料彼此都不相同。舉例來說,第一閘極介電質101可包含氧化鈦、氧化鉭、氧化釔、以及氧化鑭的一者,第二閘極介電質102可包含氧化鉿,而鈍化蓋介電質30可包含氧化鈦、氧化鉭、氧化釔、以及氧化鑭的另外一者。
參見第18A、18B圖以及第18C圖,根據本發明的第四實施例,第四例示性結構的第一替代配置可從第17A、17B圖以及第17C圖中所繪示的第四例示性結構藉由將第一閘極介電質101以及第二閘極介電質102使用相同的介電金屬氧化物材料來推導出。舉例來說,第一閘極介電質101以及第二閘極介電質102可包含氧化鉿,而鈍化蓋介電質30可包含氧化鈦、氧化鉭、氧化釔、以及氧化鑭的一者。
參見第19A、19B圖以及第19C圖,根據本發明的第四實施例,第四例示性結構的第二替代配置可從第17A、17B圖以及第17C圖中所繪示的第四例示性結構藉由將第二閘極介電質102與鈍化蓋介電質30使用相同的介電金屬氧化物材料來推導出。舉例來說,第一閘極介電質101可包含氧化鈦、氧化鉭、氧化釔、以及氧化鑭的一者,而第二閘極介電質102以及鈍化蓋介電質30可包含氧化鉿。
參見第20A、20B圖以及第20C圖,根據本發明的第五實施例,第五例示性結構可從之前描述的任何例示性結構藉由將源極電極52以及汲極電極56橫向地分隔使得第三寬度(亦即,源極電極52與汲極電極56之間的橫向間距)大於第二寬度(亦即,閘極電極15沿著第一水平方向hd1的寬度)來推導出。第五例示性結構可用於提供具有較低的漏電流的薄膜電晶體。
參見第21A、21B圖以及第21C圖,根據本發明的第六實施例,第六例示性結構可從之前描述的任何例示性結構藉由省略鈍化蓋介電質30的形成來推導出。儘管第六例示性結構並未提供具有能阻擋氫、氧、以及水蒸氣擴散的好處的鈍化蓋介電質30,第六例示性結構仍提供了能阻擋氫、氧、以及水蒸氣從絕緣層42擴散穿過閘極介電質10至主動層20之中的好處。
參見第22A、22B圖以及第22C圖,根據本揭露的第七實施例,第七例示性結構可從第一例示性結構、第二例示性結構、第三例示性結構、第四例示性結構、以及第五例示性結構的任何一者藉由省略閘極介電質10的薄化部分的形成來推導出。換句話說,閘極介電質10在所有區域中可具有均勻的厚度。儘管第七例示性結構並未提供能藉由閘極介電質10的較厚部分與上方的絕緣層42的界面來阻擋氫、氧、以及水蒸氣擴散的好處,第七例示性結構仍提供了能阻擋氫、氧、以及水蒸氣從介電層48擴散穿過鈍化蓋介電質30至主動層20之中的好處。
參見第23圖,繪示出閘極介電質10如何增強主動層20的表面特性的穩定性的機制的示意圖。在此實施例中,在閘極介電質10的介電金屬氧化物材料之內的金屬元素的原子可擴散至主動層20的半導體金屬氧化物材料的界面區之中,並減少氧間隙(interstitials)與氧空位,且主動層20的半導體金屬氧化物材料的電性穩定性可得到增強。
參見第24圖,繪示出製造本發明的半導體裝置的一般製程步驟的流程示意圖。參見步驟2410與第1、2A、2B、2C、3A、3B、3C、4A、4B圖以及第4C圖,可形成埋置於絕緣層42中的閘極電極15於基板8上方。
參見步驟2420與第5A、5B、5C、6A、6B、6C、7A、7B、7C、8A、8B、8C、13A、13B、13C、14A、14B、14C、15A、15B、15C、16A、16B、16C、17A、17B、17C、18A、18B、18C、19A、19B、19C、20A、20B、20C、21A、21B圖以及第21C圖,可形成閘極介電質10於閘極電極15上方。閘極介電質10包含中心閘極介電部分10C,其具有第一厚度t1並與閘極電極15的頂表面接觸;以及包含一對周圍閘極介電部分10P,其具有大於第一厚度t1的第二厚度t2並與絕緣層42的頂表面接觸。
參見步驟2430與第7A、7B、7C、8A、8B、8C、15A、15B、15C、16A、16B、16C、17A、17B、17C、18A、18B、18C、19A、19B、19C、20A、20B、20C、21A、21B圖以及第21C圖,可形成包括化合物半導體材料的主動層20於閘極介電質10上方。
參見步驟2440與第9A、9B、9C、10A、10B、10C、11A、11B、11C、12A、12B、12C、15A、15B、15C、16A、16B、16C、17A、17B、17C、18A、18B、18C、19A、19B、19C、20A、20B、20C、21A、21B圖以及第21C圖,可形成源極電極52以及汲極電極56於主動層20的周圍部分上。源極電極52以及汲極電極56是藉由閘極電極15將彼此橫向地分隔。
參見所有圖式並根據本發明的各種實施例,提供了一種電晶體,其包含閘極電極15,埋置於絕緣層42中,絕緣層42上覆於基板8;閘極介電質10,包括中心閘極介電部分10C,中心閘極介電部分10C具有第一厚度t1並與閘極電極15的頂表面接觸,以及包括一對周圍閘極介電部分10P,所述周圍閘極介電部分10P具有大於第一厚度t1的第二厚度t2,所述周圍閘極介電部分10P與絕緣層42的頂表面接觸,並藉由中心閘極介電部分10C將彼此橫向地分隔;主動層20,其可包括化合物半導體材料且主動層20上覆於閘極介電質10;源極電極52,與主動層20的第一末端部分接觸;以及汲極電極56,與主動層20的第二末端部分接觸。
根據本發明的各種實施例,提供了一種電晶體,其包含閘極電極15,埋置於絕緣層42中,絕緣層42上覆於基板8;閘極介電質10,位於閘極電極15上方;主動層20,其可包括化合物半導體材料;源極電極52,與主動層20的第一末端部分接觸;汲極電極56,與主動層20的第二末端部分接觸;鈍化蓋介電質30,其可包括介電金屬氧化物材料且鈍化蓋介電質30上覆於主動層20;以及介電層48,橫向地圍繞主動層20、源極電極52、以及汲極電極56,並與鈍化蓋介電質30的整個頂表面接觸。
根據本發明的各種實施例,提供了一種電晶體的形成方法,其包含形成閘極電極15於絕緣層42中,絕緣層42位於基板8上方;形成閘極介電質10於閘極電極15上方,其中閘極介電質10包含中心閘極介電部分10C,具有第一厚度t1並與閘極電極15的頂表面接觸,以及包含一對周圍閘極介電部分10P,具有大於第一厚度t1的第二厚度t2並與絕緣層42的頂表面接觸;形成包括化合物半導體材料的主動層20於閘極介電質10上方;以及形成源極電極52以及汲極電極56於主動層20的多個周圍部分上,其中源極電極52以及汲極電極56藉由閘極電極15將彼此橫向地分隔。
在本發明的一些實施例中,閘極介電質10可具有圖案,使得閘極介電質10在閘極電極15與主動層20之間的位置包含一個薄區域;以及在下方的絕緣層42與主動層20從閘極電極15的區域橫向地偏移的部分之間包含多個厚區域。在一些實施例中,閘極介電質10中的金屬元素的多個離子(諸如閘極介電質10包含氧化鉿的多個實施例中的Hf 4+離子)可擴散至主動層20的半導體金屬氧化物材料(諸如銦鎵鋅氧化物材料)之中並抑制氧缺陷(deficiencies),以及防止氫從絕緣層42的介電材料(諸如未摻雜矽酸鹽)擴散至半導體金屬氧化物材料之中。因此,包含了閘極介電質10中的金屬元素的原子以及形成於靠近閘極介電質10的主動層20的表面部分中的金屬氧化物材料可藉由避免氫擴散至主動層20之中的有害影響來改善薄膜電晶體的裝置穩定性。
在使用了鈍化蓋介電質30的實施例中,鈍化蓋介電質30中的金屬元素的離子(諸如鈍化蓋介電質30包含氧化鉿的多個實施例中的Hf 4+離子)可擴散至主動層20的半導體金屬氧化物材料(諸如銦鎵鋅氧化物材料)之中並抑制氧缺陷,以及防止氫從介電層48的介電材料(諸如未摻雜矽酸鹽)擴散至半導體金屬氧化物材料之中。因此,包含了鈍化蓋介電質30中的金屬元素的原子以及形成於靠近鈍化蓋介電質30的主動層20的表面部分中的金屬氧化物材料可藉由避免氫擴散至主動層20之中的有害影響來改善薄膜電晶體的裝置穩定性。
一般來說,在主動層20的表面區域之內來自閘極介電質10或鈍化蓋介電質30的金屬原子之間的金屬-氧化物鍵結(諸如Hf-O鍵結)可以防止其在表面區域之內形成氧空位。因此,氧空位的抑制可抑制氫、氧、或水分子擴散至主動層20之中,且可大幅地減少有關大氣氣體(諸如氫、氧、及∕或水分子)對主動層20的背側通道(亦即,與閘極介電質10接觸的通道)的外部影響,從而可改善薄膜電晶體的可靠度。
本揭露的各種方法提供了簡單以及低成本的方法來改善薄膜電晶體的製程以及環境穩定性。
以上概述數個實施例之特徵,以使本發明所屬技術領域中具有通常知識者可以更加理解本發明實施例的觀點。本發明所屬技術領域中具有通常知識者應理解,可輕易地以本發明實施例為基礎,設計或修改其他製程和結構,以達到與在此介紹的實施例相同之目的及/或優勢。在本發明所屬技術領域中具有通常知識者也應理解,此類等效的結構並無悖離本發明的精神與範圍,且可在不違背本發明之精神和範圍下,做各式各樣的改變、取代和替換。因此,本發明之保護範圍當視後附之申請專利範圍所界定為準。
8:基板 9:半導體材料層 10:閘極介電質 10C:中心閘極介電部分 10L:閘極介電層 10P:周圍閘極介電部分 11:凹槽區 15:閘極電極 16:閘極接觸金屬襯層 17:閘極接觸金屬填充材料部份 18:背側電極接觸導孔結構 19:閘極接觸導孔凹孔 20:主動層 20L:連續主動層 30:鈍化蓋介電質 30L:鈍化蓋介電層 37:光阻層 40:薄膜電晶體層級介電層 42:絕緣層 48:介電層 51:源極凹孔 52:源極電極 53:源極金屬襯層 54:源極金屬填充材料部份 56:汲極電極 57:汲極金屬襯層 58:汲極金屬填充材料部份 59:汲極凹孔 100:記憶體區 101:第一閘極介電質 101L:第一閘極介電層 102:第二閘極介電質 102L:第二閘極介電層 200:邏輯區 601:第一介電層 610:第一互連層級介電層 612:裝置接觸導孔結構 618:第一金屬線結構 620:第二互連層級介電層 622:第一金屬導孔結構 628:第二金屬導線結構 635:絕緣間隔物層 636:蝕刻停止介電層 700:互補式金-氧-半電路 701:場效電晶體 720:淺溝槽隔離結構 732:源極電極 735:半導體通道 738:汲極電極 742:源極側金屬-半導體合金區 748:汲極側金屬-半導體合金區 750:閘極結構 752:閘極介電質 754:閘極電極 756:介電閘極間隔物 758:閘極蓋介電質 2410:步驟 2420:步驟 2430:步驟 2440:步驟 B-B’:剖線 C-C’:剖線 hd1:第一水平方向 hd2:第二水平方向 Hf:金屬元素 M:金屬元素 O:氧 t1:第一厚度 t2:第二厚度 w1:第一寬度 w2:第二寬度 w3:第三寬度
由以下的詳細敘述配合所附圖式,可最好地理解本發明實施例。應注意的是,依據在業界的標準做法,各種特徵並未按照比例繪製且僅用於說明。事實上,可任意地放大或縮小各種元件的尺寸,以清楚地表現出本發明實施例之特徵。 第1圖是根據本發明的實施例,繪示出在互補式金屬-氧化物-半導體電晶體、形成於較低層級的介電層中的第一金屬互連結構、絕緣間隔物、以及可選的蝕刻停止介電層的形成之後的第一例示性結構的垂直剖面示意圖。 第2A圖是根據本發明的第一實施例,繪示出第一例示性結構在絕緣層的形成之後的一部份的上視示意圖。 第2B圖繪示出第一例示性結構沿著第2A圖的垂直平面B-B’的垂直剖面示意圖。 第2C圖繪示出第一例示性結構沿著第2A圖的垂直平面C-C’的垂直剖面示意圖。 第3A圖是根據本發明的第一實施例,繪示出第一例示性結構在絕緣層中的凹槽區的形成之後的區域的上視示意圖。 第3B圖繪示出第一例示性結構沿著第3A圖的垂直平面B-B’的垂直剖面示意圖。 第3C圖繪示出第一例示性結構沿著第3A圖的垂直平面C-C’的垂直剖面示意圖。 第4A圖是根據本發明的第一實施例,繪示出第一例示性結構在閘極電極的形成之後的區域的上視示意圖。 第4B圖繪示出第一例示性結構沿著第4A圖的垂直平面B-B’的垂直剖面示意圖。 第4C圖繪示出第一例示性結構沿著第4A圖的垂直平面C-C’的垂直剖面示意圖。 第5A圖是根據本發明的第一實施例,繪示出第一例示性結構在第一閘極介電層的形成之後的區域的上視示意圖。 第5B圖繪示出第一例示性結構沿著第5A圖的垂直平面B-B’的垂直剖面示意圖。 第5C圖繪示出第一例示性結構沿著第5A圖的垂直平面C-C’的垂直剖面示意圖。 第6A圖是根據本發明的第一實施例,繪示出第一例示性結構在圖案化第一閘極介電層之後的區域的上視示意圖。 第6B圖繪示出第一例示性結構沿著第6A圖的垂直平面B-B’的垂直剖面示意圖。 第6C圖繪示出第一例示性結構沿著第6A圖的垂直平面C-C’的垂直剖面示意圖。 第7A圖是根據本發明的第一實施例,繪示出第一例示性結構在第二閘極介電層、連續的主動層、以及鈍化蓋介電層的形成之後的區域的上視示意圖。 第7B圖繪示出第一例示性結構沿著第7A圖的垂直平面B-B’的垂直剖面示意圖。 第7C圖繪示出第一例示性結構沿著第7A圖的垂直平面C-C’的垂直剖面示意圖。 第8A圖是根據本發明的第一實施例,繪示出第一例示性結構在鈍化蓋介電質、主動層、以及閘極介電質的形成之後的區域的上視示意圖。 第8B圖繪示出第一例示性結構沿著第8A圖的垂直平面B-B’的垂直剖面示意圖。 第8C圖繪示出第一例示性結構沿著第8A圖的垂直平面C-C’的垂直剖面示意圖。 第9A圖是根據本發明的第一實施例,繪示出第一例示性結構在介電層的形成之後的區域的上視示意圖。 第9B圖繪示出第一例示性結構沿著第9A圖的垂直平面B-B’的垂直剖面示意圖。 第9C圖繪示出第一例示性結構沿著第9A圖的垂直平面C-C’的垂直剖面示意圖。 第10A圖是根據本發明的第一實施例,繪示出第一例示性結構在圖案化光阻層的形成之後的區域的上視示意圖。 第10B圖繪示出第一例示性結構沿著第10A圖的垂直平面B-B’的垂直剖面示意圖。 第10C圖繪示出第一例示性結構沿著第10A圖的垂直平面C-C’的垂直剖面示意圖。 第11A圖是根據本發明的第一實施例,繪示出第一例示性結構在源極凹孔、汲極凹孔、以及閘極接觸導孔凹孔的形成之後的區域的上視示意圖。 第11B圖繪示出第一例示性結構沿著第11A圖的垂直平面B-B’的垂直剖面示意圖。 第11C圖繪示出第一例示性結構沿著第11A圖的垂直平面C-C’的垂直剖面示意圖。 第12A圖是根據本發明的第一實施例,繪示出第一例示性結構在源極電極、汲極電極、以及背側電極接觸導孔結構的形成之後的區域的上視示意圖。 第12B圖繪示出第一例示性結構沿著第12A圖的垂直平面B-B’的垂直剖面示意圖。 第12C圖繪示出第一例示性結構沿著第12A圖的垂直平面C-C’的垂直剖面示意圖。 第13A圖是根據本發明的第二實施例,繪示出第二例示性結構在閘極介電層的形成之後的區域的上視示意圖。 第13B圖繪示出第二例示性結構沿著第13A圖的垂直平面B-B’的垂直剖面示意圖。 第13C圖繪示出第二例示性結構沿著第13A圖的垂直平面C-C’的垂直剖面示意圖。 第14A圖是根據本發明的第二實施例,繪示出第二例示性結構在薄化閘極介電層的中心部分之後的區域的上視示意圖。 第14B圖繪示出第二例示性結構沿著第14A圖的垂直平面B-B’的垂直剖面示意圖。 第14C圖繪示出第二例示性結構沿著第14A圖的垂直平面C-C’的垂直剖面示意圖。 第15A圖是根據本發明的第二實施例,繪示出第二例示性結構在源極電極、汲極電極、以及背側電極接觸導孔結構的形成之後的區域的上視示意圖。 第15B圖繪示出第二例示性結構沿著第15A圖的垂直平面B-B’的垂直剖面示意圖。 第15C圖繪示出第二例示性結構沿著第15A圖的垂直平面C-C’的垂直剖面示意圖。 第16A圖是根據本發明的第三實施例,繪示出第三例示性結構的區域的上視示意圖。 第16B圖繪示出第三例示性結構沿著第16A圖的垂直平面B-B’的垂直剖面示意圖。 第16C圖繪示出第三例示性結構沿著第16A圖的垂直平面C-C’的垂直剖面示意圖。 第17A圖是根據本發明的第四實施例,繪示出第四例示性結構的區域的上視示意圖。 第17B圖繪示出第四例示性結構沿著第17A圖的垂直平面B-B’的垂直剖面示意圖。 第17C圖繪示出第四例示性結構沿著第17A圖的垂直平面C-C’的垂直剖面示意圖。 第18A圖是根據本發明的第四實施例,繪示出第四例示性結構的第一替代實施例的區域的上視示意圖。 第18B圖繪示出第四例示性結構沿著第18A圖的垂直平面B-B’的垂直剖面示意圖。 第18C圖繪示出第四例示性結構沿著第18A圖的垂直平面C-C’的垂直剖面示意圖。 第19A圖是根據本發明的第四實施例,繪示出第四例示性結構的第二替代實施例的區域的上視示意圖。 第19B圖繪示出第四例示性結構沿著第19A圖的垂直平面B-B’的垂直剖面示意圖。 第19C圖繪示出第四例示性結構沿著第19A圖的垂直平面C-C’的垂直剖面示意圖。 第20A圖是根據本發明的第五實施例,繪示出第五例示性結構的區域的上視示意圖。 第20B圖繪示出第五例示性結構沿著第20A圖的垂直平面B-B’的垂直剖面示意圖。 第20C圖繪示出第五例示性結構沿著第20A圖的垂直平面C-C’的垂直剖面示意圖。 第21A圖是根據本發明的第六實施例,繪示出第六例示性結構的區域的上視示意圖。 第21B圖繪示出第六例示性結構沿著第21A圖的垂直平面B-B’的垂直剖面示意圖。 第21C圖繪示出第六例示性結構沿著第21A圖的垂直平面C-C’的垂直剖面示意圖。 第22A圖是根據本發明的第七實施例,繪示出第七例示性結構的區域的上視示意圖。 第22B圖繪示出第七例示性結構沿著第22A圖的垂直平面B-B’的垂直剖面示意圖。 第22C圖繪示出第七例示性結構沿著第22A圖的垂直平面C-C’的垂直剖面示意圖。 第23圖繪示出閘極介電質如何增強主動層的表面特性的穩定性的機制的示意圖。 第24圖繪示出製造本發明的半導體裝置的一般製程步驟的流程示意圖。
10:閘極介電質
10C:中心閘極介電部分
10P:周圍閘極介電部分
15:閘極電極
20:主動層
30:鈍化蓋介電質
40:薄膜電晶體層級介電層
42:絕緣層
48:介電層
52:源極電極
53:源極金屬襯層
54:源極金屬填充材料部份
56:汲極電極
57:汲極金屬襯層
58:汲極金屬填充材料部份
101:第一閘極介電質
102:第二閘極介電質
635:絕緣間隔物層
636:蝕刻停止介電層
C-C’:剖線
t1:第一厚度
t2:第二厚度
w1:第一寬度
w2:第二寬度
w3:第三寬度

Claims (14)

  1. 一種電晶體,包括:一閘極電極,埋置於一絕緣層中,該絕緣層上覆於一基板;一閘極介電質,包括:一中心閘極介電部分,該中心閘極介電部分具有一第一厚度並與該閘極電極的一頂表面接觸,以及一對周圍閘極介電部分,該對周圍閘極介電部分具有大於該第一厚度的一第二厚度,該對周圍閘極介電部分與該絕緣層的頂表面接觸,並藉由該中心閘極介電部分將彼此橫向地分隔;一主動層,包括一化合物半導體材料且該主動層上覆於該閘極介電質;一源極電極,與該主動層的一第一末端部分接觸;以及一汲極電極,與該主動層的一第二末端部分接觸,其中該對周圍閘極介電部分的底表面與該中心閘極介電部分的底表面是位於相同的水平平面之內。
  2. 如請求項1之電晶體,其中該閘極介電質包括一對漸縮區(taper region),該對漸縮區具有一浮動厚度(variable thickness)且將該中心閘極介電部分連接至該對周圍閘極介電部分的各自部分,其中該對漸縮區的每一個具有與該主動層的一漸縮底表面接觸的一漸縮頂表面。
  3. 如請求項1或請求項2之電晶體,其中該閘極介電質在所有區域中具有相同的介電金屬氧化物材料成分。
  4. 如請求項1或請求項2之電晶體,其中該閘極介電質包括:一對第一閘極介電部分,位於該對周圍閘極介電部分中,該對第一閘極介電部分包括一第一介電金屬氧化物材料,並藉由該中心閘極介電部分橫向地分隔;以及一第二閘極介電部分,連續地延伸橫跨該對周圍閘極介電部分及該中心閘極 介電部分,且該第二閘極介電部分包括一第二介電金屬氧化物材料,該第二介電金屬氧化物材料具有不同於該第一介電金屬氧化物材料的材料成分,其中該第二閘極介電部分與該閘極電極的該頂表面接觸,且與該對第一閘極介電部分的頂表面接觸。
  5. 如請求項1或請求項2之電晶體,更包括:一鈍化蓋介電質(passivation capping dielectric),包括一介電金屬氧化物材料,該鈍化蓋介電質與該主動層的頂表面接觸,且該鈍化蓋介電質橫向地延伸於該源極電極與該汲極電極之間,並與該源極電極以及該汲極電極的側壁接觸。
  6. 如請求項5之電晶體,更包括:一介電層,橫向地圍繞該主動層、該源極電極、以及該汲極電極,並與該鈍化蓋介電質的整個頂表面接觸,其中:該閘極介電質包括至少一額外的介電金屬氧化物材料;該閘極介電質的側壁與該主動層的側壁垂直地重合;以及該鈍化蓋介電質的該介電金屬氧化物材料具有與所述至少一額外介電金屬氧化物材料的一者相同的材料成分,或者具有與所述至少一額外介電金屬氧化物材料的任何一者都不相同的材料成分。
  7. 如請求項1之電晶體,其中該主動層的頂表面相對於該主動層與該源極電極的側壁接觸或與該汲極電極的側壁接觸的水平表面在該中心閘極介電部分的上方垂直地內凹。
  8. 一種電晶體,包括:一閘極電極,埋置於一絕緣層中,該絕緣層上覆於一基板;一閘極介電質,位於該閘極電極上方,其中該閘極介電質包括:一中心閘極介電部分,具有一第一厚度並與該閘極電極的頂表面接觸; 以及一對周圍閘極介電部分,具有大於該第一厚度的一第二厚度,該對周圍閘極介電部分與該絕緣層的頂表面接觸,並藉由該中心閘極介電部分將彼此橫向地分隔;一主動層,包括一化合物半導體材料;一源極電極,與該主動層的一第一末端部分接觸;一汲極電極,與該主動層的一第二末端部分接觸;一鈍化蓋介電質,包括一介電金屬氧化物材料且該鈍化蓋介電質上覆於該主動層;以及一介電層,橫向地圍繞該主動層、該源極電極、以及該汲極電極,並與該鈍化蓋介電質的整個頂表面接觸,其中該對周圍閘極介電部分的底表面與該中心閘極介電部分的底表面是位於相同的水平平面之內。
  9. 如請求項8之電晶體,其中:該介電層包括一介電材料,該介電材料擇自未摻雜矽酸鹽玻璃、摻雜矽酸鹽玻璃、有機矽酸鹽玻璃、及氮氧化矽;以及該源極電極與該汲極電極的頂表面位於與該介電層的頂表面相同的水平平面之內。
  10. 如請求項9之電晶體,其中該鈍化蓋介電質的一底表面包括:一對漸縮表面區段(segments),與該主動層的多個漸縮頂表面區段接觸,以及一水平底表面區段,鄰接該對漸縮表面區段的多個底部邊緣並與該主動層的一水平表面區段接觸。
  11. 一種電晶體的形成方法,包括: 形成一閘極電極於一絕緣層中,該絕緣層位於一基板上方;形成一閘極介電質於該閘極電極上方,其中該閘極介電質包括:一中心閘極介電部分,具有一第一厚度並與該閘極電極的一頂表面接觸,以及一對周圍閘極介電部分,具有大於該第一厚度的一第二厚度並與該絕緣層的一頂表面接觸;形成包括一化合物半導體材料的一主動層於該閘極介電質上方;以及形成一源極電極及一汲極電極於該主動層的多個周圍部分上,其中該源極電極及該汲極電極藉由該閘極電極將彼此橫向地分隔,其中該對周圍閘極介電部分的底表面與該中心閘極介電部分的底表面是位於相同的水平平面之內。
  12. 如請求項11之電晶體的形成方法,其中形成該閘極介電質包括:形成一閘極介電層於該閘極電極的該頂表面上以及於該絕緣層的該頂表面上;形成包括一開口的一圖案化光阻層於該閘極介電層上方;以及藉由提供能蝕刻該閘極介電層的材料的一蝕刻劑穿過該圖案化光阻層中的該開口來薄化該閘極介電層的一未遮蓋部分,其中該閘極介電層包括一對漸縮區,該對漸縮區具有一浮動厚度並上覆於該閘極電極的多個周圍部分,以及該電晶體的形成方法包括圖案化該閘極介電層至該閘極介電質之中,使得該閘極介電質的側壁與該主動層的側壁垂直地重合。
  13. 如請求項11之電晶體的形成方法,其中形成該閘極介電質包括:形成一第一閘極介電層於該閘極電極的該頂表面上以及於該絕緣層的該頂表面上; 圖案化該第一閘極介電層,其中該閘極電極的該頂表面的一中心部分被實體地露出;以及形成一第二閘極介電層於該第一閘極介電層的多個圖案化部分上方以及於該閘極電極的該頂表面上。
  14. 如請求項11之電晶體的形成方法,更包括:形成一鈍化蓋介電質,包括一介電金屬氧化物材料並上覆於該主動層;以及形成一介電層於該鈍化蓋介電質上方,其中該源極電極以及該汲極電極穿過該鈍化蓋介電質形成於該主動層的一各自表面區段上。
TW111108334A 2021-05-03 2022-03-08 電晶體及其形成方法 TWI810838B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202163183334P 2021-05-03 2021-05-03
US63/183,334 2021-05-03
US17/523,967 2021-11-11
US17/523,967 US11935935B2 (en) 2021-05-03 2021-11-11 Transistor including a hydrogen-diffusion barrier and methods for forming the same

Publications (2)

Publication Number Publication Date
TW202245273A TW202245273A (zh) 2022-11-16
TWI810838B true TWI810838B (zh) 2023-08-01

Family

ID=83120041

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111108334A TWI810838B (zh) 2021-05-03 2022-03-08 電晶體及其形成方法

Country Status (3)

Country Link
US (2) US11935935B2 (zh)
CN (1) CN115036363A (zh)
TW (1) TWI810838B (zh)

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201505186A (zh) * 2013-03-19 2015-02-01 Applied Materials Inc 多層的保護層或蝕刻停止層薄膜電晶體
WO2018125140A1 (en) * 2016-12-29 2018-07-05 Intel Corporation Metal oxide thin film transistors with controlled hydrogen

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109786440A (zh) * 2018-12-25 2019-05-21 惠科股份有限公司 阵列基板的制造方法、装置及阵列基板

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201505186A (zh) * 2013-03-19 2015-02-01 Applied Materials Inc 多層的保護層或蝕刻停止層薄膜電晶體
WO2018125140A1 (en) * 2016-12-29 2018-07-05 Intel Corporation Metal oxide thin film transistors with controlled hydrogen

Also Published As

Publication number Publication date
CN115036363A (zh) 2022-09-09
US20240162318A1 (en) 2024-05-16
US20220352333A1 (en) 2022-11-03
US11935935B2 (en) 2024-03-19
TW202245273A (zh) 2022-11-16

Similar Documents

Publication Publication Date Title
US11856797B2 (en) Resistive switching random access memory with asymmetric source and drain
TWI701809B (zh) 積體晶片、記憶體結構及其形成方法
US10319635B2 (en) Interconnect structure containing a metal slilicide hydrogen diffusion barrier and method of making thereof
US10262945B2 (en) Three-dimensional array device having a metal containing barrier and method of making thereof
US9583615B2 (en) Vertical transistor and local interconnect structure
US11923459B2 (en) Transistor including hydrogen diffusion barrier film and methods of forming same
US20230369440A1 (en) Access transistor including a metal oxide barrier layer and methods for forming the same
US11968840B2 (en) Tri-gate transistor and methods for forming the same
US11984508B2 (en) Thin film transistor including a compositionally-modulated active region and methods for forming the same
US20240178322A1 (en) Thin film transistor including a hydrogen-blocking dielectric barrier and methods for forming the same
US11696453B2 (en) Vertical metal oxide semiconductor channel selector transistor and methods of forming the same
TW202220177A (zh) 立體記憶體元件及其形成方法
US11049904B1 (en) RRAM structure and method of fabricating the same
TWI810838B (zh) 電晶體及其形成方法
TW202335266A (zh) 半導體裝置及其形成方法
TWI820562B (zh) 半導體器件及其形成方法
WO2023245803A1 (zh) 半导体结构及其制作方法、存储器
KR20220152925A (ko) 이중 게이트 라인 구성의 액세스 트랜지스터 및 이의 형성 방법
TW202243258A (zh) 包括主動區的電晶體及其形成方法
KR20240012869A (ko) 반도체 장치
KR20230087346A (ko) 고밀도 트랜지스터 어레이에 대한 자가 정렬 다층 스페이서 매트릭스 및 이의 형성 방법
TW202347777A (zh) 半導體裝置