TWI806122B - 半導體裝置及其製造方法 - Google Patents

半導體裝置及其製造方法 Download PDF

Info

Publication number
TWI806122B
TWI806122B TW110128885A TW110128885A TWI806122B TW I806122 B TWI806122 B TW I806122B TW 110128885 A TW110128885 A TW 110128885A TW 110128885 A TW110128885 A TW 110128885A TW I806122 B TWI806122 B TW I806122B
Authority
TW
Taiwan
Prior art keywords
layer
etch
gate
source
drain
Prior art date
Application number
TW110128885A
Other languages
English (en)
Other versions
TW202213632A (zh
Inventor
熊德智
吳俊德
鵬 王
林煥哲
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202213632A publication Critical patent/TW202213632A/zh
Application granted granted Critical
Publication of TWI806122B publication Critical patent/TWI806122B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76804Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics by forming tapered via holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823871Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • H01L29/42376Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out characterised by the length or the sectional shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

一種半導體裝置及其製造方法,包含形成閘極結構在半導體基材上;形成閘極介電蓋層在閘極結構上;形成源極/汲極接觸在半導體基材上,其中閘極介電蓋層側向地在源極/汲極接觸之間;沉積蝕刻阻抗層在閘極介電蓋層上;沉積接觸蝕刻中止層在蝕刻阻抗層上,以及層間介電層在接觸蝕刻中止層上;進行第一蝕刻製程,以形成介層窗開口,延伸穿過層間介電層,且在觸及該蝕刻阻抗層之前終止;進行第二蝕刻製程,以加深介層窗開口,並使源極/汲極接觸之一者被暴露,其中相對於蝕刻接觸蝕刻中止層,第二蝕刻製程係以較慢的蝕刻速率蝕刻蝕刻阻抗層;以及沉積金屬材料以填充加深的介層窗開口。

Description

半導體裝置及其製造方法
本揭露是關於一種半導體裝置,特別是關於一種半導體裝置及其製造方法。
IC材料和設計的技術進步已生產出許多世代的IC,且每一世代都比前一代具有較小和更複雜的電路。在IC進化的過程中,功能密度(即每個晶片面積中內連接裝置的數目)普遍隨著幾何尺寸[即利用一次製程所能創造最小的組件(或線)]的減小而增加。尺度縮減製程一般提供增加生產效率和減少相關成本的效益。
本揭露之一態樣係提供一種半導體裝置的製造方法,其包含形成閘極結構在半導體基材上;形成閘極介電蓋層在閘極結構上;形成源極/汲極接觸在半導體基材上,其中閘極介電蓋層側向地在源極/汲極接觸之間;沉積蝕刻阻抗層在閘極介電蓋層上;沉積接觸蝕刻中止層在蝕刻阻 抗層上,以及層間介電層在接觸蝕刻中止層上;進行第一蝕刻製程,以形成介層窗開口,延伸穿過層間介電層,且在觸及該蝕刻阻抗層之前終止;進行第二蝕刻製程,以加深介層窗開口,並使源極/汲極接觸之一者被暴露,其中相對於蝕刻接觸蝕刻中止層,第二蝕刻製程係以較慢的蝕刻速率蝕刻蝕刻阻抗層;以及沉積金屬材料以填充加深的介層窗開口。
本揭露之另一態樣係提供一種半導體裝置的製造方法,其包含形成閘極結構在閘極間隙壁之間且在半導體基材上;回蝕閘極結構,以使閘極結構降至閘極間隙壁之頂端之下;形成閘極介電蓋層在被回蝕的閘極結構上;形成源極/汲極接觸相鄰於閘極介電蓋層之側壁;沉積蝕刻阻抗層在閘極介電蓋層及源極/汲極接觸上;依序沉積一蝕刻中止層及一層間介電層在該蝕刻阻抗層上;進行第一蝕刻製程,以形成介層窗開口延伸穿過層間介電層;在第一蝕刻製程完成之後,進行第二蝕刻製程,以使介層窗開口向下延伸至源極/汲極接觸,其中在第二蝕刻製程蝕刻穿過蝕刻阻抗層之後,相對於蝕刻蝕刻阻抗層之前,介層窗開口之側壁輪廓變得更垂直;以及在進行第二蝕刻製程之後,形成介層窗結構在介層窗開口內。
本揭露之再一態樣係提供一種半導體裝置,其包含在基材上的源極/汲極磊晶結構;分別在源極/汲極磊晶結構上的源極/汲極接觸;側向地介於源極/汲極接觸之間的閘極結構;在閘極結構上的閘極介電蓋層,且閘極介電蓋 層之底表面係低於源極/汲極接觸之頂表面;在閘極介電蓋層上的氧化物基蝕刻阻抗層;在氧化物基蝕刻阻抗層上的氮化物基蝕刻中止層;在氮化物基蝕刻中止層上的層間介電層;以及延伸穿過層間介電層、氮化物基蝕刻中止層及氧化物基蝕刻阻抗層的介層窗結構,以電性連接源極/汲極接觸其中之一者。
12:基材
14:隔離區域
100,100a:積體電路結構
102:半導體條
104:鰭片
106:虛擬閘極結構
108:閘極介電層
110:虛擬閘極電極
112:底部罩幕
114:頂部罩幕
116:閘極間隙壁
118:第一間隙壁層
120:第二間隙壁層
122:磊晶結構
126:層間介電層
130:閘極結構
132:閘極介電層
134:功函數金屬層
136:填充金屬
138:金屬蓋層
140:介電蓋層
142:閘極介電蓋層
144:源極/汲極接觸
145:蝕刻阻抗層
146:中間接觸蝕刻中止層
148:層間介電層
150:源極/汲極介層窗
152:對接接觸
200,200a:積體電路結構
202:溝槽
210:基材
212:部分
220:磊晶堆疊
222:磊晶層,犠牲層
224:磊晶層,通道層
230:鰭片
240:淺溝槽隔離區域
250:虛擬閘極結構
252:虛擬閘極介電層
254:虛擬閘極電極層
256:氧化物層,硬罩幕層
258:氮化物層,硬罩幕層
260:閘極間隙壁
262:第一間隙壁層
264:第二間隙壁層
270:內間隙壁
280:源極/汲極磊晶結構
310:層間介電層
320:閘極結構
322:閘極介電層
324:功函數金屬層
326:填充金屬
330:金屬蓋層
340:介電蓋層
350:源極/汲極接觸
352:蝕刻阻抗層
360:中間接觸蝕刻中止層
370:層間介電層
380:源極/汲極介層窗
390:對接接觸
910:硬罩幕層
912:氧化物層
914:氮化物層
A1:第一垂直蝕刻速率
A2:第二垂直蝕刻速率
B-B:線
ET1,ET3,ET5,ET7:介層窗蝕刻製程
ET2,ET4,ET6,ET8:襯墊移除蝕刻製程
GT1,GT2:閘極溝槽
MA1,MA2:圖案化罩幕層
O21,O33,O41,O53:介層窗開口
O31,O51:閘極接觸開口
O32,O52,O6:開口
R1,R6,R7:凹陷
S/D:源極/汲極區域
T1,T2,T3,T4,T5,T6,T7,T8:厚度
WB1,WB2:底部寬度
X-X,Y-Y:切線
θ1,θ2:角度
根據以下詳細說明並配合附圖閱讀,使本揭露的態樣獲致較佳的理解。需注意的是,如同業界的標準作法,許多特徵並不是按照比例繪示的。事實上,為了進行清楚討論,許多特徵的尺寸可以經過任意縮放。
[圖1]至[圖19B]係繪示根據本揭露一些實施例之積體電路結構形成之中間階段的透視圖及剖面視圖。
[圖20]至[圖25]係繪示根據本揭露另一些實施例之製造積體電路結構之各階段的例示剖面視圖。
[圖26]至[圖45B]係繪示根據本揭露一些實施例之積體電路結構形成之中間階段的透視圖及剖面視圖。
[圖46]至[圖51]係繪示根據本揭露另一些實施例之製造積體電路結構之各階段的例示剖面視圖。
以下揭露提供許多不同實施例或例示,以實施提供之態樣的不同特徵。以下敘述之成份和排列方式的特定例 示是為了簡化本揭露。這些當然僅是做為例示,其目的不在構成限制。舉例而言,元件的尺寸並不限於所揭露之範圍或數值,而是可取決於製程條件及/或裝置所要的特性。再者,第一特徵形成在第二特徵之上或上方的描述包含第一特徵和第二特徵有直接接觸的實施例,也包含有其他特徵形成在第一特徵和第二特徵之間,以致第一特徵和第二特徵沒有直接接觸的實施例。除此之外,本揭露在各種具體例中重覆參考數值及/或字母。此重覆的目的是為了使說明簡化且清晰,並不表示各種討論的實施例及/或配置之間有關係。
再者,空間相對性用語,例如「在…之下(below)」、「低於(lower)」、「在…之上(above)」、「高於(upper)」等,是為了易於描述圖式中所繪示的元素或特徵和其他元素或特徵的關係。空間相對性用語除了圖式中所描繪的方向外,還包含元件在使用或操作時的不同方向。裝置可以其他方式定向(旋轉90度或在其他方向),而本文所用的空間相對性描述也可以如此解讀。如本文所使用的「大約(around)」、「約(about)」、「近乎(approximately)」或「實質上(substantially)」一般係代表在所述之數值或範圍的百分之20以內、或百分之10以內、或百分之5以內。本文所述之數量值係近似值,表示即使未明確指出,仍可推斷用語「大約(around)」、「約(about)」、「近乎(approximately)」或「實質上(substantially)」。
本揭露總體上係關於積體電路結構及其製造方法, 更特別是關於製造電晶體[例如鰭式場效電晶體(fin field-effect transistors,FinFETs)、環繞式閘極(gate-all-around,GAA)電晶體]以及在電晶體之源極/汲極接觸上的源極/汲極介層窗。亦須注意的是,本揭露呈現的實施例係以多閘極電晶體的形式。多閘極電晶體包含閘極結構係形成在通道區域之至少二側上的電晶體。這些多閘極裝置可包含p型金屬氧化物半導體裝置或n型金屬氧化物半導體裝置。可能呈現且本文所述的特例係鰭式場效電晶體,由於其像鰭片的結構。鰭式場效電晶體之閘極結構係形成在通道區域的三個側面(例如圍繞半導體鰭片內的通道區域之上部)。本文中亦呈現被稱為環繞式閘極裝置的多閘極電晶體結構之型式的實施例。環繞式閘極裝置包含其閘極結構或閘極結構之部分形成在通道區域的四面上的任何裝置(例如環繞通道區域的一部分)。本文所述之裝置亦包含具有通道區域設置在奈米板片通道、奈米線通道及/或其他合適的通道配置內的實施例。
在用以製造電晶體的前道(front-end-of-line,FEOL)製程完成之後,源極/汲極接觸係形成在電晶體的源極/汲極區域上。然後,源極/汲極介層窗係形成在源極/汲極接觸上,以電性連接源極/汲極接觸至後續形成的內連接金屬線。源極/汲極介層窗的形成一般包含沉積層間介電(interlayer dielectric,ILD)層在源極/汲極接觸上、藉由利用異向性蝕刻,形成介層窗開口延伸穿過層間介電層,然後沉積一或多個金屬層在介層窗開口內,以做為源 極/汲極介層窗。為了防止在異向性蝕刻時額外地過度蝕刻源極/汲極接觸,在層間介電層形成之前,額外的蝕刻中止層[亦稱為中間接觸蝕刻中止層(middle contact etch stop layer,MCESL)]係形成在源極/汲極接觸上。中間接觸蝕刻中止層具有與層間介電層不同的蝕刻選擇性,因此中間接觸蝕刻中止層可減緩形成介層窗開口的蝕刻製程,進而防止額外地過度蝕刻源極/汲極接觸。
在介層窗開口被蝕刻穿過層間介電層之後,其他的蝕刻製程[偶爾被稱為襯墊移除(liner removal,LRM)蝕刻,因為中間接觸蝕刻中止層可做為源極/汲極接觸之頂表面的襯墊]係進行以擊穿中間接觸蝕刻中止層。襯墊移除蝕刻的蝕刻時間係設定以允許被控制的過度蝕刻量,藉以穿透晶圓在每一個目標位置內擊穿接觸蝕刻中止層。然而,襯墊移除蝕刻可造成源極/汲極接觸旁邊的閘極介電質蓋中如虎牙般的凹陷。這是因為閘極介電質蓋及接觸蝕刻中止層皆係由氮化物基材料(例如氮化矽)所組成,而不具顯著的蝕刻選擇性。在閘極介電質蓋中如虎牙般的凹陷可造成漏電流的風險增加(例如自源極/汲極介層窗至閘極接構及/或閘極接觸的漏電流)。因此,本揭露在各種實施例中提供額外的氧化層在閘極介電質蓋上。氧化層具有不同的材料組成物,因而具有與氮化物基閘極介電質蓋及/或接觸蝕刻中止層不同的蝕刻選擇性。在介層窗開口到達氧化層時,氧化層可用以減緩襯墊移除蝕刻製程。減緩襯墊移除蝕刻可防止如虎牙般的圖案在介層窗開口內,進而減少漏 電流的風險。再者,減緩襯墊移除蝕刻可形成具有較垂直輪廓的接觸開口,反而使得源極/汲極介層窗與下方的源極/汲極接觸的接觸區域增加,因而減少接觸阻抗。
圖1至圖19B係繪示根據本揭露一些實施例之積體電路結構100形成之中間階段的透視圖及剖面視圖。根據一些例示的實施例,形成的電晶體可包含p型電晶體(例如p型FinFET)及n型電晶體(例如n型FinFET)。透過各種視圖及說明的實施例,相似的參考數值係用以表示相似的元件。應理解的是,在圖1至圖19B所示之製程之前、期間及之後可提供額外的操作,且在此方法的其他實施例中,以下所述的一些操作係可被取代或減少。操作/製程的順序可調換。
圖1係繪示初始結構的透視圖。初始結構包含基材12。基材12可為半導體基材(在一些實施例中亦稱為晶圓),其可為矽基材、矽鍺基材或以其他半導體材料所形成的基材。根據本揭露的一些實施例,基材12包含主體矽基材及磊晶矽鍺(SiGe)層或鍺層(不含矽)在主體矽基材上。基材12可以p型或n型雜質摻雜。例如淺溝槽隔離(shallow trench isolation,STI)的隔離區域14可被形成為延伸至基材12中。在相鄰淺溝槽隔離區域14之間的基材12之部分係被當作半導體條102。
淺溝槽隔離區域14可包含襯氧化物(圖未繪示)。襯氧化物可以熱氧化物形成,其中熱氧化物係透過基材之表面層的熱氧化所形成。襯氧化物亦可以被沉積的氧化矽 層所形成,其係利用例如原子層沉積(Atomic Layer Deposition,ALD)、高密度電漿化學氣相沉積(High-Density Plasma Chemical Vapor Deposition,HDPCVD)或化學氣相沉積(Chemical Vapor Deposition,CVD)所形成。淺溝槽隔離區域14也可包含在襯氧化物上的介電材料,且介電材料可利用流動式化學氣相沉積(flowable chemical vapor deposition,FCVD)、旋塗沉積(spin-on coating)等而形成。
請參閱圖2,淺溝槽隔離區域14係被凹陷,以使半導體條102之頂部部分突出至高於相鄰的淺溝槽隔離區域14之頂表面,而形成突出鰭片104。蝕刻可利用乾式蝕刻製程來進行,其中NH3及NF3係用做蝕刻氣體。在蝕刻製程期間,電漿可被產生。亦可包含氬氣。根據本揭露的另一些實施例,淺溝槽隔離區域14的凹陷係利用溼式蝕刻製程來進行。舉例而言,蝕刻化學品可包含稀釋的HF。
在上述例示實施例中,鰭片可以任意合適的方法被圖案化。舉例而言,鰭片可利用一或多種光微影製程(包含雙重圖案化或多重圖案化製程)來被圖案化。一般而言,雙重圖案化或多重圖案化製程結合光微影及自校準製程,而使例如所創造的圖案之間距小於其他利用單一、直接光微影製程所獲得的圖案之間距。舉例而言,在一實施例中,犠牲層係形成在基材上且利用光微影製程被圖案化。間隙 壁係利用自校準製程而沿著被圖案化的犠牲層所成。接著,移除犠牲層,且剩下的間隙壁或心軸可接著被用以圖案化鰭片。
突出鰭片104之材料也可被不同於基材12的材料所取代。舉例而言,若突出鰭片104係做為n型電晶體,突出鰭片104可以Si、SiP、SiC、SiPC或例如InP、GaAs、AlAs、InAs、InAlAs、InGaAs等III-V族化合物半導體所形成。另外,若突出鰭片104係做為p型電晶體,突出鰭片104可以Si、SiGe、SiGeB、Ge或例如InSb、GaSb、InGaSb等III-V族化合物半導體所形成。
請參閱圖3A及圖3B,虛擬閘極結構106係形成在突出鰭片104的頂表面及側壁上。圖3B係繪示圖3A中包含B-B線的垂直平面所獲得的剖面視圖。虛擬閘極結構106包含跨越鰭片104連續沉積閘極介電層及虛擬閘極電極層,接著圖案化閘極介電層及虛擬閘極電極層。由於圖案化,虛擬閘極結構106包含閘極介電層108及在閘極介電層108上的虛擬閘極電極110。閘極介電層108可為任何可接受的介電層,例如氧化矽、氮化矽等或其組合,且可利用任何可接受的製程來形成,例如熱氧化、旋塗製程、化學氣相沉積等。虛擬閘極電極110可為任何可接受的電極層,例如包含多晶矽、金屬等或其組合。閘極電極層可被任何可接受的沉積製程所沉積,例如化學氣相沉積、電漿輔助化學氣相沉積(plasma enhanced CVD, PECVD)等。每一個虛擬閘極結構106跨越單一或複數個突出鰭片104。虛擬閘極結構106之縱向方向垂直於對應之突出鰭片104的縱向方向。
罩幕圖案可被形成在虛擬閘極電極層上,以幫助圖案化。在一些實施例中,硬罩幕圖案包含在多晶矽毯覆層上的底部罩幕112及在底部罩幕112上的頂部罩幕114。硬罩幕圖案係由一或多層的SiO2、SiCN、SiON、Al2O3、SiN或其他合適的材料所組成。在特定實施例中,底部罩幕112包含氮化矽,而頂部罩幕114包含氧化矽。藉由利用罩幕圖案做為蝕刻罩幕,虛擬電極層係被圖案化成虛擬閘極電極110,而毯覆閘極介電層係被圖案化成閘極介電層108。
接著,如圖4所繪示,閘極間隙壁116形成在虛擬閘極結構106之側壁上。在閘極間隙壁形成步驟的一些實施例中,間隙壁材料層係沉積在基材12上。間隙壁材料可為共形層,其係之後被回蝕以形成閘極間隙壁116。在一些實施例中,間隙壁材料層包含多層,例如第一間隙壁層118及形成在第一間隙壁層118上的第二間隙壁層120。第一間隙壁層118及第二間隙壁層120之每一者係由合適的材料所形成,例如氧化矽、氮化矽、碳化矽、氮氧化矽、SiCN、碳氧化矽、SiOCN及/或其組合。做為例示但不限制的是,第一間隙壁層118及第二間隙壁層120可藉由連續沉積二種不同介電材料在虛擬閘極結構106上而形成,其係利用例如化學氣相沉積製程、次常壓化學氣相沉積 (subatmospheric CVD,SACVD)製程、流動性化學氣相沉積製程、原子層沉積製程、物理氣相沉積製程或其他合適的製程。接著,異向性蝕刻製程係在被沉積的間隙壁層118及120上進行,以暴露出鰭片104之未被虛擬閘極結構106所覆蓋的部分(例如在鰭片104的源極/汲極區域內)。在虛擬閘極結構106正上方的間隙壁層118及120之部分可藉由此異向性蝕刻製程而完全地移除。在虛擬閘極結構106之側壁上的間隙壁層118及120之部分可保留,形成閘極側壁間隙壁,為了簡化,其可表示為閘極間隙壁116。在一些實施例中,第一間隙壁層118係由氧化矽所形成,其具有低於氮化矽的介電常數,且第二間隙壁層120係由氮化矽所形成,其對後續的蝕刻製程具有比氧化矽高的蝕刻抗性(例如蝕刻鰭片104中的源極/汲極凹陷)。在一些實施例中,閘極間隙壁116可被用以抵消後續形成的摻雜區域,例如源極/汲極區域。閘極間隙壁116可進一步的被用以設計或修飾源極/汲極區域輪廓。
在閘極間隙壁116的製造完成之後,源極/汲極磊晶結構122係形成在鰭片104之源極/汲極區域上,其係未被虛擬閘極結構106及閘極間隙壁116所覆蓋處。所得結構係繪示於圖5中。在一些實施例中,源極/汲極磊晶結構122的製造包含使鰭片104之源極/汲極區域凹陷,接著磊晶成長半導體材料在鰭片104之被凹陷的源極/汲極區域中。
可利用合適的選擇性蝕刻製程使鰭片104之源極 /汲極區域凹陷,其係侵蝕半導體鰭片104,但幾乎不侵蝕閘極間隙壁116及虛擬閘極結構106的頂部罩幕114。舉例而言,使半導體鰭片104凹陷可藉由利用電漿源及蝕刻氣體的乾式化學蝕刻來進行。電漿源可為感應耦合式電漿(inductively coupled plasma,ICP)蝕刻、變壓耦合式電漿(transformer coupled plasma,TCP)蝕刻、電子迴旋共振(electron cyclotron resonance,ECR)蝕刻、反應性離子蝕刻(reactive ion etch,RIE)等,且蝕刻氣體可為氟、氯、溴及其組合等,其係相較於蝕刻閘極間隙壁116及虛擬閘極結構106之頂部罩幕114,以較快的蝕刻速率蝕刻半導體鰭片104。在另一些實施例中,使半導體鰭片104凹陷可藉由溼式化學蝕刻來進行,例如銨-過氧化氫混合物(ammonium peroxide mixture,APM)、NH4OH、氫氧化四甲銨(tetramethylammonium hydroxide,TMAH)及其組合等,其係相較於蝕刻閘極間隙壁116及虛擬閘極結構106之頂部罩幕114,以較快的蝕刻速率蝕刻半導體鰭片104。在另一些實施例中,使半導體鰭片104凹陷可藉由結合乾式化學蝕刻及溼式化學蝕刻來進行。
一旦在鰭片104之源極/汲極區域中形成凹陷,源極/汲極磊晶結構122係形成在鰭片104中的源極/汲極凹陷中,其係藉由利用一或多個磊晶或磊晶(epitaxial,epi)製程提供一或多個磊晶材料在半導體鰭片104上。在磊晶成長製程過程中,閘極間隙壁116限制一或多個磊晶材料 至鰭片104中的源極/汲極區域。在一些實施例中,磊晶結構122的晶格常數係與半導體鰭片104的晶格常數不同,藉由磊晶結構122拉緊或加壓鰭片104中及磊晶結構122之間的通道區域,以改善半導體裝置的載子移動率及改進裝置效能。磊晶製程包含化學氣相沉積技術[例如電漿輔助化學氣相沉積、氣相磊晶(vapor-phase epitaxy,VPE)及/或超高真空化學氣相沉積(ultra-high vacuum CVD UHV-CVD)]、分子束磊晶及/或其他合適的製程。磊晶製程可利用氣態及/或液態前驅物,其係可與半導體鰭片104之組成物交互作用。
在一些實施例中,源極/汲極磊晶結構122可包含Ge、Si、GaAs、AlGaAs、SiGe、GaAsP、SiP或其他合適的材料。源極/汲極磊晶結構122可在磊晶過程中藉由導入摻雜物質被原位摻雜,其中摻雜物質包含:p型摻質,例如硼或BF2;n型摻雜,例如磷或砷;及/或其他包含其組合之合適的摻質。若源極/汲極磊晶結構122不被原位摻雜,佈植製程(即接面佈植製程)係進行以摻雜源極/汲極磊晶結構122。在一些例示實施例中,在n型電晶體中的源極/汲極磊晶結構122包含SiP,而其在p型者包含GeSnB及/或SiGeSnB。在不同裝置型式的實施例中,罩幕(例如光阻)係形成在n型裝置區域上,並暴露出p型裝置區域,而p型磊晶結構係形成在p型裝置區域中被暴露的鰭片104上。接著,罩幕可被移除。然後,罩幕(例如光阻)係形成在p型裝置區域上,並暴露出n型裝置區域, 而n型磊晶結構係形成在n型裝置區域中被暴露的鰭片104上。接著,罩幕可被移除。
源極/汲極磊晶結構122一被形成,可進行退火製程,以活化在源極/汲極磊晶結構122中的p型摻質或n型摻質。退火製程可例如為快速熱退火(rapid thermal anneal,RTA)、雷射退火(laser anneal)、毫秒熱退火(millisecond thermal annealing,MSA)製程或相似者。
接著,在圖6中,層間介電層126係形成在基材12上。在一些實施例中,在形成層間介電層126之前,接觸蝕刻中止層(contact etch stop layer,CESL)係選擇性地被形成。在一些具體例中,接觸蝕刻中止層包含氮化矽層、氧化矽層、氮氧化矽層及/或其他與層間介電層126具有不同蝕刻選擇性的合適材料。接觸蝕刻中止層可藉由電漿輔助化學氣相沉積製程及/或其他合適的沉積或氧化製程來形成。在一些實施例中,層間介電層126包含例如四乙氧基矽烷(tetraethylorthosilicate,TEOS)氧化物、未摻雜矽酸玻璃、或摻雜氧化矽,例如硼摻雜磷矽玻璃(Boron-Doped Phospho-Silicate Glass,BPSG)、熔矽石玻璃(fused silica glass,FSG)、磷矽玻璃(Phospho-Silicate Glass,PSG)、硼矽玻璃(Boro-Silicate Glass,BSG)及/或其他與接觸蝕刻中止層具有不同蝕刻選擇性的合適介電材料。層間介電層126可藉由電漿輔助化學氣相沉積製程及/或其他合適的 沉積技術來沉積。在一些實施例中,在層間介電層126形成之後,晶圓可依照高熱預算程序(high thermal budget process),以退火層間介電層126。
在一些具體例中,在形成層間介電層126之後,可進行平坦化製程以移除層間介電層126多餘的材料。舉例而言,平坦化製程包含化學機械平坦化(chemical mechanical planarization,CMP)製程,其係移除在虛擬閘極結構106上的層間介電層126之部分(以及接觸蝕刻中止層,若存在的話)。在一些實施例中,化學機械平坦化製程亦移除硬罩幕層112及硬罩幕層114(如圖5所示),並暴露出虛擬閘極電極110。
接著,如圖7所繪示,剩餘的虛擬閘極結構106係被移除,導致在對應的閘極間隙壁116之間的閘極溝槽GT1。虛擬閘極結構106係利用選擇性蝕刻製程(例如:選擇性乾式蝕刻、選擇性溼式蝕刻或其組合)而被移除,其係相對於蝕刻其他材料(例如閘極間隙壁116及/或層間介電層126),可以更快的蝕刻速率來蝕刻虛擬閘極結構106中的材料。
然後,取代閘極結構130係分別地形成在閘極溝槽GT1中,如圖8所繪示。閘極結構130可為鰭式場效電晶體的最終閘極。每一個最終閘極結構可為高k/金屬閘極堆疊,然而可能為其他組成。在一些實施例中,每一個閘極結構130形成與鰭片104提供之通道區域的三側結合的閘極。換言之,每一個閘極結構130圍繞在鰭片104的 三側上。在各種實施例中,高k/金屬閘極結構130包含沿閘極溝槽GT1排列的閘極介電層132、形成在閘極介電層132上的功函數金屬層134以及形成在功函數金屬層134上並填充閘極溝槽GT1之剩餘空間的填充金屬136。閘極介電層132包含層間層(例如氧化矽層)以及在層間層上的高k閘極介電層。如本文所使用及敘述的高k閘極介電質包含具有高介電常數的介電材料,例如介電常數高於熱氧化矽(約3.9)。用在高k/金屬閘極結構130中的功函數金屬層134及/或填充金屬136可包含金屬、金屬合金或金屬矽化物。高k/金屬閘極結構130的形成可包含多重沉積製程,以形成各種閘極材料、一或多層襯層,以及一或多個化學機械平坦化製程,以移除多餘的閘極材料。
在一些實施例中,閘極介電層132的層間層可包含氧化矽(SiO2)、HfSiO或氮氧化矽(SiON)。層間層可藉由化學氧化、熱氧化、原子層沉積法、化學氣相沉積法及/或其他合適的方法來形成。閘極介電層132的高k介電層可包含二氧化鉿(HfO2)。另外,閘極介電層132可包含其他高k介電質,例如矽氧化鉿(HfSiO)、矽氮氧化鉿(HfSiON)、氧化鉭鉿(HfTaO)、氧化鈦鉿(HfTiO)、氧化鋯鉿(HfZrO)、氧化鑭(LaO)、氧化鋯(ZrO)、氧化鈦(TiO)、氧化鉭(Ta2O5)、氧化釔(Y2O3)、鈦酸鍶(SrTiO3,STO)、鈦酸鋇(BaTiO3,BTO)、氧化鋯鋇(BaZrO)、氧化鑭鉿(HfLaO)、氧化矽鑭(LaSiO)、氧化矽鋁(AlSiO)、氧化鋁(Al2O3)、氮化矽(Si3N4)、氮 氧化矽(SiON)及其組合。
功函數金屬層134可包含功函數金屬,以提供高k/金屬閘極結構130合適的功函數。對n型鰭式場效電晶體而言,功函數金屬層134可包含一或多個n型功函數金屬(N-metal)。n型功函數金屬可例如包含但不限於鈦鋁(TiAl)、氮化鋁鈦(TiAlN)、碳氧化鉭(TaCN)、鉿(Hf)、鋯(Zr)、鈦(Ti)、鉭(Ta)、鋁(Al)、金屬碳化物[例如:碳化鉿(HfC)、碳化鋯(ZrC)、碳化鈦(TiC)、碳化鋁(AlC)]、鋁化物及/或其他合適的材料。另外,對p型鰭式場效電晶體而言,功函數金屬層134可包含一或多個p型功函數金屬(P-metal)。p型功函數金屬可例如包含但不限於氮化鈦(TiN)、氮化鎢(WN)、鎢(W)、釕(Ru)、鎘(Pd)、鉑(Pt)、鈷(Co)、鎳(Ni)、導電金屬氧化物及/或其他合適的材料。
在一些實施例中,填充金屬136可例如包含但不限於鎢、鋁、銅、鎳、鈷、鈦、鉭、氮化鈦、氮化鉭、矽化鎳、矽化鈷、TaC、TaSiN、TaCN、TiAl、TiAlN或其他合適的材料。
接著,請參閱圖9。回蝕製程係進行以回蝕取代閘極結構130及閘極間隙壁116,以形成在被回蝕之閘極結構130及被回蝕之閘極間隙壁116上的凹陷R1。在一些實施例中,由於取代閘極結構130之材料具有與閘極間隙壁116不同的蝕刻選擇性,可先進行第一選擇蝕刻製程以回蝕前述取代閘極結構130,藉以降低取代閘極結構130 使降至閘極間隙壁116之頂端之下。然後,可進行第二選擇蝕刻製程以降低閘極間隙壁116。如此一來,取代閘極結構130之頂表面可與閘極間隙壁116之頂表面在不同的水平面。舉例而言,在如圖9所示之描繪的實施例中,取代閘極結構130之頂表面係低於閘極間隙壁116之頂表面。然而,在另一些實施例中,取代閘極結構130之頂表面係與閘極間隙壁116之頂表面為等高或高於閘極間隙壁116之頂表面。
然後,閘極金屬蓋層138係選擇性地以合適的製程(例如CVD或ALD)分別形成在取代閘極結構130上方。在一些實施例中,金屬蓋層138係利用由下而上的方式形成在取代閘極結構130上。舉例而言,金屬蓋層138係選擇性地生長在金屬表面上,例如功函數金屬層134及填充金屬136,因此閘極間隙壁116係實質地免於金屬蓋層138的生長。金屬蓋層138可例如但不限於實質為無氟之鎢(fluorine-free tungsten,FFW)膜,其係具有小於5原子百分比的氟汙染,且氯汙染係大於3原子百分比。無氟之鎢膜或含無氟之鎢的膜可藉由原子層沉積或化學氣相沉積來形成,其係利用一或多個無氟的鎢前驅物,例如但不限於五氯化鎢(WCl5)、六氯化鎢(WCl6)。在一些實施例中,金屬蓋層138之部分可延伸至閘極介電層132上,以使金屬蓋層138也可覆蓋閘極介電層132之暴露的表面。由於金屬蓋層138係以由下往上的方式形成,其形成可藉由例如減少重覆回蝕製程來簡化,其係用以移除因共形生 長而產生之不想要的金屬材料。
在金屬蓋層138係利用由下往上的方式形成的一些實施例中,相較於介電質表面(即在閘極間隙壁116中的介電質),在金屬表面(即閘極結構130中的金屬)上生長之金屬蓋層138具有不同的成核延遲期。在金屬表面上的成核延遲期係短於在介電質表面上。成核延遲期差異因此造成在金屬表面上的選擇性生長。本揭露在各種實施例中利用此選擇性允許自閘極結構130的金屬生長,而防止自閘極間隙壁116的金屬生長。因此,金屬蓋層138在閘極結構130上的沉積速率係比在閘極間隙壁116上更快。在一些實施例中,所得之金屬蓋層138之頂表面係低於被回蝕的閘極間隙壁116的頂表面。然而,在一些實施例中,金屬蓋層138的頂表面係與被回蝕的閘極間隙壁116的頂表面等高或高於被回蝕的閘極間隙壁116的頂表面。
接著,介電蓋層140係沉積在基材12上直到凹陷R1被過量填充,如圖10所示。介電蓋層140包含SiN、SiC、SiCN、SiON、SiCON、其組合或相似物,且係藉由合適的沉積技術來形成,例如化學氣相沉積、電漿輔助化學氣相沉積、原子層沉積、遠端電漿原子層沉積(remote plasma ALD,RPALD)、電漿輔助原子層沉積(plasma enhanced ALD,PEALD)、其組合或類似的方法。然後,進行化學機械平坦化製程以移除在凹陷R1外的蓋層,留下介電蓋層140在凹陷R1之部分,以做為閘極介電蓋層142。所得之結構係繪示於圖11。
請參閱圖12,源極/汲極接觸144係形成為延伸穿過層間介電層126(及接觸蝕刻中止層,若其存在)。源極/汲極接觸144的形成包含,做為例示但不限於,進行一或多次蝕刻製程以形成接觸開口延伸穿過層間介電層126,以暴露出源極/汲極磊晶結構122、沉積一或多個金屬材料以過量填充接觸開口,然後進行化學機械平坦化製程,以移除在接觸開口外的多餘金屬材料。在一些實施例中,一或多個蝕刻製程係選擇性蝕刻,相較於蝕刻閘極介電蓋層142及閘極間隙壁116,其係以較快的蝕刻速率來蝕刻層間介電層126。因此,選擇性蝕刻係利用閘極介電蓋層142及閘極間隙壁116做為蝕刻罩幕來進行,以使接觸開口以及源極/汲極接觸144係形成為自對準源極/汲極磊晶結構122,而不須使用另外的光微影製程。在此例示中,以自對準的方式形成源極/汲極接觸144的閘極介電蓋層142可被稱為自對準接觸(self-aligned contacts,SAC)蓋層142。
在圖13中,蝕刻阻抗層145係形成在閘極介電蓋層142及源極/汲極接觸144上。蝕刻阻抗層145可藉由原子層沉積製程、電漿輔助化學氣相沉積製程及/或其他合適的沉積製程來形成。在一些實施例中,蝕刻阻抗層145係由與閘極介電蓋層142之材料及後續形成之中間接觸蝕刻中止層之材料不同的材料所組成。舉例而言,閘極介電蓋層142及後續形成之中間接觸蝕刻中止層係由相同材料(例如氮化矽)所組成,其間不具有蝕刻選擇性,而蝕刻阻 抗層145係由氧化物基材料或不同於氮化矽的其他合適介電材料所組成。氧化物基材料包含,做為例示但不限於,氧化矽(SiOx)、四乙氧基矽烷氧化物、富矽氧化物(silicon-rich silicon oxide)或其他合適的氧化物介電材料。富矽氧化物係包含例如大於50%的矽的氧化矽。因為材料的不同,蝕刻阻抗層145具有與後續形成之中間接觸蝕刻中止層及閘極介電蓋層142不同的蝕刻選擇性。因此,在接著的襯墊移除蝕刻製程中,蝕刻阻抗層145具有比閘極介電蓋層142及中間接觸蝕刻中止層都慢的蝕刻速率,其使得襯墊移除蝕刻製程減緩,以下會做更詳細的說明。
在一些實施例中,蝕刻阻抗層145具有厚度T1。在一些實施例中,對於3nm技術節點,厚度T1之範圍為約1埃(Angstroms)至約50埃。在再一些實施例中,厚度T1對閘極介電蓋層142之最大厚度T2的比例係約3:100至約60:100。若厚度比T1/T2太小,蝕刻阻抗層145可能太薄而不足以減緩後續的襯墊移除蝕刻製程。若厚度比T1/T2太大,蝕刻阻抗層145可能太厚而無法在預期的蝕刻時間內被打穿。對於其他技術節點,例如20nm節點、16nm節點、10nm節點、7nm節點及/或5nm節點,蝕刻阻抗層145之厚度T1之範圍為約1nm至約20nm。
在圖14中,蝕刻阻抗層145係形成在閘極介電蓋層142上,中間接觸蝕刻中止層146係接著形成在蝕刻 阻抗層145上。中間接觸蝕刻中止層146可藉由電漿輔助化學氣相沉積製程及/或其他合適的沉積製程而形成。在一些實施例中,中間接觸蝕刻中止層146係氮化矽層及/或其他與後續形成之層間介電層(如圖15所繪示)具有不同蝕刻選擇性的合適材料。在一些實施例中,閘極介電蓋層142及中間接觸蝕刻中止層146皆為氮化矽(SiN),因此蝕刻阻抗層145(例如氧化物層)具有與閘極介電蓋層142及中間接觸蝕刻中止層146皆不同的蝕刻選擇性。在一些實施例中,中間接觸蝕刻中止層146之厚度T3大於蝕刻阻抗層145之厚度T1。舉例而言,中間接觸蝕刻中止層146之厚度T3範圍為約3nm至約20nm。
請參閱圖15,其他層間介電層148係形成在中間接觸蝕刻中止層146上。在一些實施例中,層間介電層148之材料包含例如四乙氧基矽烷(tetraethylorthosilicate,TEOS)氧化物、未摻雜矽酸玻璃、或摻雜氧化矽,例如硼摻雜磷矽玻璃(Boron-Doped Phospho-Silicate Glass,BPSG)、熔矽石玻璃(fused silica glass,FSG)、磷矽玻璃(Phospho-Silicate Glass,PSG)、硼矽玻璃(Boro-Silicate Glass,BSG)及/或其他與中間接觸蝕刻中止層146(例如氮化矽)具有不同蝕刻選擇性的合適介電材料。在特定實施例中,層間介電層148係由氧化矽(SiOx)所形成。層間介電層148可藉由電漿輔助化學氣相沉積製程或其他合適的沉積技術來沉積。在一些實施例中, 層間介電層148之厚度T4大於中間接觸蝕刻中止層146之厚度T3及蝕刻阻抗層145之厚度T1。在再一些實施例中,層間介電層148之厚度T4係大於中間接觸蝕刻中止層146及蝕刻阻抗層145的總厚度。舉例而言,層間介電層148之厚度T4的範圍為約3nm至約100nm。
請參閱圖16,層間介電層148係藉由利用第一蝕刻製程(亦稱為介層窗蝕刻製程)ET1而被圖案化,以形成介層窗開口O21延伸穿過層間介電層148。在一些實施例中,介層窗蝕刻製程ET1係異向性蝕刻製程,例如電漿蝕刻。以電漿蝕刻為例,具有如圖15所繪示之半導體基材12的結構係裝載至電漿工具中,並暴露至由無線電頻率(RF)或微波能量所產生的電漿環境,其係在含氟氣體(例如C4F8、C5F8、C4F6、CHF3或相似物質)、惰性氣體(例如氬或氦)、選擇性的弱氧化劑(例如O2或CO或相似物質)的氣相混合物中,持續時間係足以蝕刻穿過層間介電層148,甚至凹陷在介層窗開口O21之底部的中間接觸蝕刻中止層146之暴露部分。在包含C4F6、CF4、CHF3、O2及氬氣之氣體混合物中所產生的電漿可被用以蝕刻穿過層間介電層148以及凹陷在介層窗開口O21之底部的中間接觸蝕刻中止層146之暴露部分。電漿蝕刻環境之壓力係介於約10mTorr及約100mTorr,且電漿係藉由能量介於約50Watt及約1000Watt的無線電頻率所產生。
在一些實施例中,前述介層窗蝕刻製程ET1的蝕 刻劑及蝕刻條件係以中間接觸蝕刻中止層146(例如SiN)具有比層間介電層148(例如SiOx)更慢的蝕刻速率的方式選擇。如此一來,中間接觸蝕刻中止層146可做為可偵測的蝕刻末端點,藉以防止過度蝕刻,且因此防止打穿或擊穿中間接觸蝕刻中止層146。換言之,介層窗蝕刻製程ET1係調整為相較於蝕刻氮化矽,以更快的蝕刻速率來蝕刻氧化矽。觀察到的是,當蝕刻電漿係由含氫氣(H2)的氣態混合物所產生時,氮化矽的蝕刻速率增加。因此,根據本揭露一些實施例,介層窗蝕刻製程ET1係利用無氫氣態混合物來進行。換言之,介層窗蝕刻製程ET1中的電漿係在沒有氫氣的氣態混合物中產生。如此一來,在介層窗蝕刻製程ET1中維持低的氮化矽蝕刻速率,因而使得蝕刻氧化矽(即層間介電材料)比蝕刻氮化矽(即中間接觸蝕刻中止層及閘極介電蓋層材料)有更快的蝕刻速率。
在一些實施例中,在介層窗蝕刻製程ET1之前,進行光微影製程以定義介層窗開口O21之預期的上視圖案。舉例而言,光微影製程可包含旋轉塗佈在圖15所示之層間介電層148上的光阻層,進行後曝光烘烤製程,以及顯影光阻層以形成具有介層窗開口O21之上視圖案的圖案化罩幕。在一些實施例中,圖案化光阻以形成圖案化罩幕係利用電子束(electron beam,e-beam)微影製程或極紫外光(extreme ultraviolet,EUV)微影製程來進行。
圖17係繪示根據本揭露一些實施例之第二蝕刻製程(亦稱為襯墊移除蝕刻製程)ET2之起始階段的剖面視圖, 而圖18係繪示根據本揭露一些實施例之襯墊移除蝕刻製程ET2之最終階段的剖面視圖。襯墊移除蝕刻製程ET2的蝕刻持續時間係控制以擊穿(或稱為打穿)中間接觸蝕刻中止層146及蝕刻阻抗層145,因此加深或向下延伸介層窗開口O21至源極/汲極接觸144。由於襯墊移除蝕刻製程ET2,源極/汲極接觸144被暴露至加深的介層窗開口O21的底部。
在一些實施例中,襯墊移除蝕刻製程ET2係異向性蝕刻製程,例如電漿蝕刻[例如感應耦合式電漿(ICP)、電容耦合式電漿(capacitively coupled plasma,CCP)或相似者],其係利用與介層窗蝕刻製程ET1不同的蝕刻劑及/或蝕刻條件。襯墊移除蝕刻製程ET2的蝕刻劑及/或蝕刻條件係以使蝕刻阻抗層145(例如氧化物基材料)具有比中間接觸蝕刻中止層146及閘極介電蓋層142(例如氮化矽)更慢的蝕刻速率之方式來選擇。換言之,在襯墊移除蝕刻製程ET2中,蝕刻阻抗層145具有比中間接觸蝕刻中止層146及閘極介電蓋層142較高的蝕刻阻抗性。如此一來,蝕刻阻抗層145可減慢襯墊移除蝕刻製程ET2,減慢垂直蝕刻速率,進而在介層窗開口O21觸及蝕刻阻抗層145時,故介層窗開口O21中的深度增加。因此,減緩的深度增加可防止虎牙般的圖案形成在加深的介層窗開口O21中,進而減少漏電流的風險(例如自源極/汲極介層窗洩漏電流至閘極結構)。再者,當介層窗開口O21觸及蝕刻阻抗層145時,由於蝕刻阻抗層145減慢介層窗開口 O21之下部的垂直蝕刻速率而非側向蝕刻速率,襯墊移除蝕刻製程ET2可在蝕刻蝕刻阻抗層145時側向擴大介層窗開口O21的下部,以使介層窗開口O21之底部寬度增加,且介層窗開口O21之側壁輪廓可變得比蝕刻阻抗層145被打穿前更垂直,如圖17至圖18所繪示。舉例而言,在如圖17所繪示之蝕刻阻抗層145被擊穿之前,介層窗開口O21之側壁係以角度θ1延伸。在如圖18所繪示之蝕刻阻抗層145被擊穿之後,介層窗開口O21之側壁係以角度θ2延伸,其中角度θ2大於前述之角度θ1。再者,在如圖17所繪示之蝕刻阻抗層145被蝕刻之前,介層窗開口O21在介層窗開口O21之之底部具有底部寬度WB1。在如圖18所繪示之蝕刻阻抗層145被蝕穿之後,介層窗開口O21具有底部寬度WB2,其中底部寬度WB2大於前述之底部寬度WB1。
以襯墊移除蝕刻製程ET2的電漿蝕刻為例,具有如圖16所示之結構的半導體基材12係裝載至電漿設備,並暴露至由無線電頻率或微波能量所產生的電漿環境,其係在一或多種含氟氣體[例如CHF3、CF4、C2F2、C4F6、CxHyFz(x,y,z=0-9)或相似物質]、含氫氣體(例如H2)、含氮氣體(例如N2)及惰性氣體(例如氬或氦)的氣相混合物中,進行所控制的過度蝕刻時間係足以在穿透晶圓的每一個目標位置中蝕刻穿過中間接觸蝕刻中止層146及下方的蝕刻阻抗層145。電漿蝕刻環境之壓力係約10mTorr及約100mTorr之間,且電漿係藉由約50Watt及約 1000Watt之間的無線電能量所產生。
相較於蝕刻氧化物基材料(例如氧化矽),自含氫氣體混合物中產生的電漿可以較快的蝕刻速率蝕刻氮化物基材料(例如氮化矽),因此襯墊移除蝕刻製程ET2利用含氫氣體混合物以比蝕刻氮化物基中間接觸蝕刻中止層146更慢的蝕刻速率來蝕刻氧化物基蝕刻阻抗層145。如此一來,當介層窗開口O21向下延伸至蝕刻阻抗層145時,蝕刻阻抗層145可減慢襯墊移除蝕刻製程ET2。在一些實施例中,襯墊移除蝕刻製程ET2係利用CHF3氣體及H2氣體的氣體混合物,其中CHF3氣體及H2氣體的流速比為約1:1至約1:100。在一些實施例中,襯墊移除蝕刻製程ET2係利用CF4氣體及H2氣體的氣體混合物,其中CF4氣體及H2氣體的流速比為約1:1至約1:100。過高的H2氣體流速可能造成蝕刻閘極介電蓋層142過快的蝕刻速率,進而造成在介層窗開口O21中不可忽略的虎牙狀凹陷。過慢的H2氣體流速可能使蝕刻阻抗層145及中間接觸蝕刻中止層146之間的蝕刻選擇性不足。在一些實施例中,蝕刻阻抗層145對中間接觸蝕刻中止層146及/或閘極介電蓋層142的蝕刻速率比值範圍為約5至約10。
在蝕刻阻抗層145之厚度不大於約5nm的一些實施例中,襯墊移除蝕刻製程ET2係利用高選擇性含氫蝕刻劑的單一步驟蝕刻,其係以比蝕刻氧化物基材料更快的蝕刻速率來蝕刻氮化物基材料。在蝕刻阻抗層145之厚度大於約5nm的一些實施例中,襯墊移除蝕刻製程ET2係 雙步驟蝕刻,其係先進行高選擇性蝕刻,接著低選擇性蝕刻。高選擇性蝕刻係以比蝕刻蝕刻阻抗層145更快的蝕刻速率來蝕刻中間接觸蝕刻中止層146,且進行的所控制的過度蝕刻時間係足以蝕刻穿過中間接觸蝕刻中止層146,並重塑介層窗開口O21以具有更垂直的側壁輪廓。低選擇性蝕刻係以相當的蝕刻速率來蝕刻蝕刻阻抗層145及中間接觸蝕刻中止層146,因此可在縮短的持續時間內擊穿蝕刻阻抗層145。在襯墊移除蝕刻製程ET2係雙步驟蝕刻的一些實施例中,用以擊穿氮化物基中間接觸蝕刻中止層146的高選擇性蝕刻使用之蝕刻劑係例如CHF3氣體及H2氣體的氣體混合物,其中CHF3/H2的流速比為約1:1至約1:100,而用以擊穿氧化物基蝕刻阻抗層145的低選擇性蝕刻使用之蝕刻劑係例如CF4/CH3F/CH2F2/CHF3/H2及N2或O2/Ar氣體,以獲得低選擇性蝕刻。
襯墊移除蝕刻製程ET2的初始階段,如圖17所繪示,電漿蝕刻劑係以第一垂直蝕刻速率A1蝕刻中間接觸蝕刻中止層146。在襯墊移除蝕刻製程ET2的後續階段中,一旦介層窗開口O21擊穿中間接觸蝕刻中止層,蝕刻阻抗層145被暴露,然後電漿蝕刻劑係以第二垂直蝕刻速率A2蝕刻蝕刻阻抗層145,其中第二垂直蝕刻速率A2係慢於第一垂直蝕刻速率A1,如圖18所繪示。因此,在介層窗開口O21內的深度增加可藉由蝕刻阻抗層145來減慢,因此防止虎牙般凹陷自介層窗開口O21延伸至閘極 介電蓋層142。再者,在蝕刻蝕刻阻抗層145時,襯墊移除蝕刻製程ET2可側向地擴大介層窗開口O21的低部部分,以使介層窗開口O21具有增加的底部寬度及較垂直的側壁輪廓,如圖18所繪示。更特別地,介層窗開口O21在蝕穿蝕刻阻抗層145之後(如圖18所繪示)的側壁輪廓係比蝕刻蝕刻阻抗層145之前更陡峭或更垂直。因為介層窗開口O21增加的底部寬度,在源極/汲極接觸144及後續形成在介層窗開口內之源極/汲極介層窗之間的接觸面積可被增加,因此接觸阻抗可被減少。
在一些實施例中,如圖18所繪示,介層窗開口O21可暴露出目標源極/汲極接觸144之部分區域及在目標源極/汲極接觸144旁的閘極介電蓋層142之部分區域。在介層窗開口O21及目標源極/汲極接觸144之間的錯位可能因介層窗蝕刻製程ET1及/或襯墊移除蝕刻製程ET2的不準確(例如在光微影製程中發生的錯位,其係用以在圖案化光阻塗佈在層間介電層148上以定義介層窗開口O21之圖案)而不經意地被形成。然而,即使在錯位狀況中,在目標源極/汲極接觸144旁的閘極介電蓋層142係不經意地被過度蝕刻,以形成虎牙般的凹陷,因為在介層窗開口O21內的深度增加係在前述擊穿蝕刻阻抗層145時減緩。考慮到介層窗開口O21不具或具有可忽略的虎牙般凹陷,漏電流(例如在閘極結構130及後續形成在介層窗開口O21中的源極/汲極介層窗之間的漏電流)的風險可被減少。
在一些實施例中,如圖18所繪示,介層窗開口O21之側壁係線性地延伸穿過層間介電層148的全部厚度、中間接觸蝕刻中止層146的全部厚度以及蝕刻阻抗層145的全部厚度,且沒有斜率變化。在如圖18所示的一些實施例中,介層窗開口O21仍具有錐形的側壁輪廓,因為襯墊移除蝕刻製程ET2之異向性蝕刻的特性,但相較於沒有使用蝕刻阻抗層145來減慢襯墊移除蝕刻製程ET2的例示中,錐形的輪廓係更垂直。在另一些實施例中,襯墊移除蝕刻製程ET2及/或前述介層窗蝕刻製程ET1的蝕刻條件可些微調整,以使介層窗開口具有垂直的側壁輪廓。
請參閱圖19A,源極/汲極介層窗150係接著在介層窗開口O21中形成,以物理性及電性連接至目標源極/汲極接觸144。形成源極/汲極介層窗150係利用例如但不限於沉積一或多個金屬材料過度填充介層窗開口O21,接著進行化學機械平坦化製程以移除介層窗開口O21外多餘的金屬材料。由於化學機械平坦化製程,源極/汲極介層窗150具有與層間介電層148實質共平面的頂表面。源極/汲極介層窗150可包含例如銅、鋁、鎢、其組合等金屬材料,且可藉由利用物理氣相沉積、化學氣相沉積、原子層沉積等方法來形成。在一些實施例中,源極/汲極介層窗150可進一步包含一或多個阻障/黏著層(圖未繪示),以保護層間介電層148、中間接觸蝕刻中止層146及/或蝕刻阻抗層145免於金屬擴散(例如銅擴散)。一或多個阻障/黏著層可包含鈦、氮化鈦、鉭、氮化鉭等,且可藉由利用 物理氣相沉積、化學氣相沉積、原子層沉積等方法來形成。
源極/汲極介層窗150承接介層窗開口O21的幾何形狀,其係具有垂直側壁輪廓且不具虎牙般的輪廓,因此源極/汲極介層窗150亦具有垂直側壁輪廓且不具虎牙般的輪廓。更詳細地說,源極/汲極介層窗150之側壁係線性地延伸穿過層間介電層148的全部厚度、中間接觸蝕刻中止層146的全部厚度以及蝕刻阻抗層145的全部厚度,且沒有斜率變化。
在如圖19A所繪示之一些實施例中,源極/汲極介層窗150可接觸源極/汲極接觸144的部分區域及相鄰之閘極介電蓋層142的部分區域,其係由於在形成源極/汲極介層窗150的光微影製程及蝕刻製程中不經意地錯位。然而,在如圖19B所繪示之另一些實施例中,源極/汲極介層窗150的整個底表面可接觸下方的源極/汲極接觸144且被相鄰的閘極介電蓋層142所分開。
圖20至圖25係繪示根據本揭露另一些實施例之製造積體電路結構100a之各階段的剖面視圖。應理解的是,在圖20至圖25所示之製程之前、期間及之後可提供額外的操作,且在此方法的其他實施例中,以下所述的一些操作係可被取代或減少。操作/製程的順序可調換。如圖1至圖19B所述之相同或相似的配置、材料、製程及/或操作可在以下實施例中使用,且其詳細說明可省略。
在圖15所示之結構形成之後,層間介電層148係被圖案化以形成閘極接觸開口O31向下延伸穿過層間介 電層148、中間接觸蝕刻中止層146、蝕刻阻抗層145及閘極介電蓋層142至閘極金屬蓋層138。所得之結構係繪示於圖20。層間介電層148可藉由利用合適的光微影及蝕刻技術而被圖案化。
接著,如圖21所繪示,圖案化罩幕層MA1係形成在基材12上,以填充閘極接觸開口O31。圖案化罩幕層MA1具有在目標源極/汲極接觸144之正上方的開口O32。在一些實施例中,圖案化罩幕層MA1可藉由合適的光微影製程而形成光阻罩幕。舉例而言,光微影製程可包含旋轉塗佈光阻層在圖20所繪示之結構上、進行後曝光烘烤製程以及顯影光阻層以形成圖案化罩幕層MA1。在一些實施例中,圖案化光阻以形成被圖案化罩幕元件可利用電子束微影製程或極紫外光微影製程來進行。
請參閱圖22,以圖案化罩幕層MA1在適當位置,進行介層窗蝕刻製程ET3以形成介層窗開口O33延伸穿過層間介電層148。介層窗蝕刻製程ET3的蝕刻持續時間係控制為在中間接觸蝕刻中止層146被打穿之前停止。關於介層窗蝕刻製程ET3的詳細製程已於前述關於介層窗蝕刻製程ET1時進行說明,因此,為了簡潔的目的,不再重複說明之。
圖23係繪示根據本揭露一些實施例之襯墊移除蝕刻製程ET4之起始階段的剖面視圖,而圖24係繪示根據本揭露一些實施例之襯墊移除蝕刻製程ET4之最終階段的剖面視圖。襯墊移除蝕刻製程ET4的蝕刻持續時間係控制 以擊穿中間接觸蝕刻中止層146及蝕刻阻抗層145,藉以加深或向下延伸介層窗開口O33至目標源極/汲極接觸144。由於襯墊移除蝕刻製程ET4,源極/汲極接觸144被暴露至加深的介層窗開口O33的底部。關於襯墊移除蝕刻製程ET4的製程細節已於前述關於襯墊移除蝕刻製程ET2時進行說明,因此,為了簡潔的目的,不再重複說明之。
襯墊移除蝕刻製程ET4的蝕刻劑及/或蝕刻條件係以使蝕刻阻抗層145(例如氧化物基材料)具有比中間接觸蝕刻中止層146及閘極介電蓋層142(例如氮化矽)更慢的蝕刻速率之方式來選擇。如此一來,蝕刻阻抗層145可減慢襯墊移除蝕刻製程ET4,進而減慢垂直蝕刻速率,並在介層窗開口O33觸及蝕刻阻抗層145時,介層窗開口O33中的深度會增加。因此,減緩的深度增加可防止虎牙般的圖案形成在加深的介層窗開口O33中(例如在被介層窗開口O33暴露出的閘極介電蓋層142之部分中),進而減少漏電流的風險。再者,當介層窗開口O33觸及蝕刻阻抗層145時,由於蝕刻阻抗層145減慢介層窗開口O33之下部的垂直蝕刻速率而非側向蝕刻速率,襯墊移除蝕刻製程ET4可在蝕刻蝕刻阻抗層145時側向擴大介層窗開口O33的下部,以使介層窗開口O33之底部寬度增加,且介層窗開口O33之側壁輪廓可變得比蝕刻阻抗層145被打穿前更垂直,如圖23至圖24所繪示。
在襯墊移除蝕刻製程ET4完成之後,圖案化罩幕 層MA1係藉由灰化及/或溼式剝離自閘極接觸開口O31中移除,然後對接接觸152係形成以同時填充被加深的介層窗開口O33及閘極接觸開口O31。所得之結構係繪示在圖25。閘極結構130係透過源極/汲極接觸144、對接接觸152及金屬蓋層138而電性連接至源極/汲極磊晶結構122。關於對接接觸152的材料及製程細節係相似於源極/汲極介層窗150,因此,為了簡潔的目的,不再重複說明之。
圖26至圖45B係繪示根據本揭露一些實施例之積體電路結構200形成之中間階段的透視圖及剖面視圖。根據一些例示的實施例,形成的電晶體可包含p型電晶體(例如p型GAA FET)及n型電晶體(例如n型GAA FET)。透過各種視圖及說明的實施例,相似的參考數值係用以表示相似的元件。應理解的是,在圖26至圖45B所示之製程之前、期間及之後可提供額外的操作,且在此方法的其他實施例中,以下所述的一些操作係可被取代或減少。操作/製程的順序可調換。
圖26、圖27、圖29A、圖30A、圖31A及圖32A係在製程中間階段之積體電路結構200的一些實施例的透視圖。圖29B、圖30B、圖31B、圖32B、圖33至圖35、圖36A及圖37至圖45B係在製程中間階段中,積體電路結構200沿著第一切線(例如圖29A中的切線X-X)的一些實施例的剖面視圖,其係沿著通道的縱向方向且垂直於基材的頂表面。圖36B係在製程中間階段中,積體電路結 構200沿著第二切線(例如圖29A中的切線Y-Y)的一些實施例的剖面視圖,其係在閘極區域中且垂直於通道的縱向方向。
請參閱圖26,磊晶堆疊220係形成在基材210上。在一些實施例中,基材210可包含矽(Si)。另外,基材210可包含鍺(Ge)、矽鍺(SiGe)、III-V族材料(例如GaAs、GaP、GaAsP、AlInAs、AlGaAs、GaInAs、InAs、GaInP、InP、InSb及/或GaInAsP;或其組合)或其他合適的半導體材料。在一些實施例中,基材210可包含絕緣層上覆半導體(semiconductor-on-insulator,SOI)結構,例如埋入介電層。更另外地,基材210可包含例如埋入氧化物(buried oxide,BOX)層的埋入介電層,其係藉由分離植入氧氣(separation by implantation of oxygen,SIMOX)技術、晶圓鍵合、選擇性區域磊晶成長(selective epitaxial growth,SEG)或其他合適的方法所形成。
磊晶堆疊220包含以第二組成物的磊晶層224***之第一組成物的磊晶層222。第一組成物及第二組成物可為不同。在一些實施例中,磊晶層222係SiGe,而磊晶層224為矽(Si)。然而,可能有另一些實施例係由包含具有不同氧化速率及/或蝕刻選擇性的第一組成物及第二組成物所提供者。在一些實施例中,磊晶層222包含SiGe而磊晶層224包含Si,磊晶層224之Si的氧化速率係比 磊晶層222之SiGe的氧化速率慢。
磊晶層224或其部分可形成多重閘極電晶體的奈米片通道。奈米片的用語在此係用以指示具有奈米尺度或甚至微米尺度的任何材料部分,且無論此部分的剖面形狀,其係具有拉長的形狀。因此,此用語同時指示圓形及實質為圓形剖面的拉長材料部分,且束狀或棒狀的材料部分包含例如圓柱狀或實質矩形的剖面。定義裝置的一個通道或多個通道的磊晶層224的使用會進一步在以下進行說明。
須注意的是,如圖26所示,三層磊晶層222及三層磊晶層224係交替地排列,其僅是為了做說明而無意構成限制,特別是對申請專利範圍的限制。須理解的是,可在磊晶堆疊220中形成任何數量的磊晶層;層數係取決於電晶體所要的通道區域的數量。在一些實施例中,磊晶層224的數量係介於2和10之間。
以下進行更詳細的說明,磊晶層224可做為後續形成之多閘極裝置的(複數個)通道區域,且其厚度係基於裝置效能的考量而選擇。磊晶層222最後可被移除,且用以定義後續形成之多閘極裝置之相鄰通道區域之間的垂直距離,且其厚度係基於裝置效能的考量而選擇。因此,磊晶層222也可當作犠牲層,且磊晶層224也可當做通道層。
舉例而言,堆疊220之層的磊晶成長可藉由分子束磊晶(molecular beam epitaxy,MBE)製程、有機金屬化學氣相沉積(metalorganic chemical vapor deposition,MOCVD)製程及/或其他合適的磊晶成長製程來進行。在一些實施例中,例如磊晶層224的磊晶成長層包含與基材210相同的材料。在一些實施例中,磊晶成長層222及磊晶成長層224包含與基材210不同的材料。如上所述,在至少一些具體例中,磊晶層222包含磊晶成長矽鍺(SiGe)層,而磊晶層224包含磊晶成長矽(Si)層。另外,在一些實施例中,磊晶層222及磊晶層224其中之一者可包含其他材料,例如鍺、化合物半導體(例如碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦及/或銻化銦)、合金半導體(例如SiGe、GaAsP、AlInAs、AlGaAs、InGaAs、GaInP及/或GaInAsP)或其組合。根據所述,磊晶層222及磊晶層224之材料可基於提供不同氧化及/或蝕刻選擇性質來做選擇。在一些實施例中,磊晶層222及磊晶層224實質係無摻雜(即,其外來摻質濃度為約0cm-3至約1×1018cm-3),舉例而言,在磊晶成長製程中,沒有進行刻意的摻雜。
請參閱圖27,自基材210延伸的複數個半導體鰭片230係被形成。在各種實施例中,每一個鰭片230包含自基材210形成之基材部分212以及磊晶堆疊(包含磊晶層222及磊晶層224)之每一個磊晶層的部分。鰭片230可利用包含雙重圖案化或多重圖案化製程的合適製程來製造。一般而言,雙重圖案化或多重圖案化製程結合光微影及自校準製程,舉例而言,可使所創造的圖案之間距小於其他利用單一、直接微影製程所獲得之間距。舉例而言, 在一實施例中,犠牲層係形成在基材上並利用光微影製程來被圖案化。間隙壁係利用自校準製程而形成在被圖案化犠牲層的旁邊。接著,移除犠牲層,而剩下的間隙壁或心軸可接著藉由蝕刻初始磊晶堆疊220以圖案化鰭片230。蝕刻製程可包含乾式蝕刻、溼式蝕刻、反應性離子蝕刻(RIE)及/或其他合適的製程。
在圖26及圖27所繪示的實施例中,在圖案化鰭片230之前,硬罩幕(hard mask,HM)層910係形成在磊晶堆疊220上。在一些實施例中,硬罩幕層包含氧化物層912(例如墊氧化物層,其可包含SiO2)及形成在氧化物層上的氮化物層914(例如墊氮化物層,其可包含Si3N4)。氧化物層912可做為磊晶堆疊220及氮化物層914之間的黏著層,且可做為蝕刻氮化物層914的蝕刻中止層。在一些具體例中,硬罩幕氧化物層912包含熱成長氧化物、化學氣相沉積所沉積的氧化物及/或原子層沉積所沉積的氧化物。在一些實施例中,硬罩幕氮化物層914係藉由化學氣相沉積及/或其他合適的技術沉積在硬罩幕氧化物層912上。
隨後,鰭片230可利用包含光微影及蝕刻製程的合適製程來製造。光微影製程可包含形成光阻層(圖未繪示)在硬罩幕層910上、暴露光阻至圖案、進行後曝光烘烤製程以及顯影光阻以形成包含光阻之被圖案化的罩幕。在一些實施例中,可利用電子束微影製程或極紫外光微影製程(使用極紫外光區域的光,例如具有波長約1nm至約200 nm)來進行圖案化光阻以形成被圖案化光罩元件。然後,被圖案化罩幕係用以保護基材210的區域及形成在其上方的層,而蝕刻製程在未保護區域中形成溝槽202穿過硬罩幕層910、穿過磊晶堆疊220至基材210中,藉以留下複數個延伸鰭片230。溝槽202可利用乾式蝕刻(例如反應性離子蝕刻)、溼式蝕刻及/或其組合而被蝕刻。用以形成鰭片在基材上之方法的許多其他實施例亦可被使用,其包含例如定義鰭片區域(例如藉由罩幕或隔離區域)以及磊晶成長鰭片230之形式的磊晶堆疊220。
接著,如圖28所繪示,淺溝槽隔離區域240係形成為***鰭片230之間。關於淺溝槽隔離區域240的材料及製程細節係與前述之淺溝槽隔離區域14者相似,因此,為了簡潔的目的,不再重複說明之。
請參閱圖29A及圖29B。虛擬閘極結構250係形成在基材210上且係至少部分地設置在鰭片230上。在虛擬閘極結構250下方的鰭片230之部分可被當作通道區域。虛擬閘極結構250也可定義鰭片230的源極/汲極(S/D)區域,例如,與鰭片230相鄰且在通道區域之相對側上的區域。
虛擬閘極形成步驟首先係形成虛擬閘極介電層252在鰭片230上。隨後,虛擬閘極電極層254及包含多層256及258(例如氧化物層256及氮化物層258)的硬罩幕係形成在虛擬閘極介電層252上。然後,硬罩幕係被圖案化,接著藉由使用被圖案化硬罩幕做為蝕刻罩幕來圖 案化虛擬閘極電極層254。在一些實施例中,在圖案化虛擬閘極電極層254之後,虛擬閘極介電層252係自鰭片230之源極/汲極區域中移除。蝕刻製程可包含溼式蝕刻、乾式蝕刻及/或其組合。蝕刻製程係選擇以選擇性地蝕刻虛擬閘極介電層252而實質不蝕刻鰭片230、虛擬閘極電極層254、氧化物罩幕層256及氮化物罩幕層258。虛擬閘極介電層及虛擬閘極電極層的材料係與前述之虛擬閘極介電層108及虛擬閘極電極層110的材料相似,因此,為了簡潔的目的,不再重複說明之。
在虛擬閘極結構250形成之後,閘極間隙壁260係形成在虛擬閘極結構250之側壁上。舉例而言,間隙壁材料層係沉積在基材210上。間隙壁材料層可為共形層,其係後續被回蝕以形成閘極側壁間隙壁。在所述實施例中,間隙壁材料層260係共形地設置在虛擬閘極結構250之頂部及側壁上。間隙壁材料層260可包含例如氧化矽、氮化矽、碳化矽、氮氧化矽、SiCN膜、碳氧化矽、SiOCN膜及/或其組合的介電材料。在一些實施例中,間隙壁材料層260包含多層,例如第一間隙壁層262及形成在第一間隙壁層262上的第二間隙壁層264(如圖29B所繪示)。舉例而言,間隙壁材料層260可藉由利用合適的沉積製程來沉積介電材料在閘極結構250上而形成。然後,異向性蝕刻製程係在被沉積的間隙壁材料層260上進行,以暴露出鰭片230未被虛擬閘極結構250所覆蓋的部分(例如在鰭片230之源極/汲極區域中)。在虛擬閘極結構250正上方 的間隙壁材料層之部分可藉由此異向性蝕刻製程而完全地被移除。在虛擬閘極結構250之側壁上的間隙壁材料層之部分可維持,形成閘極側壁間隙壁,為了簡化,其係表示為閘極間隙壁260。須注意的是,雖然閘極間隙壁260在圖29B之剖面視圖中為多層結構,為了簡化,其係在圖29A之透視圖中被繪示成單層結構。
接著,如圖30A及圖30B所繪示,側向延伸至閘極間隙壁260之後(例如在鰭片230之源極/汲極區域中)的半導體鰭片230之暴露部分係利用例如異向性蝕刻製程來蝕刻,其係利用虛擬閘極結構250及閘極間隙壁260做為蝕刻罩幕,形成在半導體鰭片230中且在對應的虛擬閘極結構250之間的凹陷R6。在異向性蝕刻之後,犠牲層222及通道層224的末端面係對準個別閘極間隙壁260之最外面的側壁,其係由於異向性蝕刻的緣故。在一些實施例中,異向性蝕刻可藉由利用電漿源及反應性氣體的乾式化學蝕刻來進行。電漿源可為感應耦合式電漿源(ICP)、變壓耦合式電漿源(TCP)、電子迴旋共振源(ECR)等,且反應性氣體可為例如氟基氣體(例如SF6、CH2F2、CH3F、CHF3等)、氯基氣體(例如Cl2)、溴化氫氣體(HBr)、氧氣(O2)、相似物或其組合。
接著,在圖31A及圖31B中,犠牲層222係藉由利用合適的蝕刻技術而側向地且水平地被凹陷,形成側向凹陷R7,其每一者係垂直地介於相應的通道層224之間。此步驟可藉由利用選擇性蝕刻製程來進行。例如但不 構成限制的是,犠牲層222為SiGe且通道層224為矽,其係為了犠牲層222的選擇性蝕刻。在一些實施例中,選擇性溼式蝕刻包含APM蝕刻(例如氫氧化銨-過氧化氫-水混合物),其係以比蝕刻Si更快的蝕刻速率來蝕刻SiGe。在一些實施例中,選擇性蝕刻包含SiGe氧化,接著SiGeOx移除。舉例而言,氧化可藉由O3清洗來提供,然後SiGeOx係藉由例如NH4OH的蝕刻劑來移除,其係以比蝕刻Si更快的蝕刻速率來選擇性地蝕刻SiGeOx。再者,因為Si的氧化速率遠慢於(有時係慢30倍)SiGe的氧化速率,通道層224係藉由側向凹陷犠牲層222的製程而未被顯著地蝕刻。因此,通道層224係側向地延伸到犠牲層222的相對末端面。
在圖32A及圖32B中,內間隙壁材料層270係形成以填充凹陷R7,其中凹陷R7係以上參閱圖31A及圖31B所述之犠牲層222之側向蝕刻所留下。內間隙壁材料層270可為低k介電材料,例如SiO2、SiN、SiCN、SiOCN,且其係藉由合適的沉積方法(例如原子層沉積)所形成。在內間隙壁材料層270沉積之後,異向性蝕刻製程係進行以修整所沉積的內間隙壁材料層270,使得只有所沉積的內間隙壁材料層270用以填充凹陷R7之部分被留下,其係犠牲層222之側向蝕刻所留下之部分。在修整製程之後,為了簡化,被沉積之內間隙壁材料之剩餘部分係表示為內間隙壁270。內間隙壁270係用以隔離金屬閘極與後續製程中所形成之源極/汲極磊晶結構。在圖32A及 圖32B之具體例中,內間隙壁270之側壁係實質對準通道層224的側壁。
在圖33中,源極/汲極磊晶結構280係形成在半導體鰭片230之源極/汲極區域S/D上。源極/汲極磊晶結構280係藉由進行磊晶成長製程來形成,其係提供鰭片230上的磊晶材料。在磊晶成長製程過程中,虛擬閘極結構250、閘極側壁間隙壁260及內間隙壁270限制源極/汲極磊晶結構280至源極/汲極區域S/D。關於GAA FET之源極/汲極磊晶結構280的材料及製程細節係與前述之FinFET的源極/汲極磊晶結構122相似,因此,為了簡潔的目的,不再重複說明之。
在圖34中,層間介電層310係形成在基材210上。在一些實施例中,接觸蝕刻中止層(CESL)亦在形成層間介電層310之前被形成。關於層間介電層310之材料及製程細節係相似於層間介電層126者,因此,為了簡潔的目的,不再重複說明之。在一些具體例中,在沉積層間介電層310之後,可進行平坦化製程,以移除層間介電層310的多餘材料。舉例而言,平坦化製程包含化學機械平坦化(CMP)製程,其係移除在虛擬閘極結構250上的層間介電層310之部分(以及接觸蝕刻中止層,若存在的話),並平坦化積體電路結構200之頂表面。在一些實施例中,化學機械平坦化製程亦移除硬罩幕層256及硬罩幕層258(如圖33所示),並暴露出虛擬閘極電極層254。
然後,虛擬閘極結構250(如圖34所示)先被移除, 接著犠牲層222被移除。所得結構係繪示於圖35中。在一些實施例中,虛擬閘極結構250係利用選擇性蝕刻製程(例如:選擇性乾式蝕刻、選擇性溼式蝕刻或其組合)而被移除,其係相對於蝕刻其他材料(例如閘極側壁間隙壁260及/或層間介電層310),可以更快的蝕刻速率來蝕刻虛擬閘極結構250之材料,因而造成在對應的閘極側壁間隙壁260之間的閘極溝槽GT2,且犠牲層222暴露在閘極溝槽GT2中。隨後,在閘極溝槽GT2中的犠牲層222係藉由利用其他選擇性蝕刻製程來移除,其係以比蝕刻通道層224更快的蝕刻速率來蝕刻犠牲層222,因而形成在相鄰通道層224之間的開口O6。如此一來,通道層224變成懸掛在基材210上且在源極/汲極磊晶結構280之間的奈米片。此步驟亦稱為通道釋放製程(channel release process)。在此過渡製程步驟中,奈米片224之間的開口O6可在一般環境條件(例如空氣、氮氣等)下被填充。在一些實施例中,奈米片224可被替換成奈米線、奈米平板及奈米環,其係取決於幾何形狀。舉例而言,在另一些實施例中,通道層224可被修整為具有實質環狀形狀(即圓柱),其係由於用以完全移除犠牲層222的選擇性蝕刻製程的緣故。在此例示中,所得之通道層224可被稱為奈米線。
在一些實施例中,犠牲層222係藉由利用選擇性溼式蝕刻製程來移除。在一些實施例中,犠牲層222係SiGe且通道層224為矽,其係為了犠牲層222的選擇性 移除。在一些實施例中,選擇性溼式蝕刻包含APM蝕刻(例如氫氧化銨-過氧化氫-水混合物)。在一些實施例中,選擇性移除包含SiGe氧化,接著SiGeOx移除。舉例而言,氧化可藉由O3清洗來提供,而SiGeOx移除係藉由例如NH4OH的蝕刻劑以比蝕刻Si更快的蝕刻速率來選擇性地蝕刻SiGeOx。再者,因為Si的氧化速率係遠慢於(有時係慢30倍)SiGe的氧化速率,通道層224可不被通道釋放製程顯著地蝕刻。須注意的是,在一些實施例中,通道釋放步驟及前述側向凹陷犠牲層的步驟(圖31A及圖31B所示之步驟)皆利用選擇性蝕刻製程,其係以比蝕刻矽更快的蝕刻速率來蝕刻SiGe,且因此在一些實施例中,此兩個步驟可利用相同的蝕刻劑化學品。在此例示中,通道釋放步驟的蝕刻時間/持續時間係比前述側向凹陷犠牲層的步驟之蝕刻時間/持續時間更長,其係為了完全地移除犠牲SiGe層。
在圖36A及圖36B中,取代閘極結構320係分別地形成在閘極溝槽GT2中,以環繞每一個懸掛在閘極溝槽GT2中的奈米片224。閘極結構320可為GAA FET的最終閘極。最終閘極結構可為高k/金屬閘極堆疊,然而,可能為其他組成。在一些實施例中,每一個閘極結構320形成與複數個奈米片224所提供之多重通道相連的閘極。舉例而言,高k/金屬閘極結構320係形成在開口O6中(如圖35所繪示),其中開口O6係藉由奈米片224的釋放所提供。在各種實施例中,高k/金屬閘極結構320包含形成 為環繞奈米片224的閘極介電層322、形成為環繞閘極介電層322的功函數金屬層324以及形成為環繞功函數金屬層324並填充剩餘閘極溝槽GT2的填充金屬326。閘極介電層322包含界面層(例如氧化矽層)及在界面層上的高k閘極介電層。在此所使用及所述之高k閘極介電質包含具有高介電常數的介電材料,例如介電常數大於熱氧化矽的介電常數(~3.9)。用於高k/金屬閘極結構320之中的功函數金屬層324及/或填充金屬層326可包含金屬、金屬合金或金屬矽化物。高k/金屬閘極結構320的形成可包含沉積,以形成各種閘極材料、一或多層襯層,以及一或多個化學機械平坦化製程,以移除多餘的閘極材料。如圖36B所繪示之剖面視圖,其係沿高k/金屬閘極結構320的縱軸所取得,高k/金屬閘極結構320環繞每一個奈米片224,且因此被視為GAA FET的閘極。關於GAA FETs之閘極結構320的材料及製程細節係與前述之FinFETs的閘極結構130相似,因此,為了簡潔的目的,不再重複說明之。
在圖37中,回蝕製程係進行以回蝕取代閘極結構320及閘極間隙壁260,造成在回蝕閘極結構320及回蝕閘極間隙壁260上的凹陷。在一些實施例中,因為取代閘極結構320的材料具有與閘極間隙壁260不同的蝕刻選擇性,取代閘極結構320之頂表面可與閘極間隙壁260之頂表面在不同水平高度。舉例而言,如圖37繪示的所述實施例中,取代閘極結構320之頂表面係低於閘極間隙壁260 之頂表面。然而,在另一些實施例中,取代閘極結構320之頂表面係與閘極間隙壁260之頂表面有相同水平高度或高於閘極間隙壁260之頂表面。
然後,金屬蓋層330係藉由合適的製程(例如化學氣相沉積或原子層沉積)分別形成在取代閘極結構320之上。金屬蓋層330可為例如但不限於實質無氟之鎢(FFW)膜,其所具有之氟汙染物係低於5原子百分比,且氯汙染係大於3原子百分比。關於無氟之鎢的形成已於前述關於閘極金屬蓋層138時進行說明,因此,為了簡潔的目的,不再重複說明之。
在圖38中,閘極介電蓋層340係形成在金屬蓋層330及閘極間隙壁260上。因為金屬蓋層330之頂表面係低於閘極間隙壁260之頂表面,每一個介電蓋層340之階梯狀底表面的下階梯係接觸金屬蓋層330之頂表面,而上階梯係接觸閘極間隙壁260之頂表面。關於介電蓋層的材料及製程細節係與前述之介電蓋層142相似,因此,為了簡潔的目的,不再重複說明之。
在圖39中,源極/汲極接觸350係形成為延伸穿過層間介電層310。源極/汲極接觸350的形成包含,做為例示但不限於,進行一或多次蝕刻製程以形成接觸開口延伸穿過層間介電層310,以暴露出源極/汲極磊晶結構280、沉積一或多個金屬材料以過量填充接觸開口,然後進行化學機械平坦化製程,以移除在接觸開口外的多餘金屬材料。在一些實施例中,一或多個蝕刻製程係選擇性蝕 刻,相較於蝕刻介電蓋層340及閘極間隙壁260,其係以較快的蝕刻速率來蝕刻層間介電層310。因此,選擇性蝕刻係利用介電蓋層340及閘極間隙壁260做為蝕刻罩幕來進行,以使接觸開口以及源極/汲極接觸350形成為自對準源極/汲極磊晶結構280,而不須使用另外的光微影製程。在此例示中,用以形成自對準接觸350的介電蓋層340可被稱為自對準接觸蓋層340。
在圖40中,蝕刻阻抗層352係形成在閘極介電蓋層340及源極/汲極接觸350上,其係藉由利用原子層沉積製程、電漿輔助化學氣相沉積製程及/或其他合適的沉積製程。在一些實施例中,蝕刻阻抗層352係由不同於閘極介電蓋層340之材料及後續形成之中間接觸蝕刻中止層之材料的材料所組成。舉例而言,當閘極介電蓋層340及後續形成之中間接觸蝕刻中止層係由氮化物基材料(例如氮化矽)所組成,蝕刻阻抗層352係由氧化物材料所組成,例如氧化矽、四乙氧基矽烷氧化物、富矽氧化物或其他合適的氧化物介電材料。因為材料的不同,蝕刻阻抗層352具有與後續形成之中間接觸蝕刻中止層及閘極介電蓋層340不同的蝕刻選擇性。因此,在接著的襯墊移除蝕刻製程中,蝕刻阻抗層352具有比閘極介電蓋層340及中間接觸蝕刻中止層都慢的蝕刻速率,其使得襯墊移除蝕刻製程減緩,以下會做更詳細的說明。
在一些實施例中,蝕刻阻抗層352具有厚度T5。在一些實施例中,對於3nm技術節點,厚度T5之範圍為 約1埃至約50埃。在再一些實施例中,厚度T5對閘極介電蓋層340之最大厚度T6的比例係約3:100至約60:100。若厚度比T5/T6太小,蝕刻阻抗層352可能太薄而不足以減緩後續的襯墊移除蝕刻製程。若厚度比T5/T6太大,蝕刻阻抗層352可能太厚而無法在預期的蝕刻時間內被打穿。對於其他技術節點,例如20nm節點、16nm節點、10nm節點、7nm節點及/或5nm節點,蝕刻阻抗層352之厚度T5之範圍為約1nm至約20nm。
在圖41中,在蝕刻阻抗層352被形成在閘極介電蓋層340上之後,中間接觸蝕刻中止層360係接著形成在蝕刻阻抗層352上。然後,另一層間介電層370係沉積在中間接觸蝕刻中止層360上。在一些實施例中,閘極介電蓋層340及中間接觸蝕刻中止層360皆為氮化物基材料(例如氮化矽),而蝕刻阻抗層352及層間介電層370皆為氧化物基材料(例如氧化矽),因此層間介電層370及蝕刻阻抗層352具有不同於閘極介電蓋層340及中間接觸蝕刻中止層360兩者的蝕刻選擇性。在一些實施例中,中間接觸蝕刻中止層360之厚度T7大於蝕刻阻抗層352之厚度T5。舉例而言,中間接觸蝕刻中止層360之厚度T7範圍為約3nm至約20nm。在再一些實施例中,層間介電層370之厚度T8係大於中間接觸蝕刻中止層360及蝕刻阻抗層352的總厚度。舉例而言,層間介電層370之厚度T8的範圍為約3nm至約100nm。
在圖42中,層間介電層370係藉由利用介層窗 蝕刻製程ET5而被圖案化以形成介層窗開口O41延伸穿過層間介電層370。在一些實施例中,介層窗蝕刻製程ET5係異向性蝕刻製程,例如電漿蝕刻。關於介層窗蝕刻製程ET5的製程細節係與前述之介層窗蝕刻製程ET1相似,因此,為了簡潔的目的,不再重複說明之。
圖43係繪示根據本揭露一些實施例之襯墊移除蝕刻製程ET6之起始階段的剖面視圖,而圖44係繪示根據本揭露一些實施例之襯墊移除蝕刻製程ET6的最終階段。襯墊移除蝕刻製程ET6的蝕刻持續時間係控制以擊穿中間接觸蝕刻中止層360及蝕刻阻抗層352,因此加深或向下延伸介層窗開口O41至目標源極/汲極接觸350。由於襯墊移除蝕刻製程ET6,目標源極/汲極接觸350被暴露至加深的介層窗開口O41的底部。關於襯墊移除蝕刻製程ET6的製程細節已於前述關於襯墊移除蝕刻製程ET2時進行說明,因此,為了簡潔的目的,不再重複說明之。
因為蝕刻阻抗層352與中間接觸蝕刻中止層360之間的蝕刻選擇性,當中間接觸蝕刻中止層360被擊穿時,蝕刻阻抗層352可減慢襯墊移除蝕刻製程ET6,進而減慢垂直蝕刻速率,並在介層窗開口O41觸及蝕刻阻抗層352時,介層窗開口O41中的深度會增加。因此,減緩的深度增加可防止虎牙般的圖案形成在介層窗開口O41中,進而減少漏電流的風險。再者,當介層窗開口O41觸及蝕刻阻抗層352時,由於蝕刻阻抗層352減慢垂直蝕刻速率而非側向蝕刻速率,襯墊移除蝕刻製程ET6可在蝕刻蝕刻阻抗 層352時側向擴大介層窗開口O41的下部,以使介層窗開口O41之底部寬度增加,且介層窗開口O41之側壁輪廓可變得比蝕刻蝕刻阻抗層352被打穿前更垂直或更陡峭,如圖43至圖44所繪示。
在圖44所繪示之一些實施例中,介層窗開口O41可暴露出目標源極/汲極接觸350之部分區域及在目標源極/汲極接觸350旁的閘極介電蓋層340之部分區域。在介層窗開口O41及目標源極/汲極接觸350之間的錯位可能因介層窗蝕刻製程ET5及/或襯墊移除蝕刻製程ET6的不準確(例如在光微影製程中發生的錯位,其係用以在圖案化光阻塗佈在層間介電層370上以定義介層窗開口O41之圖案)而不經意地被形成。然而,即使在錯位狀況中,在目標源極/汲極接觸350旁的閘極介電蓋層340係不經意地被過度蝕刻,以形成虎牙般的凹陷,因為在介層窗開口O41內的深度增加係如前述在擊穿蝕刻阻抗層352時減緩。考慮到介層窗開口O41不具或具有可忽略的虎牙般凹陷,漏電流(例如在閘極結構320及後續形成在介層窗開口O41中的源極/汲極介層窗之間的漏電流)的風險可被減少。
接著,在圖45A中,源極/汲極介層窗380係接著形成在介層窗開口O41中,以物理性及電性連接至目標源極/汲極接觸350。關於源極/汲極介層窗380的材料及製程細節係與前述之源極/汲極介層窗150相似,因此,為了簡潔的目的,不再重複說明之。
源極/汲極介層窗380承接介層窗開口O41的幾何形狀,其係具有垂直側壁輪廓且不具虎牙般的輪廓,因此源極/汲極介層窗380亦具有垂直側壁輪廓且不具虎牙般的輪廓。更詳細地說,源極/汲極介層窗380之側壁係線性地延伸穿過層間介電層370的全部厚度、中間接觸蝕刻中止層360的全部厚度以及蝕刻阻抗層352的全部厚度,且沒有斜率變化。
在如圖45A所繪示之一些實施例中,源極/汲極介層窗380可接觸源極/汲極接觸350的部分區域及相鄰之閘極介電蓋層340的部分區域,其係由於在形成源極/汲極介層窗380的光微影製程及蝕刻製程中不經意地錯位。然而,在如圖45B所繪示之另一些實施例中,源極/汲極介層窗380的整個底表面可接觸下方的源極/汲極接觸350。
圖46至圖51係繪示根據本揭露另一些實施例之製造積體電路結構200a之各階段的例示剖面視圖。應理解的是,在圖46至圖51所示之製程之前、期間及之後可提供額外的操作,且在此方法的其他實施例中,以下所述的一些操作係可被取代或減少。操作/製程的順序可調換。如圖26至圖45B所述之相同或相似的配置、材料、製程及/或操作可在以下實施例中使用,且其詳細說明可省略。
在圖41所示之結構形成之後,層間介電層370係被圖案化以形成閘極接觸開口O51向下延伸穿過層間介電層370、中間接觸蝕刻中止層360及介電蓋層340至金 屬蓋層330。所得之結構係繪示於圖46。層間介電層370可藉由利用合適的光微影及蝕刻技術而被圖案化。
接著,如圖47所繪示,圖案化罩幕層MA2係形成在基材210上,以填充閘極接觸開口O51。圖案化罩幕層MA2具有在目標源極/汲極接觸350之正上方的開口O52。在一些實施例中,圖案化罩幕層MA2可藉由合適的光微影製程而形成光阻罩幕。舉例而言,光微影製程可包含旋轉塗佈光阻層在圖46所繪示之結構上、進行後曝光烘烤製程以及顯影光阻層以形成圖案化罩幕層MA2。
在圖48中,藉由利用圖案化罩幕層MA2做為蝕刻罩幕,以進行介層窗蝕刻製程ET7以形成介層窗開口O53延伸穿過層間介電層370。介層窗蝕刻製程ET7的蝕刻持續時間係控制為在中間接觸蝕刻中止層360被打穿之前停止。關於介層窗蝕刻製程ET7的詳細製程已於前述關於介層窗蝕刻製程ET1時進行說明,因此,為了簡潔的目的,不再重複說明之。
圖49係繪示根據本揭露一些實施例之襯墊移除蝕刻製程ET8之起始階段的剖面視圖,而圖50係繪示根據本揭露一些實施例之襯墊移除蝕刻製程ET8之最終階段的剖面視圖。襯墊移除蝕刻製程ET8的蝕刻持續時間係控制以擊穿中間接觸蝕刻中止層360及蝕刻阻抗層352,藉以加深或向下延伸介層窗開口O53至目標源極/汲極接觸350。由於襯墊移除蝕刻製程ET8,源極/汲極接觸350被暴露至加深的介層窗開口O53的底部。關於襯墊移除蝕 刻製程ET8的製程細節已於前述關於襯墊移除蝕刻製程ET2時進行說明,因此,為了簡潔的目的,不再重複說明之。
襯墊移除蝕刻製程ET8的蝕刻劑及/或蝕刻條件係以使蝕刻阻抗層352(例如氧化物基材料)具有比中間接觸蝕刻中止層360及閘極介電蓋層340(例如氮化物基材料)更慢的蝕刻速率之方式來選擇。如此一來,蝕刻阻抗層352可減慢襯墊移除蝕刻製程ET8,進而減慢垂直蝕刻速率,並在介層窗開口O53觸及蝕刻阻抗層352時,介層窗開口O53中的深度會增加。因此,減緩的深度增加可防止虎牙般的圖案形成在加深的介層窗開口O53中(特別是在目標源極/汲極接觸350之相對側上的閘極介電蓋層340中),進而減少漏電流的風險。再者,當介層窗開口O53觸及蝕刻阻抗層352時,由於蝕刻阻抗層352減慢介層窗開口O53之下部的垂直蝕刻速率而非側向蝕刻速率,襯墊移除蝕刻製程ET8可在蝕刻蝕刻阻抗層352時側向擴大介層窗開口O53的下部,以使介層窗開口O53之底部寬度增加,且介層窗開口O53之側壁輪廓可變得比蝕刻阻抗層145被打穿之前更垂直或更陡峭,如圖49至圖50所繪示。
在襯墊移除蝕刻製程ET8完成之後,圖案化罩幕層MA2係藉由灰化及/或溼式剝離自閘極接觸開口O51中移除,然後對接接觸390係形成以同時填充被加深的介層窗開口O53及閘極接觸開口O51。所得之結構係繪示在 圖51。閘極結構320係透過源極/汲極接觸350、對接接觸390及閘極金屬蓋層330而電性連接至源極/汲極磊晶結構280。關於對接接觸390的材料及製程細節係相似於源極/汲極介層窗150,因此,為了簡潔的目的,不再重複說明之。
基於上述說明,可看到本揭露在各種實施例中提供優勢。然而,應理解的是,另一些實施例可提供額外的優勢,且並非全部的優點都必要在此揭露,且沒有特定的優點係對所有實施例皆適用。有一優勢是在襯墊移除蝕刻製程時,源極/汲極介層窗開口中的深度增加可被減緩,進而造成在目標源極/汲極接觸旁的閘極介電蓋層內不具或具有可忽略的虎牙般凹陷。另一優勢是因為防止虎牙般的輪廓形成在源極/汲極介層窗內,自源極/汲極介層窗至例如閘極結構的漏電流可減少。再一優勢是源極/汲極介層窗開口可具有更垂直的側壁輪廓。另一優勢是因為相較於錐形的源極/汲極接觸,具有垂直側壁輪廓的源極/汲極接觸之底表面面積可增加,故源極/汲極介層窗及源極/汲極接觸之間的接觸阻抗可減少。
在一些實施例中,一種方法係包含形成閘極結構在半導體基材上;形成閘極介電蓋層在閘極結構上;形成源極/汲極接觸在半導體基材上,其中閘極介電蓋層側向地在源極/汲極接觸之間;沉積蝕刻阻抗層在閘極介電蓋層上;沉積接觸蝕刻中止層在蝕刻阻抗層上,以及層間介電層在接觸蝕刻中止層上;進行第一蝕刻製程,以形成介層窗開 口,延伸穿過層間介電層,且在觸及該蝕刻阻抗層之前終止;進行第二蝕刻製程,以加深介層窗開口,並使源極/汲極接觸之一者被暴露,其中相對於蝕刻接觸蝕刻中止層,第二蝕刻製程係以較慢的蝕刻速率蝕刻蝕刻阻抗層;以及沉積金屬材料以填充加深的介層窗開口。在一些實施例中,閘極介電蓋層及接觸蝕刻中止層係氮化物基。在一些實施例中,閘極介電蓋層係由與接觸蝕刻中止層之相同材料所組成。在一些實施例中,蝕刻阻抗層係氧化物基。在一些實施例中,蝕刻阻抗層之厚度係小於接觸蝕刻中止層之厚度。在一些實施例中,蝕刻阻抗層之厚度係小於閘極介電蓋層之最大厚度。在一些實施例中,蝕刻阻抗層之厚度範圍為1埃至50埃。在一些實施例中,蝕刻阻抗層係利用原子層沉積或電漿輔助化學氣相沉積來沉積。在一些實施例中,第一蝕刻製程係電漿蝕刻製程,此電漿蝕刻製程係利用來自無氫氣體混合物所產生的電漿。在一些實施例中,第二蝕刻製程係電漿蝕刻製程,此電漿蝕刻製程係利用來自含氫氣體混合物所產生的電漿。在一些實施例中,含氫氣體混合物係含氟氣體及氫氣的混合物。在一些實施例中,含氟氣體係CHF3氣體、CF4氣體、CxHyFz氣體或前述之組合,且x、y及z大於0。在一些實施例中,在第二蝕刻製程完成之後,閘極介電蓋層保持實質為完整的。
在一些實施例中,一種方法係包含形成閘極結構在閘極間隙壁之間且在半導體基材上;回蝕閘極結構,以使閘極結構降至閘極間隙壁之頂端之下;形成閘極介電蓋層 在被回蝕的閘極結構上;形成源極/汲極接觸相鄰於閘極介電蓋層之側壁;沉積蝕刻阻抗層在閘極介電蓋層及源極/汲極接觸上;依序沉積一蝕刻中止層及一層間介電層在該蝕刻阻抗層上;進行第一蝕刻製程,以形成介層窗開口延伸穿過層間介電層;在第一蝕刻製程完成之後,進行第二蝕刻製程,以使介層窗開口向下延伸至源極/汲極接觸,其中在第二蝕刻製程蝕刻穿過蝕刻阻抗層之後,相對於蝕刻蝕刻阻抗層之前,介層窗開口之側壁輪廓變得更垂直;以及在進行第二蝕刻製程之後,形成介層窗結構在介層窗開口內。在一些實施例中,第一蝕刻製程不蝕刻蝕刻阻抗層。在一些實施例中,蝕刻阻抗層及層間介電層係氧化物基,且蝕刻中止層及閘極介電蓋層係氮化物基。在一些實施例中,第二蝕刻製程利用具有氫氣的氣體混合物,而第一蝕刻製程係不具氫氣。
在一些實施例中,裝置包含在基材上的源極/汲極磊晶結構;分別在源極/汲極磊晶結構上的源極/汲極接觸;側向地介於源極/汲極接觸之間的閘極結構;在閘極結構上的閘極介電蓋層,且閘極介電蓋層之底表面係低於源極/汲極接觸之頂表面;在閘極介電蓋層上的氧化物基蝕刻阻抗層;在氧化物基蝕刻阻抗層上的氮化物基蝕刻中止層;在氮化物基蝕刻中止層上的層間介電層;以及延伸穿過層間介電層、氮化物基蝕刻中止層及氧化物基蝕刻阻抗層的介層窗結構,以電性連接源極/汲極接觸其中之一者。在一些實施例中,氧化物基蝕刻阻抗層比氮化物基蝕刻中止層更 薄。在一些實施例中,氧化物基蝕刻阻抗層比閘極介電蓋層更薄。
上述摘要許多實施例的特徵,因此本領域具有通常知識者可更了解本揭露的態樣。本領域具有通常知識者應理解利用本揭露為基礎可以設計或修飾其他製程和結構以實現和所述實施例相同的目的及/或達成相同優勢。本領域具有通常知識者也應了解與此同等的架構並沒有偏離本揭露的精神和範圍,且可以在不偏離本揭露的精神和範圍下做出各種變化、交換和取代。
12:基材
100:積體電路結構
104:鰭片
116:閘極間隙壁
118:第一間隙壁層
120:第二間隙壁層
122:磊晶結構
130:閘極結構
132:閘極介電層
134:功函數金屬層
136:填充金屬
138:金屬蓋層
142:閘極介電蓋層
144:源極/汲極接觸
145:蝕刻阻抗層
146:中間接觸蝕刻中止層
148:層間介電層
150:源極/汲極介層窗

Claims (10)

  1. 一種半導體裝置的製造方法,包含:形成一閘極結構,在一半導體基材上;形成一閘極介電蓋層,在該閘極結構上;形成複數源極/汲極接觸,在該半導體基材上,其中該閘極介電蓋層在該些源極/汲極接觸之間;沉積一蝕刻阻抗層,在該閘極介電蓋層上;沉積一接觸蝕刻中止層及一層間介電層,其中該接觸蝕刻中止層在該蝕刻阻抗層上,且該層間介電層在該接觸蝕刻中止層上;進行一第一蝕刻製程,以形成一介層窗開口,延伸穿過該層間介電層,且在觸及該蝕刻阻抗層之前終止;進行一第二蝕刻製程,以加深該介層窗開口,並使該些源極/汲極接觸之一者被暴露,其中相對於蝕刻該接觸蝕刻中止層,該第二蝕刻製程係以較慢的一蝕刻速率蝕刻該蝕刻阻抗層;以及沉積一金屬材料,以填充該加深的該介層窗開口。
  2. 如請求項1所述之方法,其中該閘極介電蓋層係由與該接觸蝕刻中止層之一相同材料所組成。
  3. 如請求項1所述之方法,其中該蝕刻阻抗層之一厚度係小於該接觸蝕刻中止層之一厚度。
  4. 如請求項1所述之方法,其中該蝕刻阻抗層之一厚度係小於該閘極介電蓋層之一最大厚度。
  5. 如請求項1所述之方法,其中該第一蝕刻製程係一電漿蝕刻製程,且該電漿蝕刻製程係利用來自無氫氣體混合物所產生的一電漿。
  6. 如請求項1所述之方法,其中該第二蝕刻製程係一電漿蝕刻製程,該電漿蝕刻製程係利用來自含氫氣體混合物所產生的一電漿。
  7. 一種半導體裝置的製造方法,包含:形成一閘極結構,在複數個閘極間隙壁之間且在一半導體基材上;回蝕該閘極結構,以使該閘極結構降至該些閘極間隙壁之頂端之下;形成一閘極介電蓋層,在被回蝕的該閘極結構上;形成一源極/汲極接觸,相鄰於該閘極介電蓋層之一側壁;沉積一蝕刻阻抗層,在該閘極介電蓋層及該源極/汲極接觸上;依序沉積一蝕刻中止層及一層間介電層在該蝕刻阻抗層上;進行一第一蝕刻製程,以形成一介層窗開口延伸穿過該 層間介電層;在該第一蝕刻製程完成之後,進行一第二蝕刻製程,以使該介層窗開口向下延伸至該源極/汲極接觸,其中在該第二蝕刻製程蝕刻穿過該蝕刻阻抗層之後,相對於蝕刻該蝕刻阻抗層之前,該介層窗開口之一側壁輪廓變得更垂直;以及在進行該第二蝕刻製程之後,形成一介層窗結構在該介層窗開口內。
  8. 如請求項7所述之方法,其中該第一蝕刻製程不蝕刻該蝕刻阻抗層。
  9. 如請求項7所述之方法,其中該蝕刻阻抗層及該層間介電層係氧化物基,且該蝕刻中止層及該閘極介電蓋層係氮化物基。
  10. 一種半導體裝置,包含:複數源極/汲極磊晶結構,在一基材上;複數源極/汲極接觸,分別在該些源極/汲極磊晶結構上;一閘極結構,側向地介於該些源極/汲極接觸之間;一對閘極間隙壁,分別位於該閘極結構的相對兩側壁;一閘極介電蓋層,在該閘極結構上,且該閘極介電蓋層之一底表面低於該些源極/汲極接觸之複數個頂表面; 一氧化物基蝕刻阻抗層,在該閘極介電蓋層上,並與該對閘極間隙壁分隔;一氮化物基蝕刻中止層,在該氧化物基蝕刻阻抗層上;一層間介電層,在該氮化物基蝕刻中止層上;以及一介層窗結構,延伸穿過該層間介電層、該氮化物基蝕刻中止層及該氧化物基蝕刻阻抗層,以電性連接該些源極/汲極接觸其中之一者。
TW110128885A 2020-09-29 2021-08-05 半導體裝置及其製造方法 TWI806122B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202063084992P 2020-09-29 2020-09-29
US63/084,992 2020-09-29
US17/225,798 2021-04-08
US17/225,798 US11942371B2 (en) 2020-09-29 2021-04-08 Etch profile control of via opening

Publications (2)

Publication Number Publication Date
TW202213632A TW202213632A (zh) 2022-04-01
TWI806122B true TWI806122B (zh) 2023-06-21

Family

ID=79327390

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110128885A TWI806122B (zh) 2020-09-29 2021-08-05 半導體裝置及其製造方法

Country Status (5)

Country Link
US (2) US11942371B2 (zh)
KR (1) KR20220043840A (zh)
CN (1) CN113948472A (zh)
DE (1) DE102021109278A1 (zh)
TW (1) TWI806122B (zh)

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201816859A (zh) * 2016-07-29 2018-05-01 台灣積體電路製造股份有限公司 半導體裝置及其形成方法
US20190333915A1 (en) * 2018-04-26 2019-10-31 Samsung Electronics Co., Ltd. Semiconductor device
TW202008468A (zh) * 2018-07-31 2020-02-16 台灣積體電路製造股份有限公司 半導體裝置及其製造方法
US20200135871A1 (en) * 2018-10-29 2020-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated Circuits Having Protruding Interconnect Conductors
TW202017034A (zh) * 2018-10-30 2020-05-01 台灣積體電路製造股份有限公司 積體電路裝置之製造方法
US20200144105A1 (en) * 2017-05-31 2020-05-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of fabricating the same

Family Cites Families (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6335293B1 (en) * 1998-07-13 2002-01-01 Mattson Technology, Inc. Systems and methods for two-sided etch of a semiconductor substrate
TW486733B (en) * 1999-12-28 2002-05-11 Toshiba Corp Dry etching method and manufacturing method of semiconductor device for realizing high selective etching
KR100382542B1 (ko) 2000-09-28 2003-05-01 주식회사 하이닉스반도체 반도체 소자의 제조방법
US20020142610A1 (en) * 2001-03-30 2002-10-03 Ting Chien Plasma etching of dielectric layer with selectivity to stop layer
US7115993B2 (en) * 2004-01-30 2006-10-03 Tokyo Electron Limited Structure comprising amorphous carbon film and method of forming thereof
JP5405012B2 (ja) * 2007-11-19 2014-02-05 東京エレクトロン株式会社 プラズマエッチング方法及び記憶媒体
JP5277628B2 (ja) * 2007-12-21 2013-08-28 富士通セミコンダクター株式会社 半導体装置の製造方法
JP5407340B2 (ja) * 2009-01-07 2014-02-05 富士通セミコンダクター株式会社 配線の形成方法
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US9105490B2 (en) 2012-09-27 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US9236300B2 (en) 2012-11-30 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs in SRAM cells and the method of forming the same
US8785283B2 (en) * 2012-12-05 2014-07-22 United Microelectronics Corp. Method for forming semiconductor structure having metal connection
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9406804B2 (en) 2014-04-11 2016-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with contact-all-around
US9443769B2 (en) 2014-04-21 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Wrap-around contact
US9831183B2 (en) 2014-08-07 2017-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure and method of forming
CN105575885B (zh) * 2014-10-14 2021-07-06 联华电子股份有限公司 半导体元件及其制作方法
US9437484B2 (en) * 2014-10-17 2016-09-06 Taiwan Semiconductor Manufacturing Company, Ltd. Etch stop layer in integrated circuits
CN105810565B (zh) * 2014-12-31 2019-07-23 联华电子股份有限公司 形成半导体元件的方法
US9831090B2 (en) * 2015-08-19 2017-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for semiconductor device having gate spacer protection layer
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US9548366B1 (en) 2016-04-04 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. Self aligned contact scheme
US9893062B2 (en) * 2016-04-28 2018-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
US10685873B2 (en) 2016-06-29 2020-06-16 Taiwan Semiconductor Manufacturing Co., Ltd. Etch stop layer for semiconductor devices
US10164111B2 (en) * 2016-08-03 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and methods of manufacture
KR102314134B1 (ko) * 2017-03-10 2021-10-18 삼성전자 주식회사 집적회로 소자 및 그 제조 방법
US10707123B2 (en) * 2017-04-28 2020-07-07 Taiwan Semiconductor Manufacturing Co., Ltd. Etch profile control of interconnect structures
US10347506B2 (en) * 2017-07-31 2019-07-09 Taiwan Semiconductor Manufacturing Co., Ltd. Multiple patterning method using mask portions to etch semiconductor substrate
KR102451171B1 (ko) * 2018-01-25 2022-10-06 삼성전자주식회사 반도체 소자
US11145751B2 (en) 2018-03-29 2021-10-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with doped contact plug and method for forming the same
US10468297B1 (en) * 2018-04-27 2019-11-05 Taiwan Semiconductor Manufacturing Co., Ltd. Metal-based etch-stop layer
US10998421B2 (en) 2018-07-16 2021-05-04 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing pattern loading in the etch-back of metal gate
US11488859B2 (en) * 2019-12-27 2022-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11967526B2 (en) * 2020-09-29 2024-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit structure and manufacturing method thereof
US11749732B2 (en) * 2020-09-29 2023-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Etch profile control of via opening
US11728212B2 (en) * 2020-09-29 2023-08-15 Taiwan Semicondcutor Manufacturing Company, Ltd. Integrated circuit structure and manufacturing method thereof
US11705491B2 (en) * 2020-09-29 2023-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Etch profile control of gate contact opening
US11581218B2 (en) * 2020-09-29 2023-02-14 Taiwan Semiconductor Manufacturing Co., Ltd. Etch profile control of gate contact opening

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201816859A (zh) * 2016-07-29 2018-05-01 台灣積體電路製造股份有限公司 半導體裝置及其形成方法
US20200144105A1 (en) * 2017-05-31 2020-05-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of fabricating the same
US20190333915A1 (en) * 2018-04-26 2019-10-31 Samsung Electronics Co., Ltd. Semiconductor device
TW202008468A (zh) * 2018-07-31 2020-02-16 台灣積體電路製造股份有限公司 半導體裝置及其製造方法
US20200135871A1 (en) * 2018-10-29 2020-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated Circuits Having Protruding Interconnect Conductors
TW202017034A (zh) * 2018-10-30 2020-05-01 台灣積體電路製造股份有限公司 積體電路裝置之製造方法

Also Published As

Publication number Publication date
DE102021109278A1 (de) 2022-03-31
TW202213632A (zh) 2022-04-01
US11942371B2 (en) 2024-03-26
US20220102219A1 (en) 2022-03-31
KR20220043840A (ko) 2022-04-05
US20240030070A1 (en) 2024-01-25
CN113948472A (zh) 2022-01-18

Similar Documents

Publication Publication Date Title
KR102678945B1 (ko) 후면 비아를 갖는 집적 회로 구조체
US11935781B2 (en) Integrated circuit structure with backside dielectric layer having air gap
US20230326978A1 (en) Etch profile control of gate contact opening
US20230187270A1 (en) Etch profile control of gate contact opening
US20230361185A1 (en) Etch profile control of via opening
US20230298934A1 (en) Etch profile control of gate contact opening
US20230343855A1 (en) Integrated circuit structure
US20230335435A1 (en) Integrated circuit structure and manufacturing method thereof
KR102652690B1 (ko) 집적 회로 구조체 및 이의 제조 방법
TWI806122B (zh) 半導體裝置及其製造方法