TWI805587B - 度量衡目標設計,微影倍縮光罩,度量衡目標,晶圓,目標設計檔案及度量衡方法 - Google Patents

度量衡目標設計,微影倍縮光罩,度量衡目標,晶圓,目標設計檔案及度量衡方法 Download PDF

Info

Publication number
TWI805587B
TWI805587B TW107119107A TW107119107A TWI805587B TW I805587 B TWI805587 B TW I805587B TW 107119107 A TW107119107 A TW 107119107A TW 107119107 A TW107119107 A TW 107119107A TW I805587 B TWI805587 B TW I805587B
Authority
TW
Taiwan
Prior art keywords
target
periodic
pitch
metrology
orthogonal
Prior art date
Application number
TW107119107A
Other languages
English (en)
Other versions
TW201903517A (zh
Inventor
亞爾 飛勒
維拉得摩 朗維司基
Original Assignee
美商克萊譚克公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商克萊譚克公司 filed Critical 美商克萊譚克公司
Publication of TW201903517A publication Critical patent/TW201903517A/zh
Application granted granted Critical
Publication of TWI805587B publication Critical patent/TWI805587B/zh

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • G03F1/44Testing or measuring features, e.g. grid patterns, focus monitors, sawtooth scales or notched scales
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/7015Details of optical elements
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70681Metrology strategies
    • G03F7/70683Mark designs

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Theoretical Computer Science (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • Electron Beam Exposure (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

本發明提供倍縮光罩上及晶圓上之度量衡目標設計、以及目標設計及處理方法。目標設計包括:粗節距週期性結構,其等具有在子元件臨界尺寸(CD)及/或高度上變化之細節距子元件;一正交週期性結構,其垂直於量測方向,具有週期性循環條當中之一正交未解析節距,該等週期性循環條提供用於達成良好印刷目標之一校準參數。正交週期性結構可設計在該倍縮光罩上且係未解析的,或以切割圖案施加於程序層上,對於切割層疊對具有相對較低靈敏度。設計之目標可用於疊對度量衡以及用於量測程序參數,諸如掃描器像差及節距偏差。

Description

度量衡目標設計,微影倍縮光罩,度量衡目標,晶圓,目標設計檔案及度量衡方法
本發明係關於度量衡領域,且更具體而言,本發明係關於疊對目標設計。
週期性目標廣泛用於疊對量測,然而,疊對目標面對必須提供可偵測量測結果及對所生產器件(其等變得愈來愈小且關於其等製程進行特別設計)之合規性兩者的持續挑戰。
以下內容係提供對本發明之一初始理解之一簡化概要。概要不一定識別關鍵元素或限制本發明之範疇,而僅充當對以下描述之介紹。
本發明之一個態樣提供一種度量衡目標設計,其包括沿著一量測方向之一週期性結構,其具有週期性循環元件當中之一粗節距,其中各元件沿著量測方向係週期性的,具有在子元件CD(臨界尺寸)上變化之週期性循環子元件當中之一細節距,其中粗節距係細節距之整數倍,且其中目標設計進一步包括一正交週期性結構,其垂直於量測方向,具有週期性循環條當中之一正交未解析節距,其中正交未解析節距小於一指定最 小設計規則節距。
本發明之此等、額外及/或其他態樣及/或優勢在以下詳細描述中陳述;可能可從詳細描述推論;及/或可藉由實踐本發明而學習。
70:照明源
80:先前技術目標設計
82:元件/未分段條
90:先前技術目標設計
92:先前技術目標設計/元件
95:先前技術目標設計
97:類似器件之印刷結構
100:度量衡目標設計
102:倍縮光罩/微影倍縮光罩
104:晶圓
110:週期性循環元件
120:週期性循環子元件
130:週期性循環條/正交條/正交圖案/正交週期性結構
150:目標/目標週期性結構/度量衡目標
150A:度量衡目標生產階段/程序階段/圖案/胞元
150B:度量衡目標生產階段/程序階段/圖案/胞元
151:週期性循環目標元件/週期性結構
155:週期性循環目標子元件/印刷結構
160:正交週期性結構/切割圖案/切割結構
170:額外量測
172:疊對度量衡工具
174:掃描器像差量測
176:節距偏差量測
200:方法
210:階段
220:階段
230:階段
240:階段
250:階段
260:階段
270:階段
272:階段
275:階段
280:階段
290:階段
CD:臨界尺寸/寬度
CD1:臨界尺寸
CD2:臨界尺寸
CD3:臨界尺寸
CD(B):寬度
H1:高度
H2:高度
H3:高度
P:粗節距
P1:細節距
P2:正交未解析節距
P(T):目標粗節距
P1(T):目標細節距
為了更好地理解本發明之實施例且展示可如何實行本發明之實施例,現將純粹舉實例參考隨附圖式,其中通篇相同符號指定對應元件或區段。
在隨附圖式中:圖1A係根據本發明之一些實施例之一度量衡目標設計之一高階示意圖。
圖1B係根據本發明之一些實施例之微影程序之設定之一高階示意圖及高度簡圖。
圖2A至圖2C係先前技術目標設計之高階示意圖。
圖3及圖4係根據本發明之一些實施例之度量衡目標設計之高階示意圖。
圖5係根據本發明之一些實施例之使用具有不同寬度之正交條之目標設計之印刷度量衡目標之一高階示意圖。
圖6A至圖6C係根據本發明之一些實施例之印刷度量衡目標之高階示意圖。
圖7A及圖7B係根據本發明之一些實施例之使用目標之額外量測之高階示意圖。
圖8係圖解說明根據本發明之一些實施例之一方法之一高階流程圖。
在以下描述中,描述本發明之各個態樣。出於說明之目的,陳述特定組態及細節以便提供對本發明之一透徹理解。然而,熟習此項技術者亦將明白,可在無本文中呈現之特定細節之情況下實踐本發明。此外,可能已省略或簡化眾所周知的特徵以免混淆本發明。特定參考圖式,應強調,展示之細節係藉由實例且僅出於本發明之闡釋性論述之目的,且為了提供據信為本發明之原理及概念態樣之最有用及容易理解描述之內容而呈現。在此點上,未嘗試比對於本發明之基本理解所必需更詳細地展示本發明之結構細節,結合圖式獲取之描述使熟習此項技術者明白實務上可如何體現本發明之數個形式。
在詳細說明本發明之至少一項實施例之前,應瞭解,本發明不在其應用方面受限於以下描述中陳述或圖式中圖解說明之組件之構造及配置之細節。本發明適用於可以各種方式實踐或實行之其他實施例以及所揭示實施例之組合。再者,應瞭解,本文中採用之措辭及術語用於描述之目的且不應被視為限制。
除非另外明確規定,否則如從以下論述明白,應瞭解,說明書通篇,利用諸如「處理」、「運算」、「計算」、「判定」、「增強」、「導出」或類似者之術語之論述係指一電腦或運算系統或類似電子運算器件之動作及/或程序,其將表示為運算系統之暫存器及/或記憶體內之物理(諸如電子)量之資料操縱及/或變換成類似地表示為運算系統之記憶體、暫存器或其他此等資訊儲存、傳輸或顯示器件內之物理量之其他資料。
疊對控制係當前微影之主要挑戰之一者。通常,藉由在晶圓上印刷特殊標記或目標(相對於其等量測疊對)而達成疊對控制。在遮罩 或倍縮光罩之設計期間,必須考量可印刷性(程序窗)及像差穩定性問題。此使遮罩之設計變成一非常困難的任務,其包含模擬及實驗部分兩者。處置問題之方式之一者係在遮罩上使用輔助不可印刷特徵(輔助次解析度特徵ASRAF)。此等特徵並非藉由其等自身印刷(此係因為其等經次解析),然而,其等改良設計結構之可印刷性且降低其等對掃描器之像差之靈敏度。然而,輔助特徵之使用增加大量自由度,其連同缺乏通用方法推動目標設計領域使用一試錯法。
有利地,所揭示之目標設計及方法藉由組合CD調變及場調變目標與垂直於量測方向且具有一未解析節距之一正交週期性結構而使疊對目標之設計程序變得更直觀且可預測。
提供倍縮光罩上及晶圓上之度量衡目標設計、以及目標設計及處理方法。目標設計包括:粗節距週期性結構,其等具有在子元件CD及/或高度上變化之細節距子元件;一正交週期性結構,其垂直於量測方向,具有週期性循環條當中之一正交未解析節距,該等週期性循環條提供用於達成良好印刷目標之一校準參數。正交週期性結構可設計在倍縮光罩上且係未解析的,或以切割圖案施加於程序層上,具有對於切割層疊對之相對較低靈敏度。設計之目標可用於疊對度量衡以及用於量測程序參數,諸如掃描器像差及節距偏差。
圖1A係根據本發明之一些實施例之一度量衡目標設計100之一高階示意圖。圖解說明倍縮光罩(reticle)(倍縮光罩(photoreticle),其在微影中使用以在晶圓上界定圖案化層,亦被稱為遮罩或光遮罩)上之目標設計100,該目標設計100用於在晶圓上產生可能具有一些不同特徵之一對應目標,如下文中說明。度量衡目標設計100包括沿著一量測方向 之一週期性結構,其具有週期性循環元件110當中之一粗節距P。各元件110沿著量測方向係週期性的,具有週期性循環子元件120當中之一細節距P1。子元件120在子元件CD(臨界尺寸,表示為CD1、CD2、CD3等)上變化,其中粗節距P係細節距P1之整數倍(P=n.P1,其中n係一整數,在圖解說明之非限制實例中n=5)。目標設計100進一步包括具有週期性循環條130之一正交週期性結構,其垂直於量測方向,具有具CD(B)之一CD之週期性循環條130當中之一正交未解析節距P2。未解析正交節距P2小於一指定最小設計規則節距且因此並非自己印刷在晶圓上,而僅幫助提供可控制目標,如下文中說明。應注意,元件110在某種程度上任意地表示為一單位胞元,且可能已在度量衡目標設計100之不同位置中進行選取。
圖1B係根據本發明之一些實施例之微影程序之設定之一高階示意圖及高度簡圖。使用來自照明源70之照明來產生一晶圓104上之目標150,透過其上具有目標設計100之一倍縮光罩102(亦被稱為遮罩)投射該照明。顯然,倍縮光罩102通常包含與晶圓104上產生之一或可能多層(若干)積體電路有關的電路設計資料及可能多個目標設計100。目標設計100及目標150之所揭示描述僅分別與倍縮光罩102及晶圓104上之此等組件有關,且通常係複雜得多的設計之部分。某些實施例包括(若干)微影倍縮光罩102,其(等)包括本文中揭示之度量衡目標設計100。某些實施例包括晶圓104,其等包括使用本文中揭示之(若干)度量衡目標設計100產生之(若干)度量衡目標150,以及(若干)度量衡目標150本身。某些實施例包括(若干)度量衡目標150之目標設計檔案。某些實施例包括度量衡目標150之度量衡疊對量測目標設計檔案。
明確言之,(若干)度量衡目標150(其之部分在圖1B中示意 性地圖解說明)可包括沿著量測方向之目標週期性結構150,其具有週期性循環目標元件151當中之一目標粗節距(P(T)),其中各目標元件151沿著量測方向係週期性的,具有在目標子元件CD上變化之週期性循環目標子元件155當中之一目標細節距(P1(T))(見圖5中),其中目標粗節距P(T)係目標細節距P1(T)之整數倍,對於整數n,P(T)=n.P1(T)。圖5中呈現(若干)度量衡目標150之非限制實例。
圖2A至圖2C係先前技術目標設計80之高階示意圖。如圖2A中示意性地圖解說明,用於疊對量測之典型目標設計包括具有按一節距P設定之元件82之一週期性結構。在全部元件82被印刷時,倍縮光罩上之目標設計及實際目標幾何形狀係類似的。應注意,節距P係大的(比最小設計規則大得多),通常大於1000nm,如運用視覺範圍中之照明光譜達成光學解析度所要求。然而,由於設計80留下寬的空的空間及寬的未分段條82時,故其等通常係程序不相容的且歸因於不對稱掃描器像差而導致相對於器件之顯著偏差。
圖2B及圖2C分別圖解說明分別在倍縮光罩上及在晶圓上之先前技術目標設計90、95。先前技術設計90包含將寬條82分段成一細分段週期性結構,其具有以一細節距P1重複之元件92,其在粗節距P內產生類似器件之結構。元件92具有在器件結構之數量級上或甚至與器件結構相同的節距P1(最小設計規則節距),且藉由設定類似器件之印刷結構97之參數以在粗節距內變化而達成所要求光學解析度,如美國專利申請案第14/820917號及WIPO申請案第PCT/US16/60626號中更詳細地揭示,該等案之全部內容以引用的方式併入本文中。
然而,本發明者已發現,目標設計90具有影響所得效能之 過多自由參數,且同時最佳化其等全部可能係一相當困難的任務。舉例而言,在目標設計90中,自由參數包含條92之各者之寬度值且確保全部條92被印刷且具有一足夠大的程序窗。此係一重大挑戰,要求歸因於難以校準光阻之化學性質而極少可用之良好校準模擬,其因此通常藉由一試錯法處置。然而,由於不同CD值之組合之數目巨大,因此此先前技術方法幾乎不適用。本發明者已發現,分析受到不連續性及不可區分區域之阻礙,其亦阻止形成直觀經驗法則用於猜測目標95被良好印刷之條件。
作為此等困難之一解決方案,本發明者建議,在目標設計100中,顯著減少目標設計100中之不同參數之數目且使用從一物理觀點以一直觀方式影響目標可印刷性的參數。由於主要可印刷性問題與暸解空中影像臨限值之正確值的運氣有關,因此本發明者建議使用正交條130來控制子元件120之可印刷性及其等參數,同時保持正交條130本身在垂直於印刷結構155之方向上不被印刷且未解析。
圖3及圖4係根據本發明之一些實施例之度量衡目標設計100之高階示意圖。應注意,圖3及圖4僅圖解說明各自目標設計100之一小部分,用於說明其等設計原理之目的,即(i)添加之正交圖案130在垂直方向(垂直於量測方向)上係週期性的且具有節距P2(參見圖1A),該節距P2小於最小設計規則節距且因此未藉由印刷工具之光學系統解析;(ii)添加之正交圖案130不影響度量衡系統之光瞳平面中之繞射級(在量測方向上來自元件110、120)之位置,且對應地,在由CD調變目標(具有針對子元件120之不同CD)提供之準雙光束成像方案中,圖案130不改變場平面中之印刷圖案之位置(未導致相對於器件的偏差);及(iii)藉由改變正交條130之寬度(CD(B)),零及第一繞射級之強度可變化且可用來容易地找到針對提 供一良好可印刷性條件之給定參數之一適當值。圖4示意性地圖解說明具有具不同高度(H1、H2、H3等)及相同寬度(CD)之子元件120之目標設計100之變體,將正交條130添加至該等目標設計100。
圖5係根據本發明之一些實施例之使用具有不同寬度(CD(B))之正交條130之目標設計100之印刷度量衡目標150之一高階示意圖。使用圖1A及圖3中圖解說明之目標設計100來印刷圖5中圖解說明之印刷度量衡目標150,作為非限制實例。圖5展現改變正交條130之寬度(CD(B))導致目標子元件155之不同寬度(CD),從而允許最佳CD(B)之簡單選擇。由於候選目標150及對應設計100之所得集合取決於一單一參數(CD(B)),因此實體上更直觀且允許其他程序參數之簡單配合,例如,配合曝露於空中影像臨限值之任何值之光量,此係因為其涉及僅改變目標設計100中之一個參數。
應注意,引入垂直於量測方向且具有一未解析節距之正交週期性結構130之所揭示方法可用作寬範圍之度量衡疊對目標,且不僅具有如上文中展示之可變CD之細子元件之度量衡疊對目標中之一般原理。
返回參考圖4,應注意,子元件120可經設計以具有不同高度(H1、H2、H3等)而非(或可能另外)具有不同寬度(CD1、CD2、CD3等)以為最佳化程序提供其他(或額外)參數。垂直方向上之調變可經設定為未解析且不影響光瞳平面中之繞射級之位置,從而未形成相對於器件的偏差,但仍影響遮罩之透射率性質,如(例如)美國專利申請公開案第2015/0309402號中說明,該案之全部內容以引用的方式併入本文中。可使用諸如圖4中揭示之目標設計100來增加用於達成良好可印刷性條件的額外靈活性(例如,條130之寬度及子元件120之高度)。
有利地,所揭示實施例使能夠使用最佳化程序之一單一參數鬆弛且可能運用統一參數集來建置子元件120及印刷目標150之CD調變。此外,所揭示實施例提供創新遮罩設計能力,包含使用一單一參數族最佳化之有效空中影像臨限值控制,及使用子元件120之高度及/或寬度之一統一目標設計最佳化鬆弛。
圖6A至圖6C係根據本發明之一些實施例之印刷度量衡目標150之高階示意圖。應注意,圖6A至圖6C僅分別圖解說明各自度量衡目標產生階段150A、150B及150之一小部分(對應於一個週期),以用於說明其等設計原理之目的。圖6A至圖6C分別示意性地圖解說明用於在晶圓上產生目標150之程序階段150A、150B。度量衡目標150可包括沿著量測方向之週期性結構151,其具有週期性循環元件151當中之粗節距(P),其中各元件151沿著量測方向係週期性的,具有週期性循環子元件155當中之細節距(P1),且全部子元件155具有相同CD。子元件155可由垂直於量測方向之具有週期性循環切口之一正交週期性結構160切割(在圖6A及圖6B中分別從圖案150A移動至圖案150B)。本發明者已發現,切口可經組態以模擬印刷目標150之CD調變,且簡化製程。子元件155可經組態以表示無任何CD變動之器件結構(藉此避免可印刷性問題),同時切割程序將此等結構轉換成可由度量衡光學工具量測之經有效CD調變目標150。
子元件155可具有不同CD(如(例如)圖1A及圖1B中圖解說明)或具有一相同CD。具有具一相同CD之子元件155之所揭示目標150可具有比改變CD之子元件155更好的可印刷性且達成相對於器件結構之一幾乎零偏差。各自疊對目標150可用於度量衡疊對量測。
在某些實施例中,包含印刷具有最小設計規則之週期性光 柵及隨後在垂直方向上切割之線之一標準器件印刷程序用於產生目標150。應注意,由於此程序僅適用於程序層中之目標150,此係因為其等涉及切割,然而從程序相容性觀點來看,僅此等目標構成一主要挑戰。
粗節距(P)可經組態以藉由(例如)在400nm以上操作之度量衡工具光學器件解析。細節距(P1)可經組態以滿足印刷要求,且切口160可經組態以同樣(例如)藉由不留下大於一可印刷性臨限值(例如,100nm)之間隙而維持程序相容性。目標150可經組態以特定言之在子元件155經設計為具有相同節距之器件線時具有一零或極小NZO(非零偏差)。由於藉由(子元件155之)基線位置完全判定目標位置,因此其可能按照定義具有NZO=0同時仍為量測光學工具提供足夠對比度。
有利地,雖然目標150未遭受可印刷性問題,但其等亦未在量測方向上或在垂直方向上對切割圖案160之位置強加嚴格規格,從而導致切割圖案160之大的可允許範圍之疊對誤差,數nm,可能甚至高達10nm。
在某些實施例中,子元件155可以最小設計規則節距的恰好兩倍(P1=2.DR)印刷以防止切割結構160與子元件155之可能重疊(如(例如)在P1=DR且使用極偶極照明印刷切割結構160之情況中可能發生)。用P1=2.DR組態目標150可維持小或零NZO甚至超過大範圍之疊對誤差容限切割圖案160,如下文在方程式1中展示,表達按照細節距P1之空中強度分佈及對應於光瞳中之照明位置之不對稱像差相移(由
Figure 107119107-A0305-02-0012-19
表示)之狀態
Figure 107119107-A0305-02-0012-1
本發明者注意,目標位置之對應偏移係
Figure 107119107-A0305-02-0012-2
,其與 用等於最小設計規則節距之P1印刷之目標150相同,方程式2中描述空中強度分佈(在方程式2中,P2=1.DR且P1=2.DR)。
Figure 107119107-A0305-02-0013-3
圖7A及圖7B係根據本發明之一些實施例之使用目標150之額外量測170之高階示意圖。某些實施例可利用目標150用於額外量測,諸如掃描器像差量測174、節距偏差量測176等。對於掃描器像差量測174,具有在從最小設計規則(DR)直至最小設計規則兩倍(2.DR)之範圍中之分段節距(細節距P1)之同時目標150可被印刷、如上文中揭示般切割且由疊對度量衡工具172量測。對應於不同分段節距(細節距P1)之不同目標150之間之疊對量測之結果為掃描器像差幅度計算提供基礎,如美國專利申請案第14/820917號中描述,該案之全部內容以引用的方式併入本文中。對於節距偏差量測176,同時目標150可包括兩個胞元150A,各自表示在雙重圖案化程序之不同步驟上印刷之一週期性結構(多個胞元150A可用於在一多重圖案化程序中量測節距偏差),而目標150中之其他週期性結構使用切割程序轉換成CD調變目標。
圖8係圖解說明根據本發明之一些實施例之一方法200之一高階流程圖。可關於上文中描述之目標設計100及/或目標150實行方法階段,其等可視情況經組態以實施方法200。方法200可至少部分藉由(例如)一度量衡模組中之至少一個電腦處理器予以實施。某些實施例包括電腦程式產品,該等電腦程式產品包括一電腦可讀儲存媒體,該電腦可讀儲存媒體具有用其體現且經組態以實行方法200之相關階段之電腦可讀程式。某些實施例包括藉由方法200之實施例設計之各自目標之目標設計檔案。方 法200可包括以下階段,而不考慮其等順序。
方法200可包括:將具有一正交未解析節距、垂直於一量測方向之一正交週期性結構引入(階段210)至包括沿著量測方向之一週期性結構之一度量衡目標設計;及使用(階段220)一微影倍縮光罩上之度量衡目標設計來產生具有僅沿著量測方向之一週期性結構之一可組態度量衡目標。方法200可包括組態(階段230)度量衡目標設計以使週期性結構包括按一粗節距之週期性循環元件,其中各元件沿著量測方向係週期性的,具有在子元件CD上變化之週期性循環子元件當中之一細節距,其中粗節距係細節距之整數倍。
方法200可進一步包括組態正交週期性結構之一寬度以最佳化目標可印刷性(階段240)。方法200可進一步包括從自度量衡目標設計產生之度量衡目標導出疊對度量衡量測(階段250)。
方法200可包括:在一程序層上沿著一量測方向產生(階段260)一週期性結構,該週期性結構具有週期性循環元件當中之一粗節距,其中各元件沿著量測方向係週期性的,具有具一相同CD之週期性循環子元件當中之一細節距;及藉由垂直於量測方向、具有週期性循環切口之一正交週期性結構切割(階段270)子元件。
在某些實施例中,方法200可包括產生細節距子元件以具有一相同CD,且使用切割來有效地模擬可變CD(階段272)。細節距可經組態為介於一最小設計規則節距(DR)的一倍與兩倍之間(可能DR的兩倍)以擴寬程序窗(階段275)。
方法200可進一步包括使用具有藉由一疊對量測工具量測之介於一最小設計規則的一倍與兩倍之間之細節距的複數個所產生度量衡 目標來量測掃描器像差(階段280)。
方法200可進一步包括藉由在所產生度量衡目標中包含與一多重圖案化程序之不同步驟有關之週期性胞元而量測節距偏差(階段290)。
上文中參考根據本發明之實施例之方法、裝置(系統)及電腦程式產品之流程圖圖解說明及/或部分圖式描述本發明之態樣。將瞭解,可藉由電腦程式指令實施流程圖圖解說明及/或部分圖式之各部分,及流程圖圖解說明及/或部分圖式中之部分之組合。此等電腦程式指令可經提供至一通用電腦、專用電腦或其他可程式化資料處理裝置之一處理器以產生一機器,使得經由電腦或其他可程式化資料處理裝置之處理器執行之指令形成用於實施流程圖及/或部分圖式或其部分中所指定之功能/動作之手段。
此等電腦程式指令亦可儲存在一電腦可讀媒體中,其等可引導一電腦、其他可程式化資料處理裝置或其他器件以一特定方式作用,使得儲存於電腦可讀媒體中之指令產生包含實施流程圖及/或部分圖式或其部分中所指定之功能/動作之指令之一製品。
電腦程式指令亦可載入至一電腦、其他可程式化資料處理裝置或其他器件上以引起在電腦、其他可程式化裝置或其他器件上執行一系列操作步驟以產生一電腦實施程序,使得在電腦或其他可程式化裝置上執行之指令提供用於實施流程圖及/或部分圖式或其部分中所指定之功能/動作之程序。
上述流程圖及圖式圖解說明根據本發明之各個實施例之系統、方法及電腦程式產品之可行實施方案之架構、功能性及操作。在此點 上,流程圖或部分圖式中之各部分可表示程式碼之一模組、片段或部分,其包括用於實施(若干)指定邏輯函數之一或多個可執行指令。亦應注意,在一些替代實施方案中,部分中所述之功能可能不依圖中所述之順序發生。舉例而言,連續展示之兩個部分事實上可能實質上同時執行,或該等部分可能有時依逆序執行,此取決於涉及之功能性。亦將注意,可由執行指定功能或動作之基於專用硬體之系統或專用硬體及電腦指令之組合實施部分圖式及/或流程圖圖解說明之各部分,及部分圖式及/或流程圖圖解說明中之部分之組合。
在上述描述中,一實施例係本發明之一實例或實施方案。「一項實施例」、「一實施例」、「某些實施例」或「一些實施例」之各種出現不一定全部係指相同實施例。儘管可在一單一實施例之背景內容中描述本發明之各個特徵,然亦可單獨或以任何適合組合提供該等特徵。相反地,儘管為了清楚起見本文中可在不同實施例之背景內容中描述本發明,然亦可在一單一實施例中實施本發明。本發明之某些實施例可包含來自上文中揭示之不同實施例之特徵,且某些實施例可併入來自上文中揭示之其他實施例之元件。本發明在一特定實施例之背景內容中之元件之揭示內容不應被視為限制其等單獨用於特定實施例中。此外,應瞭解,可以各種方式實行或實踐本發明且可在除上述描述中概述之實施例以外的某些實施例中實施本發明。
本發明不限於彼等圖式或對應描述。舉例而言,流程無需移動經過各圖解說明之方塊或狀態,或以與圖解說明及描述完全相同之順序移動。通常應如藉由本發明所屬之技術之一般技術者般理解本文中使用之技術及科學術語之意義,除非另外定義。雖然已關於有限數目個實施例 描述本發明,但此等不應解釋為對本發明之範疇之限制,而係解釋為一些較佳實施例之例示。其他可能變動、修改及應用亦在本發明之範疇內。因此,本發明之範疇不應由迄今為止已描述之內容限制,而應由隨附發明申請專利範圍及其等合法等效物限制。
100:度量衡目標設計
110:週期性循環元件
120:週期性循環子元件
130:週期性循環條/正交條/正交圖案/正交週期性結構
CD1:臨界尺寸
CD2:臨界尺寸
CD3:臨界尺寸
CD(B):寬度
P:粗節距
P1:細節距
P2:正交未解析節距

Claims (9)

  1. 一種度量衡目標設計,其包括沿著一量測方向之一週期性結構,其具有週期性循環元件當中之一粗節距,其中該等週期性循環元件之各者沿著該量測方向係週期性的,具有在週期性循環子元件當中該量測方向上之一細節距,其中該粗節距係該細節距之一整數倍及在該量測方向上,及其中該等週期性循環子元件之一者在該量測方向上具有一第一子元件臨界尺寸且該等週期性循環子元件之另一者在該量測方向上具有不同於該第一子元件臨界尺寸之一第二子元件臨界尺寸,且其中該度量衡目標設計進一步包括一正交週期性結構,該正交週期性結構包括具有與該量測方向正交之一正交未解析節距之週期性循環條,其中該正交未解析節距小於一指定最小設計規則節距,使得該等週期性循環條經組態不被印刷。
  2. 一種微影倍縮光罩,其包括如請求項1之度量衡目標設計。
  3. 一種度量衡目標,其使用如請求項2之微影倍縮光罩來產生。
  4. 如請求項3之度量衡目標,其包括沿著該量測方向之一目標週期性結構,其具有週期性循環目標元件當中之一目標粗節距,其中該等週期性循環目標元件之各者沿著該量測方向係週期性的,具有在目標子元件臨界尺寸上變化之週期性循環目標子元件當 中之一目標細節距,其中該目標粗節距係該目標細節距之一整數倍。
  5. 一種晶圓,其包括如請求項3之至少一個度量衡目標。
  6. 一種如請求項3之至少一個度量衡目標之目標設計檔案。
  7. 一種度量衡方法,其包括:將包含具有垂直於一量測方向之一正交未解析節距之週期性循環條之一正交週期性結構引入至包括沿著該量測方向之一週期性結構之一度量衡目標設計,其中該正交未解析節距小於一指定最小設計規則節距,使得該等週期性循環條經組態不被印刷,及使用一微影倍縮光罩上之該度量衡目標設計來產生具有僅沿著該量測方向之一週期性結構之一可組態度量衡目標,組態該度量衡目標設計以使該週期性結構包括按一粗節距之週期性循環元件,其中該等週期性循環元件之各者沿著該量測方向係週期性的,具有在週期性循環子元件當中之一細節距,其中該粗節距係該細節距之一整數倍,及其中該等週期性循環子元件之一者在該量測方向上具有一第一子元件臨界尺寸且該等週期性循環子元件之另一者在該量測方向上具有不同於該第一子元件臨界尺寸之一第二子元件臨界尺寸。
  8. 如請求項7之方法,其進一步包括組態該正交週期性結構之一寬度以 最佳化目標可印刷性。
  9. 如請求項8之方法,其進一步包括從自該度量衡目標設計產生之該等可組態度量衡目標導出疊對度量衡量測。
TW107119107A 2017-06-06 2018-06-04 度量衡目標設計,微影倍縮光罩,度量衡目標,晶圓,目標設計檔案及度量衡方法 TWI805587B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
PCT/US2017/036219 WO2018226215A1 (en) 2017-06-06 2017-06-06 Reticle optimization algorithms and optimal target design
??PCT/US17/36219 2017-06-06
WOPCT/US17/36219 2017-06-06
US15/571,427 US10754261B2 (en) 2017-06-06 2017-06-06 Reticle optimization algorithms and optimal target design
US15/571,427 2017-11-02

Publications (2)

Publication Number Publication Date
TW201903517A TW201903517A (zh) 2019-01-16
TWI805587B true TWI805587B (zh) 2023-06-21

Family

ID=64458803

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107119107A TWI805587B (zh) 2017-06-06 2018-06-04 度量衡目標設計,微影倍縮光罩,度量衡目標,晶圓,目標設計檔案及度量衡方法

Country Status (7)

Country Link
US (1) US10754261B2 (zh)
EP (1) EP3639166A4 (zh)
JP (2) JP2020529621A (zh)
KR (1) KR102447611B1 (zh)
CN (1) CN110741374B (zh)
TW (1) TWI805587B (zh)
WO (1) WO2018226215A1 (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL2024878A (en) * 2019-02-19 2020-08-27 Asml Holding Nv Metrology system, lithographic apparatus, and method
US11487929B2 (en) * 2020-04-28 2022-11-01 Kla Corporation Target design process for overlay targets intended for multi-signal measurements

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030223630A1 (en) * 2002-02-15 2003-12-04 Kla-Tencor Corporation Overlay metrology and control method
US20120044470A1 (en) * 2010-08-18 2012-02-23 Asml Netherlands B.V. Substrate for Use in Metrology, Metrology Method and Device Manufacturing Method
US9436099B2 (en) * 2008-10-06 2016-09-06 Asml Netherlands B.V. Lithographic focus and dose measurement using a 2-D target
US20160266505A1 (en) * 2015-01-30 2016-09-15 Kla-Tencor Corporation Device metrology targets and methods
TW201701083A (zh) * 2015-02-23 2017-01-01 Asml荷蘭公司 器件製造方法及用於器件製造方法中之圖案化器件

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09167731A (ja) * 1995-12-14 1997-06-24 Mitsubishi Electric Corp 投影露光装置、収差評価用マスクパタン、収差量評価方法、収差除去フィルター及び半導体装置の製造方法
JP3630269B2 (ja) * 1997-08-18 2005-03-16 株式会社ルネサステクノロジ 重ね合わせマ−クおよびこの重ね合わせマークを使用した半導体装置の製造方法
WO2005069082A1 (en) * 2003-12-19 2005-07-28 International Business Machines Corporation Differential critical dimension and overlay metrology apparatus and measurement method
WO2005073807A1 (en) * 2004-01-29 2005-08-11 Kla-Tencor Technologies Corporation Computer-implemented methods for detecting defects in reticle design data
JP4904034B2 (ja) * 2004-09-14 2012-03-28 ケーエルエー−テンカー コーポレイション レチクル・レイアウト・データを評価するための方法、システム及び搬送媒体
WO2007120280A2 (en) * 2005-11-18 2007-10-25 Kla-Tencor Technologies Corporation Methods and systems for utilizing design data in combination with inspection data
US7911612B2 (en) * 2007-06-13 2011-03-22 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
JP5882590B2 (ja) * 2011-02-28 2016-03-09 キヤノン株式会社 光学式エンコーダおよび光学式エンコーダを有する装置
US20130328155A1 (en) * 2012-06-07 2013-12-12 Toshiba America Electronic Components, Inc. Generation of additional shapes on a photomask for a multiple exposure process
US8913237B2 (en) * 2012-06-26 2014-12-16 Kla-Tencor Corporation Device-like scatterometry overlay targets
US8908181B2 (en) * 2012-06-28 2014-12-09 Taiwan Semiconductor Manufacturing Company, Ltd. Overlay mark and method of measuring the same
WO2014194095A1 (en) * 2013-05-30 2014-12-04 Kla-Tencor Corporation Combined imaging and scatterometry metrology
KR102333504B1 (ko) * 2013-06-27 2021-12-01 케이엘에이 코포레이션 계측 타겟의 편광 측정 및 대응 타겟 설계
WO2015080858A1 (en) 2013-12-01 2015-06-04 Kla-Tencor Corporation Target element types for process parameter metrology
US10036962B2 (en) * 2013-12-13 2018-07-31 Asml Netherlands B.V. Inspection apparatus and methods, lithographic system and device manufacturing method
KR102119290B1 (ko) * 2014-02-12 2020-06-05 케이엘에이 코포레이션 부정확도를 감소시키고 콘트라스트를 유지하는 충전 요소를 갖는 계측 타겟
WO2015153497A1 (en) * 2014-03-31 2015-10-08 Kla-Tencor Corporation Focus measurements using scatterometry metrology
US9594862B2 (en) * 2014-06-20 2017-03-14 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating an integrated circuit with non-printable dummy features
US10228320B1 (en) 2014-08-08 2019-03-12 KLA—Tencor Corporation Achieving a small pattern placement error in metrology targets
CN105446072B (zh) * 2014-08-26 2019-11-01 中芯国际集成电路制造(上海)有限公司 掩模版及其形成方法
US9864209B2 (en) * 2015-05-19 2018-01-09 Kla-Tencor Corporation Self-moire target design principles for measuring unresolved device-like pitches
US10520832B2 (en) * 2015-05-19 2019-12-31 Kla-Tencor Corporation Topographic phase control for overlay measurement
KR20180123156A (ko) 2016-04-04 2018-11-14 케이엘에이-텐코 코포레이션 필 팩터 변조에 의한 공정 호환성 개선

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030223630A1 (en) * 2002-02-15 2003-12-04 Kla-Tencor Corporation Overlay metrology and control method
US9436099B2 (en) * 2008-10-06 2016-09-06 Asml Netherlands B.V. Lithographic focus and dose measurement using a 2-D target
US20120044470A1 (en) * 2010-08-18 2012-02-23 Asml Netherlands B.V. Substrate for Use in Metrology, Metrology Method and Device Manufacturing Method
US20160266505A1 (en) * 2015-01-30 2016-09-15 Kla-Tencor Corporation Device metrology targets and methods
TW201701083A (zh) * 2015-02-23 2017-01-01 Asml荷蘭公司 器件製造方法及用於器件製造方法中之圖案化器件

Also Published As

Publication number Publication date
US20180348648A1 (en) 2018-12-06
US10754261B2 (en) 2020-08-25
TW201903517A (zh) 2019-01-16
KR102447611B1 (ko) 2022-09-26
EP3639166A1 (en) 2020-04-22
WO2018226215A1 (en) 2018-12-13
JP2020529621A (ja) 2020-10-08
JP2022087346A (ja) 2022-06-09
JP7378530B2 (ja) 2023-11-13
EP3639166A4 (en) 2021-02-24
KR20200005682A (ko) 2020-01-15
CN110741374B (zh) 2024-06-11
CN110741374A (zh) 2020-01-31

Similar Documents

Publication Publication Date Title
CN107003603B (zh) 同时光刻及蚀刻校正流程的方法
CN106575630B (zh) 使用叠加及成品率关键图案的度量
US11137692B2 (en) Metrology targets and methods with oblique periodic structures
TWI623818B (zh) 用於製程參數量測之目標元件類型
CN106164733B (zh) 使用散射术计量的焦点测量
TWI726163B (zh) 以繞射為基礎之聚焦度量
US20100153905A1 (en) Pattern layout designing method, semiconductor device manufacturing method, and computer program product
JP7378530B2 (ja) 計量ターゲットデザイン及び方法
US9348964B2 (en) MASK3D model accuracy enhancement for small feature coupling effect
US20070111112A1 (en) Systems and methods for fabricating photo masks
US20190286781A1 (en) Hot Spot and Process Window Monitoring
TW201931482A (zh) 度量量測中圖案置放錯誤之減少或消除
US9411223B2 (en) On-product focus offset metrology for use in semiconductor chip manufacturing
TWI631415B (zh) 同時微影及蝕刻校正流程之方法
KR100798246B1 (ko) 광 근접 보정 검증방법
TWI798991B (zh) 藉由運算裝置所實施之基於特徵的單元擷取之方法及其相關非暫時性電腦可讀媒體
Cai et al. Comparative analysis of resist model stability in negative tone development process
KR102238708B1 (ko) 리소그래피 공정의 초점 이동 체크 방법 및 이를 이용한 전사 패턴 오류 분석 방법
JP2007220938A (ja) シミュレーション方法およびシミュレーションシステム、ならびにマスクパターンの修正方法
Chiang et al. Systematic Yield-Lithography
JP2007108716A (ja) ルールモデルベース・ハイブリッド光学近接効果補正に対するルールを発生するためのモデルベースパターンの特徴化