TWI798584B - 反射性光罩及其製造方法 - Google Patents

反射性光罩及其製造方法 Download PDF

Info

Publication number
TWI798584B
TWI798584B TW109129933A TW109129933A TWI798584B TW I798584 B TWI798584 B TW I798584B TW 109129933 A TW109129933 A TW 109129933A TW 109129933 A TW109129933 A TW 109129933A TW I798584 B TWI798584 B TW I798584B
Authority
TW
Taiwan
Prior art keywords
layer
reflective
photocatalytic
substrate
disposed
Prior art date
Application number
TW109129933A
Other languages
English (en)
Other versions
TW202111421A (zh
Inventor
陳慶煌
孫啟元
林華泰
李信昌
陳明威
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202111421A publication Critical patent/TW202111421A/zh
Application granted granted Critical
Publication of TWI798584B publication Critical patent/TWI798584B/zh

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • G03F1/24Reflection masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/54Absorbers, e.g. of opaque materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • G03F1/48Protective coatings
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/80Etching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70233Optical aspects of catoptric systems, i.e. comprising only reflective elements, e.g. extreme ultraviolet [EUV] projection systems
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

一種反射性光罩包括基板、設置在基板上之反射性多層、設置在反射性多層上之覆蓋層、設置在覆蓋層上之光催化層,及設置在光催化層上且攜載具有開口之電路圖案的吸收層。光催化層之部分在吸收層之開口處被曝光,且光催化層包括選自由氧化鈦(TiO2)、氧化錫(SnO)、氧化鋅(ZnO)及硫化鎘(CdS)組成之群組的一者。

Description

反射性光罩及其製造方法
本揭露關於一種反射性光罩及其製造方法。
用於半導體製造中之微影之輻射的波長已自紫外線減小至深紫外線(deep ultraviolet;DUV),且最近減小至極紫外線(extreme ultraviolet;EUV)。元件大小的進一步減小要求微影解析度的進一步提高,此可使用極紫外線微影(extreme ultraviolet lithography,EUVL)實現。EUVL採用具有約1nm至100nm(例如,13.5nm)之波長的輻射。因為投影透鏡型曝光裝置無法用於EUV微影中,所以EUV微影中需要全反射光學系統。因此,具有高反射率之EUV反射結構(反射器,諸如,鏡子)為EUV微影中的關鍵技術之一。在EUV微影製程期間使用反射性光罩以形成具有較小特徵大小之積體電路。然而,現有反射性光罩易受製造製作缺陷(諸如,氧化)影響,且容易損壞。因此,需要一種反射性光罩及其製造方法以便解決以上問題。
依據本揭露之部分實施例,一種反射性光罩包括:一基板;一反射性多層設置在該基板上;一覆蓋層設置在 該反射性多層上;一光催化層設置在該覆蓋層上;以及一吸收層設置在該光催化層上並攜載具有開口之電路圖案,其中:該光催化層之部分在該吸收層之該等開口處曝露,該光催化層包括選自由氧化鈦(TiO2)、氧化錫(SnO)、氧化鋅(ZnO)及硫化鎘(CdS)組成之群組的一者。
依據本揭露之部分實施例,一種反射性光罩,包括:一基板;一反射性多層,設置在該基板上;一吸收層,設置在該反射性多層之上且攜載具有開口之電路圖案;以及一光催化層,設置在該吸收層上且在該吸收層之該等開口處設置在該反射性多層之上,其中該光催化層包括選自由氧化鈦(TiO2)、氧化錫(SnO)、氧化鋅(ZnO)及硫化鎘(CdS)組成之群組的一者。
依據本揭露之部分實施例,一種製造一反射性光罩之方法包括:在一光罩素材之上形成一光阻層,該光罩素材包括一基板、在該基板上之一反射性多層、在該反射性多層上之吸收層,及一硬光罩層;圖案化該光阻層;使用該經圖案化之光阻層作為一蝕刻光罩來圖案化該硬光罩層;移除該經圖案化之光阻層;藉由使用經圖案化之該硬光罩層作為一蝕刻光罩來圖案化該吸收層;以及在經圖案化之該吸收層之上形成一光催化層,其中:該吸收層攜載具有開口之電路圖案,該光催化層在該吸收層之該等開口處經形成在該反射性多層之上,以及該光催化層包括選自由氧化鈦(TiO2)、氧化錫(SnO)、氧化鋅(ZnO)及硫化鎘(CdS)組成之群組的一者。
100:EUV反射性光罩
100A:EUV反射性光罩
100B:EUV反射性光罩
100C:EUV反射性光罩
100D:EUV反射性光罩
102:基板
104:反射性多層(RML)
105:層
106:覆蓋層
108:吸收層
110:保護層
112:緩衝層
120:光催化層
1000:腔室
1100:光源
1200:氣體入口
1300:泵
1400:氣體出口
S201:操作
S202:操作
S203:操作
S204:操作
S205:操作
S206:操作
S207:操作
S208:操作
S301:操作
S302:操作
S303:操作
S304:操作
S501:操作
S502:操作
S503:操作
S504:操作
S505:操作
S506:操作
S507:操作
S701:操作
S702:操作
S703:操作
S704:操作
S705:操作
S706:操作
S707:操作
S708:操作
S709:操作
S710:操作
S901:操作
S902:操作
S903:操作
S904:操作
S905:操作
S906:操作
S907:操作
S908:操作
S909:操作
當結合隨附諸圖閱讀時,得以自以下詳細描述最佳地理解本揭示案。應強調,根據行業上之標準實務,各種特徵並未按比例繪製且僅用於說明目的。事實上,為了論述清楚,可任意地增大或減小各種特徵之尺寸。
第1A圖為根據本揭示案之實施例的用於極紫外線(EUV)微影曝光工具中之反射性光罩的橫截面圖。第1B圖為用於第1A圖之反射性光罩的光罩素材之橫截面圖。
第2圖為根據本揭示案之實施例的EUV反射性光罩之依序製造製程的流程圖。
第3A圖為根據本揭示案之實施例的用於EUV微影曝光工具中之反射性光罩的橫截面圖。第3B圖為用於第3A圖之反射性光罩的光罩素材之橫截面圖。
第4圖為根據本揭示案之實施例的用於EUV微影曝光工具中之反射性光罩的橫截面圖。
第5圖為根據本揭示案之實施例的EUV反射性光罩之依序製造製程的流程圖。
第6A圖為根據本揭示案之實施例的用於EUV微影曝光工具中之反射性光罩的橫截面圖。第6B圖為用於第6A圖之反射性光罩的光罩素材之橫截面圖。
第7圖為根據本揭示案之實施例的EUV反射性光罩之依序製造製程的流程圖。
第8圖為根據本揭示案之實施例的用於EUV微影曝光工具中之反射性光罩的橫截面圖。
第9圖為根據本揭示案之實施例的EUV反射性光罩之依序製造製程的流程圖。
第10圖為製作根據本揭示案之實施例構造的積體電路之方法的流程圖。
第11圖根據本揭示案之實施例示出光罩儲存器之示意圖。
以下揭示內容提供用於實施所提供標的之不同特徵的許多不同實施例或實例。以下描述元件及佈置之特定實例以簡化本揭示案。當然,此些僅為實例,且並不意欲為限制性的。舉例而言,在如下描述中第一特徵在第二特徵之上或在第二特徵上方形成可包括其中第一特徵與第二特徵形成為直接接觸之實施例,且亦可包括其中額外特徵可在第一特徵與第二特徵之間形成以使得第一特徵與第二特徵可不直接接觸的實施例。另外,本揭示案可在各種實例中重複元件符號及/或字母。此重複係出於簡化及清楚目的,且其自身並不表示所論述之各種實施例及/或配置之間的關係。
另外,為了便於描述,可在本文中使用諸如「在......下面」、「在......下方」、「下部」、「在......上方」、「上部」及其類似術語之空間相對術語,以描述如諸圖中所繪示之一個元件或特徵與另一(其他)元件或特徵的關係。除了諸圖中所描繪之定向以外,此些空間相對術語意欲涵蓋元件在使用中或操作中之不同定向。裝置可以其他 方式定向(旋轉90度或以其他定向),且可同樣相應地解釋本文中所使用之空間相對描述詞。另外,術語「由......製成」可意謂「包括」抑或「由......組成」。在本揭示案中,短語「A、B及C中之一者」意謂「A、B及/或C」(A、B、C、A與B、A與C、B與C,或A、B及C),且並不意謂來自A之一個元件、來自B之一個元件以及來自C之一個元件,除非另有描述。
本揭示案大體關於極紫外線(EUV)反射器,諸如,EUV反射性光罩。在以下實施例中,相對於一個實施例解釋之材料、配置、尺寸、製程及/或方法可應用於其他實施例,且可省略其詳細描述。
第1A圖為用於根據本揭示案之一或更多個實施例構造的極紫外線(EUV)微影曝光工具中之反射性光罩(或反射性主光罩或反射性光罩)100的截面圖。第1B圖為用於第1A圖之反射性光罩的光罩素材(mask blank)之橫截面圖。
EUV反射性光罩100包括基板102。選定基板102以使由於增強的照明輻射所導致之光罩加熱引起的影像變形最小化。在一些實施例中,基板102包括低熱膨脹材料(low thermal expansion material;LTEM)。LTEM包括熔融石英、碳化矽、氧化矽-氧化鈦合金及/或此項技術中所已知之其他適當LTEM。或者,取決於光罩之設計要求,基板102包括其他材料,諸如,石英或玻璃。基板102包括具有低缺陷水平及平滑表面之材料。在一些 實施例中,基板102之大小為6吋基板,亦即,152mm×152mm。
EUV反射性光罩100包括沉積在基板102上之反射性多層(reflective multilayer,RML)104。RML 104被設計成反射導向至基板102之輻射光。在一個實施例中,RML 104包括沉積在基板102之頂部上的兩種材料之交替層,以充當使輻射光(諸如,具有13.5nm波長之EUV)之反射最大化的布拉格(Bragg)反射器。
選擇交替層中之兩種材料的組合,以在兩個層之間提供大的折射率差(例如,根據菲涅耳方程式在兩個層之界面處實現大的反射率),但為此些層提供小的消光係數(例如,使吸收最小化)。在一些實施例中,RML 104包括鉬-矽(Mo/Si)層對。在其他實施例中,RML 104包括鉬-鈹(Mo/Be)層對。取決於入射在EUV反射性光罩上之光(諸如,極紫外線(EUV)輻射)的波長及入射角來調整RML 104之每個層對中之每一層的厚度,以使得光罩實現自RML 104之不同界面處反射之光的最大相長干涉。大體而言,RML 104之反射率隨著RML之層對的數目增大而增大。因此,原則上,若層對的數目足夠大並且此些層之材料的消光係數接近於零,則RML 104之反射率可接近100%,而與層對中之層之材料的折射率之差無關。然而,在EUV波長範圍內,可實現之最高反射率受RML 104之層所採用之材料的消光係數限制。在一些實施例中,RML 104之層對的數目為自二十至八十。在某些實施例 中,為了實現RML 104之最大可實現反射率(藉由選定材料)的90%以上,並使光罩素材製造時間及成本最小化,RML 104包括約四十個層對,諸如,四十個Mo/Si對。在一些實施例中,Mo/Si對包括具有3nm至5nm(例如,約4nm)之厚度的矽層,及具有2nm至4nm(例如,約3nm)之厚度的鉬層。在某些實施例中,RML 104包括約四十個M0/Si膜對,且每一Mo/Si膜對具有約7nm之總厚度。或者,取決於光罩之反射率規格,RML 104包括任何其他數目個層對。
覆蓋層106沉積在RML 104上。因為覆蓋層106具有與吸收層不同之蝕刻特性,所以覆蓋層106為RML 104提供保護。同時,覆蓋層將不會使來自RML 104之EUV反射率降級。在一些實施例中,覆蓋層106係由釕(Ru)或釕合金(Ru)合金製成。在一些實施例中,覆蓋層106包括釕與適當金屬「M」之合金(RuM合金),其中金屬「M」為高度不與氧反應的。在一些實施例中,覆蓋層106之RuM合金呈非晶結構。在一些實例中,覆蓋層106包括Ru與鉑(Pt)之合金(RuPt合金)。在一些實施例之,RuM合金之金屬「M」為釙(Po)、汞(Hg)、鋨(Os)、銠(Rh)、鈀(Pd)、銥(Ir)、鈮(Nb)及鉑(Pt)或其組合中之一者。在一些實施例中,覆蓋層106之厚度範圍在約2nm與約5nm之間。
在一些實施例中,覆蓋層106由Si製成。在一些實施例中,Si層形成在RML 104上。在其他實施例中, RML 104之最頂層為Si層,此Si層具有比RML 104之其他Si層之厚度大的厚度。在一些實施例中,頂部Si層具有在自約5nm至約15nm之範圍中的厚度。
光罩之污染及/或氧化可能來自於各種來源,諸如,乾式電漿-氧輔助蝕刻、環境濕氣、光罩修復、EUV曝光及光罩清潔。舉例而言,觀察到,在EUV光罩清潔中所使用之氧化媒體將強氧化RML 104並將RML 104之局部矽改變為二氧化矽,此導致EUV反射性光罩100之RML 104的變形。另外,在基於電子束之光罩修復中所使用的化學物質亦引發局部表面中之強氧化,此導致RML 104的變形。現有覆蓋層無法提供對於來自於乾式電漿-氧輔助蝕刻、環境氧化污染、光罩修復及清潔氧化製程之降級的足夠抵抗性。另外,在清潔操作中所使用之化學物質可能作為殘留物(諸如,碳氫化合物殘留物)殘留在光罩上。由於EUV腔室中殘留有碳氫化合物,因此在EUV曝光期間碳氫化合物殘留物亦沉積在光罩上。碳氫化合物殘留物的來源之一可為塗佈在晶圓上之光阻劑(有機材料)。藉由電漿處理移除碳氫化合物殘留物,此電漿處理亦可損壞光罩。
在本揭示案之一些實施例中,在覆蓋層106上沉積光催化層120。光催化層120藉由EUV輻射將碳氫化合物殘留物催化成CO2及/或H2O。因而執行光罩表面之原位自清潔。在一些實施例中,在EUV掃描儀系統中,將氧氣及氫氣注入至EUV腔室中,以維持腔室壓力(例如, 約2Pa)。腔室背景氣體可為氧氣之來源。除了光催化功能以外,光催化層120經設計成對各種化學物質及各種化學製程(諸如,清潔及蝕刻)有足夠的耐久性及抵抗性。在一些實例中,在後續製程中用以製作EUV反射性光罩100之臭氧水造成對由釕(Ru)製成之覆蓋層106的損壞,並導致明顯的EUV反射率下降。進一步觀察到,在釕氧化之後,釕氧化物容易被蝕刻劑(諸如,Cl2或CF4氣體)蝕刻掉。
另外,在吸收層之圖案化操作期間,光催化層120充當蝕刻終止層。在各種實施例中,基於彼等考慮來設計並形成光催化層120,以便解決已確定的問題。
在一些實施例中,當光催化層120形成為非晶的,光催化層120中之多晶結構包括晶粒形態。
在一些實施例中,光催化層120包括氧化鈦(TiO2)、氧化錫(SnO)、氧化鋅(ZnO)及硫化鎘(CdS)中之一或更多者。在一些實施例中,光催化層120藉由化學氣相沉積(CVD)、物理氣相沉積(PVD)(包括濺射)、原子層沉積(ALD)或任何其他適當的膜形成方法來形成。在一些實施例中,在覆蓋層106上形成金屬層(例如,鈦(Ti)、錫(Sn)和/或鋅(Zn)、及/或),且執行使用含氧氣體對已沉積層之電漿處理以形成其氧化物層。控制電漿處理,以使得摻雜劑僅被引入至光催化層120及/或覆蓋層,而不會進一步引入至RML 104。光催化層120之厚度在一些實施例中在自約2nm至約10nm之範圍中,且在其 他實施例中在自約3nm至約7nm之範圍中。當厚度太薄時,光催化層可能無法充分地充當蝕刻終止層。當厚度太大時,光催化層可吸收EUV輻射。
仍參考第1A圖及第1B圖,EUV反射性光罩100包括形成在光催化層120上之吸收層108。吸收層108沉積在光催化層120上,且進一步經圖案化以(諸如,根據IC設計佈局)限定其上之電路圖案。儘管在第1A圖之EUV反射性光罩100中僅示出一個開口,但存在由吸收層108形成之對應於電路圖案的多個開口。
吸收層108經設計成在微影曝光製程期間吸收輻射光(諸如,EUV光)。輻射光穿過吸收層108之開口並被RML 104反射,從而電路圖案成像至形成在基板之上的光阻層。在一些實施例中,吸收層108包括氮化鉭硼(TaBN)。在其他實施例中,吸收層108包括鉻(Cr)、氧化鉻(CrO)、氮化鈦(TiN)、氮化鉭(TaN)、鉭(Ta)、鉭鉿、鉭鍺、鉭與矽基材料(例如,TaSiON、TaBSi)、基於氧化鉭硼之材料(例如,TaBO)、鈦(Ti)或鋁-銅(Al-Cu)、鈀、氧化鋁(AlO)、鉬(Mo)及其他適當材料。在又一實施例中,吸收層108包括多個層。在某些實施例中,吸收層108包括由TaBN製成之第一層,及形成在第一層上之由TaBO製成之第二層。在一些實施例中,第二層具有比第一層小之厚度。在一些實施例中,吸收層108係藉由諸如CVD、PVD或ALD之沉積技術沉積,且藉由適當程序(諸如,電子束微影製程及蝕刻)圖案化。
在一些實施例中,EUV反射性光罩100視情況包括設置在吸收層108上之保護層110。在一些實施例中,當光罩處於清潔製程中時,保護層110可保護吸收層108免於氧化。另外,在一些實施例中,吸收層108具有不良的抗清潔性,且保護層110增強了清潔耐久性。
選定保護層110以在包括蝕刻及清潔之後續製程期間提供對吸收層108之有效保護,諸如,免於氧化、蝕刻或損壞的保護。在一些實施例中,保護層110在組成方面類似於覆蓋層106。在各種實施例中,保護層110包括釕或釕合金、氧化或氮化的鈦(Ti)、鋯(Zr)或矽(Si)或其他適當材料。在其他實施例中,一些其他材料可用以形成保護層110。在一個實施例中,SiC膜形成為保護層110。
保護層110亦經圖案化,以使得其僅設置在經圖案化之吸收層108上。在一些實施例中,首先沉積吸收層108,且接著在吸收層108上沉積保護層110。隨後,應用圖案化操作(包括一或更多個微影及蝕刻操作),以圖案化吸收層108以及保護層110。蝕刻可包括一個蝕刻步驟(諸如,乾式蝕刻)或兩個蝕刻步驟(諸如,兩個濕式及/或乾式蝕刻步驟),以依序圖案化吸收層108及保護層110。
在一些實施例中,保護層110為抗反射層。在一些實施例中,用於抗反射層之材料為Ta、TaO、TaON、TaHfO、TaBSiO及SiON中之一或更多者。在一些實施例中,抗反射層之厚度在自約1nm至約20nm之範圍中, 且在其他實施例中在自約3nm至約10nm之範圍中。在一些實施例中,在保護層110上形成不同的抗反射層。
在一些實施例中,導電背側塗層105視情況地沉積在光罩基板102之與前表面相對的背側表面上。在一些實施例中,導電背側塗層105用以藉由靜電夾持來固定用於光微影操作之光罩。在實施例中,導電層105由化合物形成,此化合物包括氮化鉻或用於光罩之靜電夾持的任何適當材料。
第2圖為根據本揭示案之實施例的用以形成EUV反射性光罩(諸如,EUV反射性光罩100)之依序製造方法的流程圖。應理解,在依序製造製程中,可在第2圖中所示之階段之前、在其期間及在其之後提供一或更多個額外操作,且可替代或消除以下所述操作中的一些而獲得此方法之額外實施例。操作/製程之次序可互換。
在第2圖之操作S201處,提供基板102。選定基板102以使由於強照明輻射所導致之光罩加熱引起的影像變形最小化。在本實施例中,基板102包括LTEM。LTEM可包括熔融石英、碳化矽、氧化矽-氧化鈦合金及/或此項技術中所已知之其他適當LTEM。或者,取決於光罩之設計要求,基板102包括其他材料,諸如,石英或玻璃。
在第2圖之操作S202處,在基板102上形成RML層104。在一些實施例中,RML層104係直接形成在基板102上,且在其他實施例中,在形成RML層104 之前,在基板上形成一或更多個緩衝層。在一些實施例中,如上所述,RML 104包括沉積在基板102之頂部上的兩種材料之交替層。在一些實施例中,RML 104包括鉬-矽(Mo/Si)層對。在其他實施例中,RML 104包括鉬-鈹(Mo/Be)層對。藉由PVD、CVD、ALD或其他適當技術形成RML 104。在一些實施例中,Mo層係使用Mo靶藉由濺射形成,且Si層亦係使用Si靶藉由濺射形成。重複此處理循環,直至形成了期望數目個Mo/Si層對為止。
在第2圖之操作S203處,在RML層104上形成覆蓋層106。藉由PVD、CVD、ALD或其他適當技術形成覆蓋層106。在一些實施例中,如上所述,覆蓋層106包括Ru或Ru合金。
在第2圖之操作S204處,在覆蓋層106上形成光催化層120。藉由PVD、CVD、ALD或其他適當技術形成光催化層120。在一些實施例中,如上所述,光催化層120包括氧化鈦(TiO2)、氧化錫(SnO)、氧化鋅(ZnO)及硫化鎘(CdS)中之一或更多者。
在第2圖之操作S205處,在光催化層120上形成吸收層108。在一些實施例中,藉由沉積技術(諸如,CVD、PVD、ALD或其他適當技術)來沉積吸收層108。
在第2圖之操作S206處,在吸收層108上形成保護層110。在一些實施例中,藉由沉積技術(諸如,CVD、PVD、ALD或其他適當技術)來沉積保護層110。在形成保護層110之後,獲得如第1B圖中所示之EUV光罩 素材。在一些實施例中,不形成保護層110。
在第2圖之操作S207處,藉由使用一或更多個微影及蝕刻操作圖案化保護層110及吸收層108,以在EUV光罩素材上形成電路圖案。微影操作包括在光罩素材上塗佈抗蝕劑層,施加輻射束(諸如,電子束)以曝光抗蝕劑層,以及使抗蝕劑層顯影以形成經圖案化之抗蝕劑層。微影製程可進一步包括其他步驟,諸如,軟烘烤、曝光後烘烤或硬烘烤。蝕刻操作包括一或更多個蝕刻步驟。在一些實施例中,蝕刻操作包括一種乾式蝕刻以蝕刻保護層110以及吸收層108。在其他實施例中,蝕刻操作包括兩個蝕刻步驟,每一蝕刻步驟使用選擇性地蝕刻相應材料層(諸如,保護層110或吸收層108)之蝕刻劑。在一些實施例中,調整蝕刻條件(蝕刻氣體,等等)以使得蝕刻在光催化層120處終止。
在第2圖之操作S208處,經圖案化之EUV反射性光罩經受檢查。若發現缺陷,則執行修復操作。
在一些實施例中,在操作S207處形成電路圖案之前或之後,在EUV反射性光罩之周邊區域處形成黑色邊框圖案。黑色邊框為光罩上之晶粒周圍的無圖案深色區域(電路區),其充當光罩之被主光罩遮蔽(REMA)葉片及晶粒屏蔽而免受曝光光影響的部分之間的過渡區。當在EUV掃描儀上以密集間距列印晶粒時,來自影像邊界之EUV光反射與相鄰晶粒之邊緣重疊。此反射光亦含有不需要之各種波長,稱為帶外(out-of-band,OOB)光。OOB光不利 地影響將形成在基板上之圖案的準確度,尤其係圍繞基板上之圖案周邊的部分。另外,由於殘留吸收反射率及REMA葉片不穩定性,在相鄰晶粒之曝光期間會發生EUV輻射的洩漏,從而導致晶粒邊緣周圍的過度曝光。為了減少此效應,在相鄰晶粒之間置放黑色邊界區。黑色邊界區可解決由相鄰晶粒曝光所引起之臨界尺寸不均勻性。
當將EUV反射性光罩100用於EUV曝光工具(例如,EUV掃描儀)中時,藉由EUV光來照射光催化層120。因此,EUV光導致在光催化層120之表面處的光催化反應,此光催化反應分解了光罩上之碳氫化合物污染物。此原位自清潔維持了EUV反射性光罩100之反射率。
第3A圖為根據本揭示案之實施例的用於極紫外線(EUV)微影曝光工具中之反射性光罩的橫截面圖。第3B圖為用於第3A圖之反射性光罩的光罩素材之橫截面圖。可在以下實施例中採用關於前述實施例解釋之材料、配置、尺寸、製程及/或操作,且可省略其詳細解釋。
第3A圖中所示之EUV反射性光罩100A包括基板102、在基板上之RML 104、在RML層104上之光催化層120、在光催化層120上之吸收層108,及在吸收層108上之保護層110。不在RML層104與光催化層120之間設置覆蓋層。在一些實施例中,光催化層120包括氧化鈦(TiO2)、氧化錫(SnO)、氧化鋅(ZnO)及硫化鎘(CdS)中之一或更多者。在某些實施例中,光催化層120 包括氧化錫(SnO)、氧化鋅(ZnO)或硫化鎘(CdS)。除了覆蓋層106的形成以外,EUV反射性光罩100A之製造製程與關於第2圖解釋之製造製程相同。
第4圖為根據本揭示案之實施例的用於極紫外線(EUV)微影曝光工具中之反射性光罩110B的橫截面圖。第5圖為根據本揭示案之實施例的用以形成第4圖中所示之EUV反射性光罩100B之依序製造方法的流程圖。應理解,在依序製造製程中,可在第5圖中所示之階段之前、在其期間及在其之後提供一或更多個額外操作,且可替代或消除以下所述操作中的一些而獲得此方法之額外實施例。操作/製程之次序可互換。可在以下實施例中採用關於前述實施例解釋之材料、配置、尺寸、製程及/或操作,且可省略其詳細解釋。
在第4圖之實施例中,光罩素材包括基板102、在基板上之RML 104、在RML層104上之覆蓋層106,及在覆蓋層106上之吸收層108,但不包括光催化層120。如第4圖中所示,在吸收層108經圖案化之後形成光催化層120。在此實施例中,光催化層120不僅充當光催化層而且充當保護層。在一些實施例中,保護層110形成在吸收層108上,且光催化層120進一步形成在保護層110之上。如第4圖中所示,光催化層120亦形成在吸收層108之側壁上。
在第5圖中示出第4圖之EUV光罩100B的製造製程。在第5圖之操作S501處,製備光罩素材。光罩素 材包括基板102、在基板上之RML 104、在RML層104上之覆蓋層106,及在覆蓋層106上之吸收層108。在第5圖之操作S502處,在光罩素材上形成光阻(電子束抗蝕劑)層。在操作S503處,藉由光化輻射(諸如,電子束)曝光光阻層以在其上繪製電路圖案。使曝光的光阻劑顯影。在第5圖之操作S504處,藉由使用經圖案化之抗蝕劑層作為蝕刻光罩使用一或更多個蝕刻操作來圖案化吸收層108,以便在EUV光罩素材上形成電路圖案。在第5圖之操作S506處,在經圖案化之吸收層108以及覆蓋層106之已曝露部分之上形成光催化層120。接著,在第5圖之操作S507處,類似於第2圖之操作S208來執行檢查及修復操作。
第6A圖為根據本揭示案之實施例的用於極紫外線(EUV)微影曝光工具中之反射性光罩的橫截面圖。第6B圖為用於第6A圖之反射性光罩的光罩素材之橫截面圖。第7圖為根據本揭示案之實施例的用以形成第6A圖中所示之EUV反射性光罩100B之依序製造方法的流程圖。應理解,在依序製造製程中,可在第7圖中所示之階段之前、在其期間及在其之後提供一或更多個額外操作,且可替代或消除以下所述操作中的一些而獲得此方法之額外實施例。操作/製程之次序可互換。可在以下實施例中採用關於前述實施例解釋之材料、配置、尺寸、製程及/或操作,且可省略其詳細解釋。
第6A圖中所示之EUV反射性光罩100C包括基 板102、在基板上之RML 104、在RML層104上之覆蓋層106、在覆蓋層106上之光催化層120、在光催化層120上之緩衝層112、在緩衝層112上之吸收層108,及在吸收層108上之保護層110。
緩衝層112在吸收層108之蝕刻期間充當蝕刻終止層,以保護光催化層120。在一些實施例中,緩衝層112包括鉻、鋁、鉭、釕、氮化鉻、氮化鋁、氮化鉭、二氧化矽、氮化矽及其他適當材料之一或更多層。在一些實施例中,緩衝層112之厚度在自約1nm至約50nm之範圍中,且在其他實施例中在自約2nm至約10nm之範圍中。
在第7圖中示出第6A圖之EUV光罩100C的製造製程。第7圖之操作S701、S702、S703及S704與第2圖之操作S201、S202、S203及S204相同。在第7圖之操作S705處,在光催化層120之上形成緩衝層112。在第7圖之操作S706處,在緩衝層之上形成吸收層108,且在第7圖之操作S707處,在吸收層108之上形成保護層110,藉此形成EUV光罩素材。在第7圖之S708處,藉由使用一或更多個微影及蝕刻操作圖案化保護層110及吸收層108,以在EUV光罩素材上形成電路圖案。在蝕刻操作中,蝕刻大體上在緩衝層112處終止,且光催化層120未曝露。在第7圖之操作S709處,類似於第2圖之S208來執行檢查及修復操作。接著,在第7圖之操作S710處,藉由適當的蝕刻操作來移除未被吸收層108覆蓋之緩衝層。在一些實施例中,使用濕式蝕刻。在一些實施例中, 不形成保護層110。在一些實施例中,不形成覆蓋層106。
第8圖為根據本揭示案之實施例的用於極紫外線(EUV)微影曝光工具中之反射性光罩的橫截面圖。第9圖為根據本揭示案之實施例的用以形成第8圖中所示之EUV反射性光罩100D之依序製造方法的流程圖。應理解,在依序製造製程中,可在第9圖中所示之階段之前、在其期間及在其之後提供一或更多個額外操作,且可替代或消除以下所述操作中的一些而獲得此方法之額外實施例。操作/製程之次序可互換。可在以下實施例中採用關於前述實施例解釋之材料、配置、尺寸、製程及/或操作,且可省略其詳細解釋。
在此實施例中,光罩素材包括基板102、在基板上之RML 104、在RML層104上之覆蓋層106、在RML層上之緩衝層112,及在緩衝層112上之吸收層108,但不包括光催化層120。在吸收層108經圖案化且移除緩衝層112之後形成光催化層120。在此實施例中,光催化層120不僅充當光催化層而且充當保護層。緩衝層112在吸收層108之蝕刻期間充當蝕刻終止層,以保護覆蓋層106。在一些實施例中,不形成覆蓋層106。在此情形下,緩衝層112在吸收層108之蝕刻期間充當蝕刻終止層,以保護RML層104。在一些實施例中,保護層110形成在吸收層108上,且光催化層120進一步形成在保護層110之上。如第8圖中所示,光催化層120亦保形地形成在吸收層108及緩衝層112之側壁上。
在第9圖中示出第8圖之EUV光罩100B的製造製程。第9圖之操作S901、S902及S903與第2圖之操作S201、S202及S203相同。在第9圖之操作S904處,在覆蓋層106上形成緩衝層112。在第9圖之操作S905處,在緩衝層上形成吸收層108,藉此獲得EUV光罩素材。在第9圖之操作S906處,藉由使用一或更多個微影及蝕刻操作來圖案化吸收層108,以在EUV光罩素材上形成電路圖案。在蝕刻操作中,蝕刻大體上在緩衝層112處終止,且覆蓋層106未曝光。在第9圖之操作S907處,移除已曝光之緩衝層112,且在第9圖之操作S908處,在經圖案化之吸收層108以及覆蓋層106之已曝光部分之上保形地形成光催化層120。接著,在第9圖之操作S909處,類似於第2圖之S208來執行檢查及修復操作。
第10圖為根據本揭示案之實施例的半導體元件(諸如,積體電路)之依序製造製程的流程圖。提供將要圖案化以在其上形成積體電路之半導體基板或其他適當基板。在一些實施例中,半導體基板包括矽。替代地或另外地,半導體基板包括鍺、矽鍺或其他適當的半導體材料,諸如,III-V族半導體材料。在第10圖之S301處,在半導體基板之上形成將要圖案化之靶層。在某些實施例中,靶層為半導體基板。在一些實施例中,靶層包括導電層,諸如,金屬層或多晶矽層;介電層,諸如,氧化矽、氮化矽、氧氮化矽、氧碳化矽、碳氮氧化矽、碳氮化矽、氧化鉿或氧化鋁;或半導體層,諸如,磊晶形成之半導體層。 在一些實施例中,靶層形成在底層結構(諸如,隔離結構、電晶體或配線)之上。在第10圖之S302處,在靶層之上形成光阻層。在後續的光微影曝光製程期間,光阻層對來自於曝光源之輻射敏感。在本實施例中,光阻層對在光微影曝光製程中所使用之EUV光敏感。光阻層可藉由旋塗或其他適當技術形成在靶層之上。已塗佈之光阻層可進一步經烘烤,以驅除掉光阻層中之溶劑。在第10圖之操作S303處,如上所述,使用EUV反射性光罩來圖案化光阻層。光阻層之圖案化包括藉由EUV曝光系統使用EUV光罩來執行光微影曝光製程。在曝光製程期間,使在EUV光罩上限定之積體電路(IC)設計圖案成像至光阻層,以在其上形成潛在圖案。光阻層之圖案化進一步包括使已曝光之光阻層顯影,以形成具有一或更多個開口之經圖案化的光阻層。在其中光阻層為正性光阻層之一個實施例中,在顯影製程期間移除光阻層之已曝光部分。光阻層之圖案化可進一步包括其他製程步驟,諸如,處在不同階段之各種烘烤步驟。舉例而言,曝光後烘烤(PEB)製程可在光微影曝光製程之後且在顯影製程之前實施。
在第10圖之操作S304處,利用經圖案化之光阻層作為蝕刻光罩來圖案化靶層。在一些實施例中,圖案化靶層包括使用經圖案化之光阻層作為是蝕刻光罩對靶層應用蝕刻製程。靶層之暴露在經圖案化之光阻層的開口內之部分被蝕刻,而同時剩餘部分受到保護而免受蝕刻。另外,可藉由濕式剝離或電漿灰化來移除經圖案化之光阻層。
在一些實施例中,EUV反射性光罩儲存在腔室(例如,光罩儲存器)1000中,此腔室1000具有照射EUV反射性光罩100、100A、100B、100C及/或100D之光源1100(諸如,UV燈或DUV燈),以便分解並移除光罩上之碳氫化合物污染物,如第11圖中所示。在一些實施例中,自氣體入口1200供應惰性氣體,且藉由泵1300自氣體出口1400泵送儲存器。在一些實施例中,將氧氣添加至惰性氣體。光係在腔室內或經由玻璃或石英視窗自腔室外部照射。
本揭示案提供一種反射性光罩及其製造方法,以便在微影操作期間分解光罩上之碳氫化合物污染物。在一些實施例中,在EUV反射性光罩上形成光催化層,且在EUV微影操作期間藉由EUV輻射來清潔沉積在光催化層上之碳污染物。在其他實施例中,藉由在EUV微影工具內部或外部之UV輻射或深UV(DUV)輻射來清潔EUV反射性光罩。碳氫化合物之分解可防止污染物對EUV光之非所期望的吸收,並延長EUV光罩之壽命。
應理解,未必已在本文中論述了所有優勢,對於所有實施例或實例而言無特定優勢為必需,且其他實施例或實例可提供不同優勢。
根據本揭示案之一個態樣,一種反射性光罩包括基板、設置在基板上之反射性多層、設置在反射性多層上之覆蓋層、設置在覆蓋層上之光催化層,及設置在光催化層上且攜載具有開口之電路圖案的吸收層。光催化層之部分 在吸收層之開口處被曝露,且光催化層包括選自由氧化鈦(TiO2)、氧化錫(SnO)、氧化鋅(ZnO)及硫化鎘(CdS)組成之群的一者。在前述及以下實施例中之一或更多者中,覆蓋層包括釕或釕之合金。在前述及以下實施例中之一或更多者中,釕之此合金為釕與選自由釙(Po)、汞(Hg)、鋨(Os)、銠(Rh)、鈀(Pd)、銥(Ir)、鈮(Nb)及鉑(Pt)組成之群組的至少一者之合金。在前述及以下實施例中之一或更多者中,光催化層包括選自由氧化錫(SnO)、氧化鋅(ZnO)及硫化鎘(CdS)組成之群的一者。在前述及以下實施例中之一或更多者中,光催化層之厚度在自2nm至10nm之範圍中。在前述及以下實施例中之一或更多者中,此反射性光罩進一步包括保護層,此保護層設置在吸收層上且由選自由釕、釕合金、氧化鈦、氧化鋯、氧化矽、氮化鈦、氮化鋯及氮化矽組成之群組的一者製成。在前述及以下實施例中之一或更多者中,反射性光罩進一步包括設置在光催化層與吸收層之間的緩衝層。在前述及以下實施例中之一或更多者中,緩衝層包括選自由鉻、鋁、鉭、釕、氮化鉻、氮化鋁、氮化鉭、二氧化矽及氮化矽組成之群組的一者。
根據本揭示案之另一態樣,一種反射性光罩包括基板、設置在基板上之反射性多層、設置在反射性多層之上且攜載具有開口之電路圖案的吸收層,及設置在吸收層上且在吸收層之開口處設置在反射性多層之上的光催化層。光催化層包括選自由氧化鈦(TiO2)、氧化錫(SnO)、氧化 鋅(ZnO)及硫化鎘(CdS)組成之群組的一者。在前述及以下實施例中之一或更多者中,此反射性光罩進一步包括在反射性多層與吸收層之間的覆蓋層。光催化層設置在覆蓋層之上。在前述及以下實施例中之一或更多者中,覆蓋層包括釕或釕之合金。在前述及以下實施例中之一或更多者中,釕之此合金為釕與選自由釙(Po)、汞(Hg)、鋨(Os)、銠(Rh)、鈀(Pd)、銥(Ir)、鈮(Nb)及鉑(Pt)組成之群組的至少一者之合金。在前述及以下實施例中之一或更多者中,光催化層之厚度在自2nm至10nm之範圍中。在前述及以下實施例中之一或更多者中,此反射性光罩進一步包括在反射性多層與吸收層之間的緩衝層。在前述及以下實施例中之一或更多者中,緩衝層包括選自由鉻、鋁、鉭、釕、氮化鉻、氮化鋁、氮化鉭、二氧化矽及氮化矽組成之群組的一者。在前述及以下實施例中之一或更多者中,光催化層形成在吸收層及緩衝層之側壁上。
根據本揭示案之另一態樣,一種反射性光罩包括基板、設置在基板上之反射性多層、設置在反射性多層上之光催化層,及設置在光催化層上且攜載電路圖案之吸收層。光催化層之部分在吸收層之開口處被曝露,且光催化層包括選自由氧化錫(SnO)、氧化鋅(ZnO)及硫化鎘(CdS)組成之群組的一者。在前述及以下實施例中之一或更多者中,光催化層之厚度在自2nm至10nm之範圍中。在前述及以下實施例中之一或更多者中,此反射性光罩進一步包括保護層,此保護層設置在吸收層上且由選自由釕、釕合金、 氧化鈦、氧化鋯、氧化矽、氮化鈦、氮化鋯及氮化矽組成之群組的一者製成。在前述及以下實施例中之一或更多者中,反射性光罩進一步包括在反射性多層與吸收層之間的緩衝層,且緩衝層包括選自由鉻、鋁、鉭、釕、氮化鉻、氮化鋁、氮化鉭、二氧化矽及氮化矽組成之群組的一者。
根據本揭示案之另一態樣,在一種製造反射性光罩之方法中,在光罩素材之上形成光阻層。光罩素材包括基板、在基板上之反射性多層,及在反射性多層上之吸收層。圖案化光阻層,使用經圖案化之光阻層來圖案化吸收層,且在經圖案化之吸收層之上形成光催化層。吸收層攜載具有開口之電路圖案。光催化層在吸收層之開口處經形成在反射性多層之上。光催化層包括選自由氧化鈦(TiO2)、氧化錫(SnO)、氧化鋅(ZnO)及硫化鎘(CdS)組成之群組的一者。在前述及以下實施例中之一或更多者中,光罩素材進一步包括在反射性多層與吸收層之間的覆蓋層。圖案化吸收層包括蝕刻操作,且此蝕刻操作在覆蓋層處終止。覆蓋層包括釕或釕之合金。在前述及以下實施例中之一或更多者中,光罩素材進一步包括在覆蓋層與吸收層之間的緩衝層,且圖案化吸收層包括蝕刻操作,且此蝕刻操作在緩衝層處終止。在前述及以下實施例中之一或更多者中,在光催化層形成之前,移除在開口處曝露之緩衝層。
根據本揭示案之另一態樣,在一種清潔反射性光罩之方法中,將反射性光罩置放至腔室中。反射性光罩包括光催化層。藉由紫外線光或深紫外線光來照射光催化層。 分解反射性光罩上之污染物。
前文概述了若干實施例或實例之特徵,使得熟習此項技術者可較佳理解本揭示案之態樣。熟習此項技術者應瞭解,他們可容易地使用本揭示案作為設計或修改用於實現相同目的及/或達成本文中所介紹之實施例或實例之相同優勢的其他製程及結構之基礎。熟習此項技術者亦應認識到,此些等效構造不脫離本揭示案之精神及範疇,且他們可在不脫離本揭示案之精神及範疇的情況下在本文中作出各種改變、代替及替換。
100:EUV反射性光罩
102:基板
104:反射性多層(RML)
105:層
106:覆蓋層
108:吸收層
110:保護層
120:光催化層

Claims (10)

  1. 一種反射性光罩,包括:一基板;一反射性多層,設置在該基板上;一覆蓋層,設置在該反射性多層上;一光催化層,設置在該覆蓋層上;以及一吸收層,設置在該光催化層上並攜載具有開口之電路圖案,其中:該光催化層之部分在該吸收層之該等開口處曝露,以及該光催化層包括選自由氧化鈦(TiO2)、氧化錫(SnO)、氧化鋅(ZnO)及硫化鎘(CdS)組成之群組的一者,且選自由氧化鈦、氧化錫、氧化鋅及硫化鎘組成之群組的該者與該覆蓋層包含不同的金屬元素。
  2. 如請求項1所述之反射性光罩,其中該覆蓋層包括釕或釕合金。
  3. 如請求項2所述之反射性光罩,其中該釕合金為釕與選自由釙、汞、鋨、銠、鈀、銥、鈮及鉑組成之群組的至少一者之合金。
  4. 如請求項1所述之反射性光罩,其中該光催化層包括選自由氧化錫(SnO)、氧化鋅(ZnO)及硫化鎘(CdS)組成之群組的一者。
  5. 如請求項1所述之反射性光罩,進一步包括一保護層,該保護層設置在該吸收層上,且選自由釕、釕合金、氧化鈦、氧化鋯、氧化矽、氮化鈦、氮化鋯及氮化矽組成之群組的一者製成。
  6. 一種反射性光罩,包括:一基板;一反射性多層,設置在該基板上;一覆蓋層,設置在該反射性多層上;一堆疊,設置在該覆蓋層之上且攜載具有開口之電路圖案,其中該堆疊包含一緩衝層以及位於該緩衝層上的一吸收層;以及一光催化層,設置在該吸收層的上表面與側壁以及該緩衝層的側壁上且在該堆疊之該等開口處設置在該反射性多層之上,其中該光催化層包括選自由氧化鈦(TiO2)、氧化錫(SnO)、氧化鋅(ZnO)及硫化鎘(CdS)組成之群組的一者。
  7. 如請求項6所述之反射性光罩,其中該光催化層包含一第一部分、一第二部分以及一第三部分,該光催化層的該第一部分沿著該吸收層的該上表面延伸,該光催化層的該第二部分沿著該吸收層的該側壁以及該緩衝層 的該側壁延伸,且該光催化層的該第三部分沿著該覆蓋層的上表面延伸。
  8. 如請求項6所述之反射性光罩,其中該覆蓋層包括釕或釕合金。
  9. 如請求項8所述之反射性光罩,其中該釕合金為釕與選自由釙、汞、鋨、銠、鈀、銥、鈮及鉑組成之群組的至少一者之一合金。
  10. 一種製造一反射性光罩之方法,該方法包括:在一光罩素材之上形成一光阻層,該光罩素材包括一基板、在該基板上之一反射性多層、在該反射性多層上之一緩衝層、在該緩衝層上之一吸收層,及一硬光罩層;圖案化該光阻層;使用該經圖案化之光阻層作為一蝕刻光罩來圖案化該硬光罩層;移除該經圖案化之光阻層;藉由使用經圖案化之該硬光罩層作為一蝕刻光罩來圖案化該緩衝層以及該吸收層;以及在經圖案化之該緩衝層以及經圖案化之該吸收層之上形成一光催化層,其中:每一經圖案化之該緩衝層以及經圖案化之該吸收層攜載 具有開口之電路圖案,該光催化層在經圖案化之該緩衝層之該等開口處以及在經圖案化之該吸收層之該等開口處經形成在該反射性多層之上,以及該光催化層包括硫化鎘(CdS)。
TW109129933A 2019-09-05 2020-09-01 反射性光罩及其製造方法 TWI798584B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/562,400 US11448956B2 (en) 2019-09-05 2019-09-05 EUV mask
US16/562,400 2019-09-05

Publications (2)

Publication Number Publication Date
TW202111421A TW202111421A (zh) 2021-03-16
TWI798584B true TWI798584B (zh) 2023-04-11

Family

ID=74736769

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109129933A TWI798584B (zh) 2019-09-05 2020-09-01 反射性光罩及其製造方法

Country Status (3)

Country Link
US (2) US11448956B2 (zh)
CN (1) CN112445062B (zh)
TW (1) TWI798584B (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20220382148A1 (en) * 2021-05-28 2022-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet mask with alloy based absorbers

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1379284A (zh) * 2001-03-29 2002-11-13 大日本印刷株式会社 图案形成体的制造方法以及用于其中的光掩膜
US20030147058A1 (en) * 2002-02-01 2003-08-07 Nikon Corporation Self-cleaning reflective optical elements for use in X-ray optical systems, and optical systems and microlithography systems comprising same
US20100060870A1 (en) * 2008-09-10 2010-03-11 Asml Netherlands B.V. Lithographic apparatus, method of manufacturing an article for a lithographic apparatus and device manufacturing method
TW201743127A (zh) * 2016-06-01 2017-12-16 台灣積體電路製造股份有限公司 反射式光罩及其製造方法
TWI655458B (zh) * 2014-07-11 2019-04-01 美商應用材料股份有限公司 極紫外線覆蓋層及其之製造與微影方法

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20030068729A (ko) * 2002-02-16 2003-08-25 삼성전자주식회사 반사 방지용 광흡수막 형성 조성물 및 이를 이용한 반도체소자의 패턴 형성 방법
JP2004347717A (ja) * 2003-05-20 2004-12-09 Seiko Epson Corp フォトマスクおよびその製造方法、フォトマスク製造装置、並びにパターン形成方法
JP2005181454A (ja) * 2003-12-16 2005-07-07 Sony Corp パターン形成方法
US7599112B2 (en) 2005-10-11 2009-10-06 Nikon Corporation Multilayer-film mirrors, lithography systems comprising same, and methods for manufacturing same
US8877409B2 (en) * 2012-04-20 2014-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Reflective mask and method of making same
US8974988B2 (en) * 2012-04-20 2015-03-10 Taiwan Semiconductor Manufacturing Company, Ltd. Mask and method for forming the same
US8658333B2 (en) * 2012-06-04 2014-02-25 Nanya Technology Corporation Reflective mask
US8679707B2 (en) * 2012-08-01 2014-03-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a lithography mask
US9093530B2 (en) 2012-12-28 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of FinFET
US9046781B2 (en) * 2013-03-15 2015-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for reflective-type mask
US9052595B2 (en) 2013-03-15 2015-06-09 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography process
US9310675B2 (en) 2013-03-15 2016-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet light (EUV) photomasks, and fabrication methods thereof
US8796666B1 (en) 2013-04-26 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with strain buffer layer and methods of forming the same
KR101858947B1 (ko) * 2013-08-30 2018-05-17 호야 가부시키가이샤 반사형 마스크 블랭크, 반사형 마스크 블랭크의 제조방법, 반사형 마스크 및 반도체 장치의 제조방법
US9134604B2 (en) * 2013-08-30 2015-09-15 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet (EUV) mask and method of fabricating the EUV mask
US9274417B2 (en) * 2013-09-18 2016-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method for lithography patterning
US9261774B2 (en) 2013-11-22 2016-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography process and mask with reduced shadow effect and enhanced intensity
US9377693B2 (en) 2014-03-13 2016-06-28 Taiwan Semiconductor Manufacturing Company, Ltd. Collector in an extreme ultraviolet lithography system with optimal air curtain protection
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
US9529268B2 (en) 2014-04-03 2016-12-27 Taiwan Semiconductor Manufacturing Company, Ltd. Systems and methods for improving pattern transfer
US9256123B2 (en) 2014-04-23 2016-02-09 Taiwan Semiconductor Manufacturing Co., Ltd. Method of making an extreme ultraviolet pellicle
US9184054B1 (en) 2014-04-25 2015-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9529250B2 (en) * 2014-10-31 2016-12-27 Taiwan Semiconductor Manufacturing Company, Ltd. EUV mask with ITO absorber to suppress out of band radiation
US10838295B2 (en) * 2017-05-04 2020-11-17 Taiwan Semiconductor Manufacturing Company, Ltd. Photomask and fabrication method therefor
EP3454120B1 (en) * 2017-09-09 2024-05-01 IMEC vzw Method for manufacturing euv reticles and reticles for euv lithography

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1379284A (zh) * 2001-03-29 2002-11-13 大日本印刷株式会社 图案形成体的制造方法以及用于其中的光掩膜
US20030147058A1 (en) * 2002-02-01 2003-08-07 Nikon Corporation Self-cleaning reflective optical elements for use in X-ray optical systems, and optical systems and microlithography systems comprising same
US20100060870A1 (en) * 2008-09-10 2010-03-11 Asml Netherlands B.V. Lithographic apparatus, method of manufacturing an article for a lithographic apparatus and device manufacturing method
TWI655458B (zh) * 2014-07-11 2019-04-01 美商應用材料股份有限公司 極紫外線覆蓋層及其之製造與微影方法
TW201743127A (zh) * 2016-06-01 2017-12-16 台灣積體電路製造股份有限公司 反射式光罩及其製造方法

Also Published As

Publication number Publication date
CN112445062B (zh) 2024-07-19
TW202111421A (zh) 2021-03-16
US11846881B2 (en) 2023-12-19
US20210072633A1 (en) 2021-03-11
US20220373876A1 (en) 2022-11-24
CN112445062A (zh) 2021-03-05
US11448956B2 (en) 2022-09-20

Similar Documents

Publication Publication Date Title
CN107452602B (zh) 高耐久性极紫外光掩模
US8679707B2 (en) Method of fabricating a lithography mask
US11086215B2 (en) Extreme ultraviolet mask with reduced mask shadowing effect and method of manufacturing the same
TWI451191B (zh) A manufacturing method of a mask blank and a mask, and a method of manufacturing the semiconductor device
US20080318139A1 (en) Mask Blank, Photomask and Method of Manufacturing a Photomask
JP7193344B2 (ja) 反射型マスクブランク、反射型マスクの製造方法、及び半導体装置の製造方法
US9733562B2 (en) Extreme ultraviolet lithography process and mask
US11249384B2 (en) Mask for EUV lithography and method of manufacturing the same
US11402745B2 (en) Mask for EUV lithography and method of manufacturing the same
KR101713382B1 (ko) 극자외선 리소그래피 공정 및 마스크
US11846881B2 (en) EUV photomask
TWI778465B (zh) 極紫外光罩與其製造方法
US9995999B2 (en) Lithography mask
KR102658585B1 (ko) Euv 포토 마스크 및 그 제조 방법
US9354507B2 (en) Extreme ultraviolet lithography process and mask
US20240053669A1 (en) Euv photo masks and manufacturing method thereof
TWI785481B (zh) 反射遮罩及其製造方法
CN117250822A (zh) Euv光掩模及其制造方法
TW202422207A (zh) 反射光罩及其製造方法
JP2021067787A (ja) 反射型フォトマスク及び反射型フォトマスクブランク