TWI778465B - 極紫外光罩與其製造方法 - Google Patents

極紫外光罩與其製造方法 Download PDF

Info

Publication number
TWI778465B
TWI778465B TW109142512A TW109142512A TWI778465B TW I778465 B TWI778465 B TW I778465B TW 109142512 A TW109142512 A TW 109142512A TW 109142512 A TW109142512 A TW 109142512A TW I778465 B TWI778465 B TW I778465B
Authority
TW
Taiwan
Prior art keywords
layer
oxide
euv
multilayer
metal
Prior art date
Application number
TW109142512A
Other languages
English (en)
Other versions
TW202129397A (zh
Inventor
許倍誠
連大成
李信昌
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202129397A publication Critical patent/TW202129397A/zh
Application granted granted Critical
Publication of TWI778465B publication Critical patent/TWI778465B/zh

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/54Absorbers, e.g. of opaque materials
    • G03F1/58Absorbers, e.g. of opaque materials having two or more different absorber layers, e.g. stacked multilayer absorbers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • G03F1/24Reflection masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/52Reflectors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Treatments For Attaching Organic Compounds To Fibrous Goods (AREA)
  • Detergent Compositions (AREA)

Abstract

本揭露提供一種極紫外(extreme ultra violet;EUV)光罩與其製造方法。例如:此極紫外光罩包含基材、形成於基材上之多層鏡面層、形成於多層鏡面層上之金屬覆蓋層、以及形成於金屬覆蓋層之上之多層吸收層。此多層吸收層包含蝕刻至多層吸收層中之特徵,以在半導體元件上定義結構。

Description

極紫外光罩與其製造方法
本揭露係關於一種應用於極紫外光(extreme ultra violet;EUV)微影製程之光罩與此光罩之製造方法。
光學微影能以多樣化且不同的方式進行。其中一種光學微影製程之實例為極紫外光微影(EUV)。極紫外光微影是基於利用具有10至15奈米波長之電磁波光譜部分的曝光。
在一例子中,極紫外光罩可用於定義基材中之多個層的結構。極紫外光罩可具有反射性。因此,藉由選擇性地移除吸收層來顯露覆蓋於基材上之一下方鏡面體的一部分,可定義出極紫外光罩上的圖案。
本揭露之一態樣係提供一種極紫外光罩。此光罩包含基材、形成於此基材上之多層鏡面層,形成於此多層鏡 面層上之金屬覆蓋層、以及多層吸收層。此多層吸收層包含形成於此多層鏡面層上之至少一個氧化物層。此多層吸收層包含蝕刻至多層吸收層中之複數個特徵,以在半導體元件上定義出複數個結構。
本揭露之另一態樣係提供一種極紫外光罩,此光罩包含基材、形成於此基材上之多層鏡面層、形成於此多層鏡面層上之金屬覆蓋層、以及形成於此多層鏡面層上之含氧化物的吸收層。此含氧化物的吸收層包含蝕刻至此多層吸收層中之複數個特徵,用以在半導體元件上定義出複數個結構。
本揭露之又一態樣係提供一種製造極紫外光光罩之方法。首先,沉積包含至少一氧化層之多層吸收層於多層鏡面層上;接著,透過塗佈於硬遮罩層上之光阻的曝光、烘烤、顯影步驟來定義複數個特徵於此多層鏡面層中;然後,蝕刻此多層吸收層來形成特徵於此多層吸收層中,以在半導體元件上定義出複數個結構。
10:光學微影系統
12:輻射源
14:照明器
16:光罩基座
20:投影光學盒
22:半導體基材
24:基材基座
100:極紫外光罩
102:基材
104:多層鏡面層
106:金屬覆蓋層
108:多層吸收層
1101-110n:特徵
112:高折射係數材料
114:低折射係數材料
116:第一金屬氧化物層
118:金屬氮化物層
119:第二金屬氧化物層
120:硬遮罩層
122:光阻
1241-124n:特徵
150:硼化鉭或氮化鉻層
300:方法
302、304、306、308、310、312、314、316、318、320:操作
40:極紫外光
根據以下詳細說明並配合附圖閱讀,使本揭露的態樣獲致較佳的理解。需注意的是,如同業界的標準作法,許多特徵並不是按照比例繪示的。事實上,為了進行清楚討論,許多特徵的尺寸可以經過任意縮放。
圖1係繪示根據本揭露之至少一實施例之極紫外(EUV)光罩的剖面示意圖。
圖2係繪示根據本揭露之至少一實施例之具有多層吸收層之極紫外光罩的剖面示意圖。
圖3係繪示根據本揭露之至少一實施例之形成極紫外光罩的方法流程圖。
圖4A至圖4J係繪示根據本揭露之至少一實施例之極紫外光罩在各種製造站點的部分剖面示意圖。
圖5是本揭露中使用極紫外光罩之極紫外光微影系統的概略示意圖。
以下揭露提供許多不同實施例或例示,以實施申請標的之不同特徵。以下敘述之成份和排列方式的特定例示是為了簡化本揭露。這些當然僅是做為例示,其目的不在構成限制。舉例而言,元件的尺寸並不限於所揭露之範圍或數值,而是可取決於製程條件及/或裝置所要的特性。再者,第一特徵形成在第二特徵之上或上方的描述包含第一特徵和第二特徵有直接接觸的實施例,也包含有其他特徵形成在第一特徵和第二特徵之間,以致第一特徵和第二特徵沒有直接接觸的實施例。除此之外,本揭露在各種例示中重覆參考數值及/或字母。此重覆的目的是為了使說明簡化且清晰,並不表示各種討論的實施例及/或配置之間有關係。
再者,空間相對性用語,例如「下方(beneath)」、「在…之下(below)」、「較低(lower)」、「在…之上 (above)」、「較高(upper)」等,是為了易於描述圖式中所繪示的元件或特徵和其他元件或特徵的關係。空間相對性用語除了圖式中所描繪的方向外,還包含裝置在使用或操作時的不同方向。裝置可以其他方式定向(旋轉90度或在其他方向),而本文所用的空間相對性描述也可以如此解讀。
本揭露內容中所描述的先進微影製程、方法、以及材料可使用於包括鰭式場效電晶體(fin-type field effect transistors;FETs)的各種不同應用中。例如:鰭片可被圖案化以在特徵之間產生相對接近之間隙,而所述揭露內容適合應用於此。此外,用於形成鰭式場效電晶體之鰭片的間隙壁(spacers),可根據上述之揭露來處理。
本揭露與多種可預防極紫外光罩碳汙染之實施例有關。碳汙染對於在極紫外光罩之吸收層及覆蓋層中形成之特徵其關鍵尺寸有負面影響。例如:有些金屬覆蓋層可能帶有許多自由基,這些自由基在曝光過程中可與極紫外光罩表面附近的碳氫化合物進行反應。曝光過程中,在極紫外光罩表面附近的碳氫化合物因曝光時之高能量而斷裂。在金屬層上增長的碳將導致晶圓的關鍵尺寸出現問題。
本揭露大致上提供在極紫外光罩中之一多層吸收層或一多層覆蓋層。極紫外光罩中至少一層包含氧化物。氧化物可扮演隔離體,能在晶圓曝印時將吸收層與碳氫化合物或是碳的反應減到最少。
圖1繪示本揭露之一實施例的極紫外光罩100。光罩100包含基材102、多層(ML)鏡面層104、金屬覆蓋層106、以及多層吸收層108。在一實施例中,基材102為沉積於層150上的低溫度膨脹材料(LTEM)。此層150為硼化鉭(TaB)層或氮化鉻(CrN)層。基材102具有低缺陷準位及平滑表面。基材102可以是玻璃、玻璃-陶瓷材料、或矽。
在一實施例中,多層鏡面層104可包含一高折射係數材料112和一低折射係數材料114所形成之交替層。在一例子中,高折射係數材料112可為鉬(Mo)以及低折射係數材料114可為矽(Si)。然而應注意的是,任何型態的材料皆可使用。在一實施例中,可於基材102之上形成40對高折射係數材料112和低折射係數材料114。然而應注意的是,多層鏡面層104中之交替層可拓展至任意對數。
高折射係數材料112以及低折射係數材料114之厚度與選定的照射光波長及照射光入射角可為一函數關係。在一實施例中,選定高折射係數材料112以及低折射係數材料114之厚度,可造成在個別介面反射的極紫外光之建設性干涉最大化,且極紫外光之總吸收最小化。
在一實施例中,高折射係數材料112以及低折射係數材料114之厚度約為四分之一照射光波長。例如:假設波長為10奈米(nm),則高折射係數材料112以及低折射係數材料114之厚度個別約為2.5奈米。在一實施例中, 高折射係數材料112以及低折射係數材料114之厚度可不相同。
在一實施例中,可在多層鏡面層104最頂層上沉積一金屬覆蓋層106。金屬覆蓋層106可防止高折射係數材料112(例如:鉬)接觸環境而氧化。在一實施例中,金屬覆蓋層106包含釕(Ru)或是二氧化釕(RuO2)。金屬覆蓋層106沉積厚度約2.5至5.5奈米。在一實施例中,金屬覆蓋層106沉積厚度約3.5奈米。
在一實施例中,多層吸收層108可包含多個層,其中包含一含氧化物的吸收層。換言之,多層吸收層108中至少有一層含有氧化物。氧化物可扮演隔離體以避免碳汙染。例如:氧化物可將多層吸收層108與碳氫化合物或碳的反應層減至最小,其中碳氫化合物與碳係於印刷多層吸收層108的期間產生。碳氫化合物會汙染覆蓋層而影響晶圓的關鍵尺寸。不同層的進一步細節繪示於圖2並於以下詳述。
在一實施例中,多層吸收層108包含特徵1101至110n(在下文中,個別稱為特徵110或整體稱為特徵110)。特徵110可被蝕刻至多層吸收層108之中。特徵110定義極紫外光可穿透至多層鏡面層104的區域,以在半導體元件上定義結構。
如以上所述,有些金屬覆蓋層帶有許多自由基,可在極紫外光曝光過程中與極紫外光罩表面附近的碳氫化合物反應。碳氫化合物可能斷裂為碳。脂肪族碳氫化合物或 芳香族碳氫化合物可直接沉積在金屬覆蓋層106上。在金屬覆蓋層106上的碳或碳氫化合物會導致特徵的關鍵尺寸(critical dimension;CD)產生問題。例如:碳或碳氫化合物的汙染導致CD偏移,包含近接趨勢或改變CD一致性。這些問題對極紫外光罩110的表現有負面影響。
本揭露提供包含氧化物之多層吸收層108,可消除碳汙染而改善特徵110中CD的一致性。多層吸收層108中的單一層或多層氧化物層可扮演隔離體,在極紫外光曝光期間不與碳或碳氫化合物反應。氧化物亦可避免碳或碳氫化合物與金屬覆蓋層106中的自由基反應。
圖2繪示關於極紫外光罩100中的多層吸收層108的更多細節。圖2繪示之極紫外光罩100包含與圖1繪示之極紫外光罩100相同的多個層,且製造方法亦與圖1繪示之極紫外光罩100相同。例如:圖2繪示之極紫外光罩100包含位在硼化鉭(TaB)層150上的基材102、多層鏡面層104、金屬覆蓋層106、以及多層吸收層108。多層鏡面層104與金屬覆蓋層106的尺寸與上述圖1中的尺寸相似。
在一實施例中,多層吸收層108可以是一基於氧化物的吸收層,此吸收層包含第一金屬氧化物層116、金屬氮化物層118、以及第二金屬氧化物層119。金屬氮化物層118介於第一金屬氧化物層116與第二金屬氧化物層119之間。在一實施例中,第一金屬氧化物層116的材料與第二金屬氧化物層119的材料可相同。在一實施例中, 第一金屬氧化物層116的材料與第二金屬氧化物層119的材料亦可相異。
在一實施例中,金屬氮化物層118的厚度比第一金屬氧化物層116與第二金屬氧化物層119厚。在一實施例中,第一金屬氧化物層116的厚度約相等於第二金屬氧化物層119的厚度。
特別的是,這些氧化物層為吸收層的一部份,並非如其他極紫外光罩及方法所述,將氧化物層做為分離的緩衝層而形成。此外,多層吸收層108包含金屬氧化物層,環繞於此多層吸收層108中的金屬氮化物,或是位於此金屬氮化物層的兩側或相對側。
在一實施例中,第一金屬氧化物層116的厚度約0至5.5奈米,取決於第一金屬氧化物層116的材料。在一實施例中,第一金屬氧化物材料包含氧化硼鉭(TaBO)。氧化硼鉭沉積厚度約0至2奈米。
在一實施例中,第一金屬氧化物層116包含五氧化二鉭(Ta2O5)。五氧化二鉭沉積厚度約1至2奈米。
在一實施例中,第一金屬氧化物層116包含二氧化釕(RuO2)。二氧化釕沉積厚度約0至5.5奈米。
在一實施例中,第一金屬氧化物層116包含氧化鈮釕(RuNbO)。氧化鈮釕可具有高於百分之二十原子百分比的鈮。氧化鈮釕沉積厚度約0至5.5奈米。
在一實施例中,第一金屬氧化物層116包含五氧化二鈮(Nb2O5)。五氧化二鈮沉積厚度約0至5.5奈米。
在一些實施例中,根據材料,金屬覆蓋層106為可選的。例如:金屬覆蓋層106包含二氧化釕、氧化鈮釕、或五氧化二鈮。
在一實例中,金屬氮化物層118包含氮化硼鉭(TaBN)。氮化硼鉭沉積厚度介於60至75奈米。在一實例中,氮化硼鉭沉積厚度介於66至67奈米。
在一實施例中,當第一金屬氧化物層116包含氧化硼鉭時,此氧化硼鉭厚度約1至2奈米,且此厚度容許少量氧化硼鉭在蝕刻多層吸收層108的期間殘留在金屬覆蓋層106上。例如:在蝕刻多層吸收層108之後,厚度少於1奈米的氧化硼鉭殘留在金屬覆蓋層106上。氧化硼鉭殘留厚度可藉由減少金屬氮化物層118過蝕刻之時間約30%。
在一實施例中,第二金屬氧化物層119包含氧化硼鉭。氧化硼鉭沉積厚度約1至5奈米。在一實施例中,氧化硼鉭沉積厚度約2奈米。
在一實施例中,將特徵110蝕刻至多層吸收層108的金屬氮化物層118中係藉由光學微影製程,其中光學微影製程後續有主蝕刻與過蝕刻製程。例如:在多層吸收層108上沉積一層硬遮罩,並且旋塗光阻至此硬遮罩上。使用電子束寫入器或雷射光束寫入器定義特徵110。未被圖案化光罩覆蓋的部分光阻可藉由輻射光源或紫外光源曝光與顯影。圖案被轉移至硬遮罩中,且特徵110被蝕刻至多層吸收層108中。移除硬遮罩、殘存光阻、以及圖案化 光罩。圖4A至圖4J繪示以上製程的進一步細節。在一實施例中,主蝕刻與過蝕刻製程可藉由在各種不同氣體環境中的離子蝕刻或乾式蝕刻來進行。
在一實施例中,主蝕刻製程係藉由時間約30至50秒(s)的離子蝕刻來進行,其使用約100至500瓦(W)的源功率、約5至30W的偏壓功率、以及約1至3微托(mTorr)的壓力。此離子蝕刻係在50至150立方公分每秒(sccm)的氯氣與10至100sccm的氦氣的環境下進行。在一實施例中,主蝕刻製程係藉由約35s的離子蝕刻來進行,其使用約300W的源功率、約15W的偏壓功率、以及約2mTorr的壓力。此離子蝕刻係在100sccm的氯氣與60sccm的氦氣的環境下進行。應注意的是,以上為可應用以蝕刻多層吸收層108的數值或範圍之一例子。
在一實施例中,過蝕刻係藉由約30%之主蝕刻製程時間的離子蝕刻來進行。主蝕刻製程時間的源功率、偏壓功率、壓力、氯氣、以及氦氣流量的類似範圍可應用於過蝕刻。
在一實施例中,特徵110係藉由脈衝化的源功率被蝕刻至多層吸收層108的金屬氮化物層118中。換言之,此源功率以脈衝形式被開啟與關閉,將特徵110蝕刻至多層吸收層108中。
圖3係根據本揭露至少一實施例所繪示之形成極紫外光罩的方法300流程圖。在管制者或製造者的控制下, 方法300可在製造工廠中使用一台或一台以上的不同機台來進行。
當方法300在以下被描述和繪示為一一系列動作或事件時,應理解到這些動作或事件所示的次序不可被以限制性的觀點來解釋。例如:除了在此所繪示和/或所敘述的以外,部分動作可以不同次序和/或同時與其他操作或事件發生。此外,並非全部所繪示的動作均需要被用來實施在此所述之一或多個實施例或態樣。進一步來說,在此所述之一或多個操作可在一或多個分開的動作且/或階段中完成。
方法300起始於方塊302。在方塊304中,方法300在多層鏡面層上沉積多層吸收層。多層吸收層包含用來避免碳汙染的氧化物或至少一層氧化物層,碳汙染對形成於多層吸收層中之特徵的關鍵尺寸有負面影響。多層吸收層包含第一金屬氧化物層、金屬氮化物層、以及第二金屬氧化物層。
在方塊306中,方法300在多層吸收層上沉積硬遮罩層。硬遮罩層可做為後續移除光阻層時的蝕刻終點。硬遮罩層可以是金屬氮氧化合物。在一實施例中,硬遮罩層為氮氧化鉻(CrON)、氮化鉻(CrN)、氮氧化碳鉻(CrOCN)、以及類似化合物。硬遮罩層沉積厚度約3至10奈米。
在方塊308中,方法300在硬遮罩層上沉積光阻。此光阻被旋轉塗佈至硬遮罩層上。此光阻為輻射敏感層並 且塗佈至硬遮罩層上。光阻沉積厚度約100至1000奈米。
方法300進行曝光、曝光後烘烤、以及光阻顯影的步驟。例如:使用電子束寫入器或雷射光束寫入器在光阻上定義圖案。然後,可藉由曝光後烘烤與顯影的步驟後處理此光阻。在方塊310中,方法300進行沉積一多層吸收層於多層鏡面層上。
在光阻顯影後,即可測量光阻中特徵的關鍵尺寸。在測量後,使用方法300中下述方塊來轉移光阻中的特徵至多層吸收層中。
在方塊312中,方法300進行硬遮罩蝕刻。例如:顯影至光阻中的特徵可定義硬遮罩層之將被蝕刻的部分。接著,蝕刻硬遮罩層之被選擇的部分。在一實施例中,乾式蝕刻、濕式蝕刻、或結合兩者的方式皆可用於進行蝕刻步驟。
在方塊314中,方法300移除光阻,接著,測量蝕刻至部分多層吸收層中之特徵的關鍵尺寸。在一實施例中,任何形式的測量方式或度量儀器皆可用於測量關鍵尺寸。例如:可使用關鍵尺寸掃描式電子顯微鏡(CD-SEM)。
在方塊316中,方法300完成多層吸收層的蝕刻步驟。多層吸收層的蝕刻步驟係藉由離子蝕刻或乾式蝕刻來進行。離子蝕刻的製程參數範例已提供如上。在一實施例中,離子蝕刻可藉由開啟與關閉之脈衝化源功率來進 行。
在方塊318中,方法300剝除硬遮罩層,此硬遮罩層可從多層吸收層中被選擇性蝕刻移除。例如:藉由濕式蝕刻或乾式蝕刻製程移除硬遮罩層。移除硬遮罩層後的殘存結構,即為本揭露中具有多層吸收層的極紫外光罩。如以上所述,包含氧化物的多層吸收層可避免碳汙染。在方塊320中,方法300結束。
圖4A至圖4J係根據本揭露至少一實施例所繪示的多張部分剖面圖,繪示在各種製造站點形成極紫外光罩的方法。參考圖4A,首先提供一基材102。基材102為低溫度膨脹材料(LTEM)。低溫度膨脹材料的範例包含玻璃、玻璃陶瓷材料、或是矽。基材102可沉積在層150上。此層150為硼化鉭層或氮化鉻層。
在圖4B中,在基材102上沉積或形成多層鏡面層104。在一實施例中,藉由離子束沉積(ion beam deposition;IBD)或直流磁控濺鍍(direct current(DC)magnetron sputtering),在基材102上形成多層鏡面層104。
多層鏡面層104包含高折射係數材料112及低折射係數材料114所構成之交替層。在一實施例中,高折射係數材料112可為鉬且低折射係數材料114可為矽。
多層鏡面層104具有20至60對之間的高折射係數材料112和低折射係數材料114。在一實施例中,多層鏡面層104包含40對高折射係數材料112和低折射係數 材料114。
在圖4C中,在多層鏡面層104上沉積金屬覆蓋層106。在一實施例中,可藉由離子束濺鍍製程沉積金屬覆蓋層106。在一實施例中,金屬覆蓋層106為可選的,其取決於多層吸收層108所使用的材料,如圖4D所繪並於以下討論。
在一實施例中,金屬覆蓋層106可避免高折射係數材料112(例如:鉬)接觸環境而氧化。如圖4D所繪示及以下討論,金屬覆蓋層106包含相對於多層吸收層108具有較低蝕刻速率的材料。因此,金屬覆蓋層106可在多層吸收層108進行圖案化蝕刻時保護多層鏡面層104。
在一實施例中,金屬覆蓋層106包含釕、二氧化釕(RuO2)、氧化鈮釕(RuNbO)、五氧化二鈮(Nb2O5)、以及類似化合物。金屬覆蓋層106沉積厚度約2.5至5.5奈米。在一實施例中,金屬覆蓋層106沉積厚度約3.5奈米。
在圖4D中,在多層鏡面層104上形成多層吸收層108。在沉積有金屬覆蓋層106的一些實施例中,多層吸收層108形成於金屬覆蓋層106上。
在一實施例中,多層吸收層108包含第一金屬氧化物層116、金屬氮化物層118、以及第二金屬氧化物層109。多層吸收層108中的各層可藉由化學氣象沉積(chemical vapor deposition;CVD)或離子束濺鍍沉積,一次沉積一層。
在一實施例中,第一金屬氧化物層116包含氧化硼鉭(TaBO)、五氧化二鉭(Ta2O5)、二氧化釕(RuO2)、氧化鈮釕(RuNbO)、或五氧化二鈮(Nb2O5)。當第一金屬氧化物層116包含氧化硼鉭或五氧化二鉭時,則可沉積金屬覆蓋層106。當第一金屬氧化物層116包含二氧化釕、氧化鈮釕、五氧化二鈮時,則可移除金屬覆蓋層106,或跳過與圖4C相關的製程步驟。
在一實施例中,金屬氮化物層118包含硼氮化鉭(TaBN)。在一實施例中,第二金屬氧化物層119包含氧化硼鉭(TaBO)。
如以上所述,第一金屬氧化物層116與第二金屬氧化物層119中的氧化物可扮演隔離體,避免多層吸收層108之特徵的邊緣與中央碳汙染。碳汙染對形成於多層吸收層108中之特徵的關鍵尺寸有負面影響。
此外,當第一金屬氧化物層116為氧化硼鉭時,則可沉積氧化硼鉭而具有一期望厚度,以減少過蝕刻時間。例如:此期望厚度容許少量氧化硼鉭殘留在金屬覆蓋層106上。例如:氧化硼鉭沉積厚度約1至2奈米,使得在蝕刻多層吸收層108後少於約1奈米的氧化硼鉭殘留在金屬覆蓋層106上。
在圖4E中,在多層吸收層108上沉積硬遮罩層120。在一實施例中,藉由化學氣象沉積製程沉積硬遮罩層120。硬遮罩層120可做為後續移除光阻層時的蝕刻終點。硬遮罩層120可以是金屬氮氧化合物。在一實施例中, 硬遮罩層120為氮氧化鉻(CrON)且沉積厚度約6奈米。
在圖4F中,在硬遮罩層120上沉積光阻122。可塗佈光阻122至硬遮罩層120上,接著對特徵進行曝光、烘烤、以及顯影,因而將圖案轉移至多層吸收層108中。
在圖4G中,可蝕刻光阻122來產生特徵1241-124n(在下文中,個別稱為特徵124或整體稱為特徵124),在圖4F中,特徵124已被圖案化至光阻122中。特徵124對應到圖4I所繪示之蝕刻至多層吸收層108中的特徵110,進一步的細節將於以下討論。
在圖4H中,此蝕刻步驟可接續地蝕刻特徵124而穿透硬遮罩層120至多層吸收層108中。在一例子中,可使用反應式離子蝕刻或乾式蝕刻進行圖4H的蝕刻製程。在一實例中,使用反應式離子蝕刻且其製程參數如前所述。
在一實施例中,當特徵124被部分蝕刻至多層吸收層108中,即可測量特徵124的關鍵尺寸。若特徵124的關鍵尺寸的測量值符合期望的關鍵尺寸,則繼續蝕刻特徵124而穿透多層吸收層108。
在圖4I中,蝕刻穿透多層吸收層108以形成特徵1101-110n,且多層吸收層108殘留部分的第一金屬氧化物116在金屬覆蓋層106上。圖4I繪示移除光阻122後之不具有光阻122的硬遮罩層120。當特徵110被蝕刻至多層吸收層108中,光阻122亦被蝕刻移除。在一實施例中,使用脈衝化源功率的反應式離子蝕刻製程將特徵 110蝕刻至多層吸收層108中。
在一實施例中,特徵110係用來定義半導體元件中的結構。例如:極紫外光罩100可用來根據蝕刻至多層吸收層108中的特徵110建立結構。一套使用極紫外光罩100及建立半導體元件結構之製程的例示性光學微影系統繪示於圖5,進一步細節將於以下討論。
在圖4J中,根據本揭露至少一實施例,剝除硬遮罩層120而導致最終的極紫外光罩100形成。可選擇性地從多層吸收層108上蝕刻移除硬遮罩層120。例如:使用濕式蝕刻或乾式蝕刻製程移除硬遮罩層120。
圖5為根據本揭露一些實施例所建構之光學微影系統10的示意圖,描述如何在光學微影系統10上應用此極紫外光罩100。光學微影系統10通常亦稱為可操來進行微影曝光製程的掃描器。在本實施例中,此光學微影系統10係設計為以極紫外光(或極紫外輻射)曝光一光阻層的極紫外光(EUV)光學微影系統。光學微影系統10採用輻射源12以產生極紫外光40,例如:具有約1奈米至約100奈米間之波長範圍的極紫外光。在一個特定之例子中,極紫外光40具有中心在約13.5奈米的波長。據此,輻射源12亦稱為極紫外輻射源12。極紫外輻射源12係利用雷射激發電漿(LPP)機構來產生極紫外輻射,其將於後進一步敘述。
光學微影系統10亦採用照明器14。在一些實施例中,照明器14包含各種不同光學反射器,例如:單鏡面 或包含多重鏡面之鏡面系統,以將光線40自輻射源12導至光罩基座16上,特別是固定在光罩基座16上之極紫外光罩100。
光學微影系統10亦包含配置以固定極紫外光罩100的光罩基座16。在一些實施例中,光罩基座16包含靜電吸盤(e-chuck),以固定極紫外光罩100。在本揭露中,罩幕(Mask)、光罩(Photomask)以及倍縮光照(Reticle)之詞可交互使用。在本實施例中,光學微影系統10為極紫外光微影系統。極紫外光罩100可藉由上文所述之方法製造。
光學微影系統10亦包含投影光學模組(或投影光學盒POB)20,可將極紫外光罩100的圖案成像至半導體基材22上,此半導體基材22被固定在光學微影系統10的基材基座(或晶圓基座)24上。本揭露中的投影光學盒20包含光學反射器。極紫外光40攜帶著定義於極紫外光罩100上之圖案的影像從極紫外光罩100射出,被投影光學盒20收集。照明器14以及投影光學盒20可被統稱做微影系統10之光學模組。
在本實施例中,半導體基材22為待圖案化之一半導體晶圓,例如:矽晶圓或其他類型晶圓。在本實施例中,對極紫外光40敏感的光阻層被塗佈在半導體基材22上。包含以上所述的各種組件整合在一起,即可操作來進行微影曝光製程。
上述摘要許多實施例的特徵,因此本領域具有通常 知識者可更了解本揭露的態樣。本領域具有通常知識者應理解利用本揭露為基礎可以設計或修飾其他製程和結構以實現和所述實施例相同的目的及/或達成相同優勢。本領域具有通常知識者也應了解與此同等的架構並沒有偏離本揭露的精神和範圍,且可以在不偏離本揭露的精神和範圍下做出各種變化、交換和取代。
因此,本揭露係關於極紫外光罩及其形成之方法。在一實施例中,本揭露係關於可預防碳汙染的極紫外光罩。此光罩包含基材、形成於此基材上之多層鏡面層,形成於此多層鏡面層上之金屬覆蓋層、多層吸收層。此多層吸收層包含形成於此多層吸收層上之至少一個氧化物層,此多層吸收層包含蝕刻至多層吸收層中之複數個特徵,以在半導體元件上定義出複數個結構。在一些實施例中,基材至少包含低溫度膨脹材料。在一些實施例中,多層吸收層至少包含第一金屬氧化物層、金屬氮化物層、以及第二金屬氧化物層。在一些實施例中,第一金屬氧化物層包含氧化硼鉭(TaBO)、五氧化二鉭(Ta2O5)、二氧化釕(RuO2)、氧化鈮釕(RuNbO)、或五氧化二鈮(Nb2O5)其中至少一者。在一些實施例中,第一金屬氧化物層包含厚度約1至2奈米的氧化硼鉭(TaBO)。在一些實施例中,金屬覆蓋層包含氧化硼鉭(TaBO)或五氧化二鉭(Ta2O5)。在一些實施例中,金屬覆蓋層包含釕或二氧化釕(RuO2)。在一些實施例中,金屬覆蓋層的厚度約0至4奈米。在一些實施例中,金屬氮化物層包含硼氮化鉭(TaBN)。在一些實施例 中,第二金屬氧化物層包含氧化硼鉭(TaBO)。
在另一實施例中,本揭露係關於可預防碳汙染的極紫外光罩。此光罩包含基材、形成於此基材上之多層鏡面層、形成於此多層鏡面層上之金屬覆蓋層、以及形成於此多層鏡面層上之含氧化物的吸收層。此含氧化物的吸收層包含蝕刻至此多層吸收層中之複數個特徵,以在半導體元件上定義出複數個結構。在一些實施例中,金屬覆蓋層包含厚度約0至4奈米之釕或二氧化釕(RuO2)。在一些實施例中,含氧化物的吸收層形成於金屬覆蓋層上包含第一氧化硼鉭(TaBO)層、硼氮化鉭(TaBN)層、以及第二氧化硼鉭(TaBO)層。在一些實施例中,含氧化物的吸收層形成於金屬覆蓋層上包含氧化硼鉭(TaBO)層、硼氮化鉭(TaBN)層、以及五氧化二鉭(Ta2O5)層。在一些實施例中,含氧化物的吸收層包含氧化硼鉭(TaBO)層、硼氮化鉭(TaBN)層、以及二氧化釕(RuO2)層。在一些實施例中,含氧化物的吸收層包含氧化硼鉭(TaBO)層、氮化硼鉭(TaBN)層、以及氧化鈮釕(RuNbO)層。在一些實施例中,含氧化物的吸收層包含氧化硼鉭(TaBO)層、硼氮化鉭(TaBN)層、以及五氧化二鈮(Nb2O5)層。
在又一實施例中,本揭露係關於製造可預防碳汙染的極紫外光罩之方法。首先,沉積包含至少一氧化層之多層吸收層於此多層鏡面層上;接著,透過塗佈於此硬遮罩層上之光阻的曝光、烘烤、顯影步驟來定義複數個特徵於多層鏡面層中;然後,蝕刻多層吸收層來形成特徵於多層 吸收層中,以在半導體元件上定義出複數個結構。在一些實施例中,多層吸收層包含第一金屬氧化物層、硼氮化鉭(TaBN)層、以及氧化硼鉭(TaBO)層。在一些實施例中,第一金屬氧化物層包含一種材料:氧化硼鉭(TaBO)、五氧化二鉭(Ta2O5)、二氧化釕(RuO2)、氧化鈮釕(RuNbO)、或五氧化二鈮(Nb2O5)其中至少一者。
100:極紫外光罩
102:基材
104:多層鏡面層
106:金屬覆蓋層
108:多層吸收層
1101-110n:特徵
112:高折射係數材料
114:低折射係數材料
116:第一金屬氧化物層
118:金屬氮化物層
119:第二金屬氧化物層
150:硼化鉭或氮化鉻層

Claims (10)

  1. 一種極紫外(EUV)光罩,包含:一基材;一多層鏡面層,形成於該基材上;一覆蓋層,形成於該多層鏡面層上,其中該覆蓋層包含二氧化釕(RuO2)、氧化鈮釕(RuNbO)或五氧化二鈮(Nb2O5);以及一多層吸收層,包含形成於該覆蓋層上之至少一氧化物層,其中該多層吸收層包含蝕刻至該多層吸收層中之複數個特徵,以在一半導體元件上定義出複數個結構。
  2. 如請求項1所述之極紫外光罩,其中該多層吸收層包含一第一金屬氧化物層,一金屬氮化物層,及一第二金屬氧化物層。
  3. 如請求項2所述之極紫外光罩,其中該第一金屬氧化物層包含氧化硼鉭(TaBO)、五氧化二鉭(Ta2O5)、二氧化釕(RuO2)、氧化鈮釕(RuNbO)、或五氧化二鈮(Nb2O5)其中至少一者。
  4. 如請求項2所述之極紫外光罩,其中該金屬氮化物層包含硼氮化鉭(TaBN)。
  5. 如請求項2所述之極紫外光罩,其中該第二 金屬氧化物層包含氧化硼鉭(TaBO)。
  6. 一種極紫外(EUV)光罩,包含:一基材;一多層鏡面層,形成於該基材上;一覆蓋層,形成於該多層鏡面層上,其中該覆蓋層包含二氧化釕(RuO2)、氧化鈮釕(RuNbO)或五氧化二鈮(Nb2O5);以及一含氧化物的吸收層,形成於該覆蓋層上,其中該含氧化物的吸收層包含:蝕刻至該含氧化物的吸收層中之複數個特徵,用以在一半導體元件上定義出複數個結構。
  7. 如請求項6所述之極紫外光罩,其中該覆蓋層的厚度實質為0至4奈米。
  8. 如請求項6所述之極紫外光罩,其中該含氧化物的吸收層包含形成於該覆蓋層上之一第一氧化硼鉭(TaBO)層、一硼氮化鉭(TaBN)層、以及一第二氧化硼鉭(TaBO)層。
  9. 一種製造極紫外光罩之方法,包含:沉積包含至少一氧化層之一多層吸收層及一覆蓋層於一多層鏡面層上,其中該覆蓋層包含二氧化釕(RuO2)、氧化鈮釕(RuNbO)或五氧化二鈮(Nb2O5); 透過塗佈於一硬遮罩層上之一光阻的曝光、烘烤、顯影步驟來定義複數個特徵於該多層鏡面層中;以及蝕刻該多層吸收層來形成該些特徵於該多層吸收層中,以在一半導體元件上定義出複數個結構。
  10. 如請求項9所述之製造極紫外光罩之方法,其中該多層吸收層包含一第一金屬氧化物層、一硼氮化鉭(TaBN)層、以及一氧化硼鉭(TaBO)層。
TW109142512A 2020-01-17 2020-12-02 極紫外光罩與其製造方法 TWI778465B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/746,640 2020-01-17
US16/746,640 US11221554B2 (en) 2020-01-17 2020-01-17 EUV masks to prevent carbon contamination

Publications (2)

Publication Number Publication Date
TW202129397A TW202129397A (zh) 2021-08-01
TWI778465B true TWI778465B (zh) 2022-09-21

Family

ID=76650464

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109142512A TWI778465B (zh) 2020-01-17 2020-12-02 極紫外光罩與其製造方法

Country Status (5)

Country Link
US (3) US11221554B2 (zh)
KR (1) KR102363560B1 (zh)
CN (1) CN113138528A (zh)
DE (1) DE102020103552A1 (zh)
TW (1) TWI778465B (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11604406B2 (en) * 2019-07-24 2023-03-14 Intel Corporation Method and apparatus for fabrication of very large scale integration pattern features via electroless deposition on extreme ultraviolet lithography photomasks
US11221554B2 (en) * 2020-01-17 2022-01-11 Taiwan Semiconductor Manufacturing Co., Ltd. EUV masks to prevent carbon contamination

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8841047B2 (en) * 2012-04-02 2014-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography process and mask
US20140363633A1 (en) * 2013-06-11 2014-12-11 Sang-Hyun Kim Methods of reducing a registration error of a photomask, and related photomasks and methods of manufacturing an integrated circuit
US8927179B2 (en) * 2009-12-04 2015-01-06 Asahi Glass Company, Limited Optical member for EUV lithography, and process for production of reflective layer-equipped substrate
TW201730663A (zh) * 2015-11-27 2017-09-01 Hoya Corp 遮罩基底用基板、具多層反射膜之基板、反射型遮罩基底及反射型遮罩以及半導體裝置之製造方法
TW201830122A (zh) * 2016-07-27 2018-08-16 應用材料股份有限公司 具有合金吸收劑的極紫外線遮罩坯料及製造方法

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003133205A (ja) 2001-10-24 2003-05-09 Oki Electric Ind Co Ltd 反射型マスク、反射型マスク製造方法及び反射型マスク洗浄方法
JP5507876B2 (ja) 2009-04-15 2014-05-28 Hoya株式会社 反射型マスクブランク及び反射型マスクの製造方法
US8764995B2 (en) 2010-08-17 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet light (EUV) photomasks, and fabrication methods thereof
JP2012212787A (ja) 2011-03-31 2012-11-01 Dainippon Printing Co Ltd 反射型マスクの製造方法、反射型マスク用イオンビーム装置、および反射型マスク
US8877409B2 (en) 2012-04-20 2014-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Reflective mask and method of making same
US8828625B2 (en) 2012-08-06 2014-09-09 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography mask and multilayer deposition method for fabricating same
US8778574B2 (en) 2012-11-30 2014-07-15 Applied Materials, Inc. Method for etching EUV material layers utilized to form a photomask
US9093530B2 (en) 2012-12-28 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of FinFET
US9442387B2 (en) 2013-02-01 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography process
US8796666B1 (en) 2013-04-26 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with strain buffer layer and methods of forming the same
KR102109129B1 (ko) 2013-07-02 2020-05-08 삼성전자주식회사 반사형 포토마스크 블랭크 및 반사형 포토마스크
US9182659B2 (en) 2013-09-06 2015-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography process and mask
KR101713382B1 (ko) * 2013-11-22 2017-03-07 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 극자외선 리소그래피 공정 및 마스크
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
US9529268B2 (en) 2014-04-03 2016-12-27 Taiwan Semiconductor Manufacturing Company, Ltd. Systems and methods for improving pattern transfer
US9256123B2 (en) 2014-04-23 2016-02-09 Taiwan Semiconductor Manufacturing Co., Ltd. Method of making an extreme ultraviolet pellicle
US9184054B1 (en) 2014-04-25 2015-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US10274847B2 (en) * 2017-09-19 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Humidity control in EUV lithography
US11086215B2 (en) 2017-11-15 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet mask with reduced mask shadowing effect and method of manufacturing the same
CN110658676B (zh) * 2018-06-29 2022-10-25 台湾积体电路制造股份有限公司 极紫外光微影光罩及其制造方法
DE102019124781B4 (de) 2018-09-28 2024-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Verfahren zum herstellen und behandeln einer fotomaske
US11215918B2 (en) * 2019-07-30 2022-01-04 Taiwan Semiconductor Manufacturing Co., Ltd. Method of critical dimension control by oxygen and nitrogen plasma treatment in EUV mask
US11221554B2 (en) * 2020-01-17 2022-01-11 Taiwan Semiconductor Manufacturing Co., Ltd. EUV masks to prevent carbon contamination

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8927179B2 (en) * 2009-12-04 2015-01-06 Asahi Glass Company, Limited Optical member for EUV lithography, and process for production of reflective layer-equipped substrate
US8841047B2 (en) * 2012-04-02 2014-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography process and mask
US20140363633A1 (en) * 2013-06-11 2014-12-11 Sang-Hyun Kim Methods of reducing a registration error of a photomask, and related photomasks and methods of manufacturing an integrated circuit
TW201730663A (zh) * 2015-11-27 2017-09-01 Hoya Corp 遮罩基底用基板、具多層反射膜之基板、反射型遮罩基底及反射型遮罩以及半導體裝置之製造方法
TW201830122A (zh) * 2016-07-27 2018-08-16 應用材料股份有限公司 具有合金吸收劑的極紫外線遮罩坯料及製造方法

Also Published As

Publication number Publication date
CN113138528A (zh) 2021-07-20
KR20210093714A (ko) 2021-07-28
DE102020103552A1 (de) 2021-07-22
KR102363560B1 (ko) 2022-02-16
US11221554B2 (en) 2022-01-11
US11561464B2 (en) 2023-01-24
TW202129397A (zh) 2021-08-01
US11988953B2 (en) 2024-05-21
US20220082928A1 (en) 2022-03-17
US20210223678A1 (en) 2021-07-22
US20230152681A1 (en) 2023-05-18

Similar Documents

Publication Publication Date Title
US6583068B2 (en) Enhanced inspection of extreme ultraviolet mask
US8679707B2 (en) Method of fabricating a lithography mask
US6653053B2 (en) Method of forming a pattern on a semiconductor wafer using an attenuated phase shifting reflective mask
US11988953B2 (en) EUV masks to prevent carbon contamination
TW201944167A (zh) 半導體裝置的製造方法
JP2002261005A (ja) 極紫外線マスクの処理方法
US7074527B2 (en) Method for fabricating a mask using a hardmask and method for making a semiconductor device using the same
US8795931B2 (en) Reflection-type photomasks and methods of fabricating the same
US20220121103A1 (en) Method of Critical Dimension Control by Oxygen and Nitrogen Plasma Treatment in EUV Mask
JP4478568B2 (ja) 改良されたレチクルの製造のためにアモルファスカーボン層を使用する方法
TWI742950B (zh) 反射遮罩及其製造方法
US9995999B2 (en) Lithography mask
US11846881B2 (en) EUV photomask
US8916482B2 (en) Method of making a lithography mask
TWI768650B (zh) 反射遮罩及其製造方法
TW202230017A (zh) 極紫外光遮罩與其形成方法
US20240053669A1 (en) Euv photo masks and manufacturing method thereof
TW202422207A (zh) 反射光罩及其製造方法
TW202201110A (zh) 極紫外光微影相移光罩
TW202136923A (zh) 極端紫外線曝光裝置中之標線片
CN110874008A (zh) 掩模及其制造方法及图案化膜层的方法

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent