TWI794752B - 積體電路及其形成方法 - Google Patents

積體電路及其形成方法 Download PDF

Info

Publication number
TWI794752B
TWI794752B TW110106810A TW110106810A TWI794752B TW I794752 B TWI794752 B TW I794752B TW 110106810 A TW110106810 A TW 110106810A TW 110106810 A TW110106810 A TW 110106810A TW I794752 B TWI794752 B TW I794752B
Authority
TW
Taiwan
Prior art keywords
layer
metal
layout
transistor
backside
Prior art date
Application number
TW110106810A
Other languages
English (en)
Other versions
TW202213161A (zh
Inventor
彭士瑋
吳國暉
曾健庭
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202213161A publication Critical patent/TW202213161A/zh
Application granted granted Critical
Publication of TWI794752B publication Critical patent/TWI794752B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/392Floor-planning or layout, e.g. partitioning or placement
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/394Routing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823871Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5283Cross-sectional geometry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5286Arrangements of power or ground buses
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/118Masterslice integrated circuits
    • H01L27/11803Masterslice integrated circuits using field effect technology
    • H01L27/11807CMOS gate arrays
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/18Manufacturability analysis or optimisation for manufacturability
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/118Masterslice integrated circuits
    • H01L27/11803Masterslice integrated circuits using field effect technology
    • H01L27/11807CMOS gate arrays
    • H01L2027/11868Macro-architecture
    • H01L2027/11874Layout specification, i.e. inner core region
    • H01L2027/11881Power supply lines
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Evolutionary Computation (AREA)
  • Computer Networks & Wireless Communication (AREA)
  • Architecture (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

本文所揭示的實施例係關於一種包括金屬軌的積體電路。在一個態樣中,積體電路包括具有第一金屬軌的第一層及具有第二金屬軌的第二層,其中第二層沿著第一方向在第一層之上。在一個態樣中,積體電路包括具有電晶體的主動區域的第三層,其中第三層沿著第一方向在第二層之上。在一個態樣中,積體電路包括具有第三金屬軌的第四層,其中第四層沿著第一方向在第三層之上。在一個態樣中,積體電路包括具有第四金屬軌的第五層,其中第五層沿著第一方向在第四層之上。此外,一種形成積體電路的方法亦在此揭露。

Description

積體電路及其形成方法
本揭示內容是關於一種積體電路及其形成方法。
使積體電路(integrated circuit;IC)小型化的最新趨勢已導致在更高速度下消耗更少功率卻提供更多功能性的更小裝置。小型化過程亦導致更嚴格的設計與製造規格以及可靠性挑戰。各種電子設計自動化(electronic design automation;EDA)工具產生、最佳化並驗證積體電路的標準單元佈局圖案,同時確保標準單元佈局設計與製造規格得以滿足。
本揭示內容包含一種積體電路。積體電路包括第一至第五層。第一層包括一第一金屬軌。第二層包括一第二金屬軌,第二層沿著一第一方向在第一層之上。第三層包括一電晶體的一主動區域,第三層沿著第一方向在第二層之上。第四層包括一第三金屬軌,第四層沿著第一方向在第三層之上。第五層包括一第四金屬軌,第五層沿著第一方向在第四層之上。
本揭示內容包含一種形成積體電路的方法,方法包括:形成包括一第一金屬軌的一第一層;形成包括一第二金屬軌的一第二層,第二層沿著一第一方向在第一層之上;形成包括一電晶體的一主動區域的一第三層,第三層沿著第一方向在第二層之上;形成包括一第三金屬軌的一第四層,第四層沿著第一方向在第三層之上;形成包括一第四金屬軌的一第五層,第五層沿著第一方向在第四層之上。
本揭示內容包含一種積體電路。積體電路包括第一至第四層。第一層包括一電晶體的一主動區域。第二層包括直接耦接到電晶體的主動區域的一第一側的一第一金屬軌,第二層沿著一第一方向在第一層之上。第三層包括藉由一第一通路接點耦接到電晶體的主動區域的一第二側的一第二金屬軌,第二側背對第一側,第一層沿著第一方向在第三層之上。第四層包括藉由一第二通路接點耦接到第二金屬軌的一第三金屬軌,第三層沿著第一方向在第四層之上。
100A~100C:頂視平面圖
110A、110B:佈局圖案
120A~120C:佈局圖案
130A、130B:佈局圖案
140A~140D:佈局圖案
150A、150B:佈局圖案
160A~160D:佈局圖案
165A~165C:佈局圖案
170A~170E:佈局圖案
175:佈局圖案
180:佈局圖案
200A、200B:剖視圖
210A、210B:背側電源軌
220A~220C:閘極區域
240A、240B、240C:背側金屬軌
250A、250C:通路接點
260A、260B、260C:通路接點
262A、262B、262C:源極/汲極區域
265A、265B、265C:MD區域
270:前側金屬軌
275:通路接點
300A、300B:頂視平面圖
320:佈局圖案
330:佈局圖案
340:佈局圖案
350:佈局圖案
365:佈局圖案
370:佈局圖案
400A、400B:頂視平面圖
500A、500B:頂視平面圖
600A、600B:頂視平面圖
620A~620F:佈局圖案
630:佈局圖案
640A~640D:佈局圖案
650A~650C:佈局圖案
665A~665E:佈局圖案
670A~670C:佈局圖案
680A、680B:剖視圖
682A~682C:前側金屬軌
684A、684B、684C、684D:MD區域
686A~686D:源極/汲極區域
688A、688B、688C、688D:通路接點
690A~690D:背側金屬軌
695A:通路接點
698A、698B:背側電源軌
700:頂視平面圖
710A~710E:佈局圖案
800:多工器電路
820A~820D:佈局圖案
830A、830B:佈局圖案
840A、840B:交叉耦合連接
900A、900B、900C:頂視平面圖/佈局設計
920A~920D:佈局圖案
925A~925D:佈局圖案
940A、940B:佈局圖案
970A~970E:佈局圖案
980A、980B:佈局圖案
1000A:頂視平面圖
1070A~1070D:佈局圖案
1000B、1000C:頂視平面圖
1100A:頂視平面圖
1170A~1170C:佈局圖案
1100B、1100C:頂視平面圖
1200A、1200B:頂視平面圖
1220A、1220B:佈局圖案
1230A~1230D:佈局圖案
1240A~1240D:佈局圖案
1270A~1270D:佈局圖案
1280:佈局圖案
1300:電路
1305:頂視平面圖
1310A、1310B:佈局圖案
1320A~1320E:佈局圖案
1330A~1330F:佈局圖案
1350:區域互連
1352:區域互連
1354:區域互連
1356:區域互連
1360A、1360B:頂視平面圖
1362A~1362C:佈局圖案
1364A~1364E:佈局圖案
1366A~1366D:佈局圖案
1368A、1368B:佈局圖案
1369A、1369B、1369C、1369D:佈局圖案
1370A、1370B:頂視平面圖
1376A~1376D:佈局圖案
1378A~1378C:佈局圖案
1380A、1380B、1380C:頂視平面圖
1388A~1388C:佈局圖案
1389A、1389B:佈局圖案
1395A、1395B:佈局圖案
1398A~1398D:佈局圖案
1400:電路
1410A:第一部分
1410B:第二部分
1450:頂視平面圖
1500:方法
1510:操作
1520:操作
1522:操作
1524:操作
1526:操作
1528:操作
1530:操作
1600:系統
1608:匯流排
1610:輸入/輸出(I/O)介面
1602:處理器
1612:網路介面
1614:網路
1604:儲存媒體
1606:電腦程式碼
1616:佈局設計
1618:使用者介面
1620:製造單元
1622:製造工具
1700:系統
1720:設計室
1722:IC設計佈局
1730:遮罩室
1732:資料準備
1734:遮罩製造
1740:IC晶圓廠
1742:晶圓
1760:IC裝置
當結合附圖閱讀時,可根據以下詳細描述最佳理解本揭示案的諸態樣。應當注意,根據業界中的標準實踐,各種特徵沒有按比例繪製。事實上,出於論述清楚的目的,可任意地增大或縮小各種特徵的尺寸。
第1A圖至第1C圖係根據一個實施例的包括背側電源軌與背側金屬軌的電路的佈局設計的頂視平面圖。
第2A圖係根據一個實施例的根據第1A圖至第1C圖的佈 局設計形成的積體電路沿著I-I’的剖視圖。
第2B圖係根據一個實施例的根據第1A圖至第1C圖的佈局設計形成的積體電路沿著II-II’的剖視圖。
第3A圖與第3B圖係根據一個實施例的包括背側金屬軌的積體電路的佈局設計的頂視平面圖。
第4A圖與第4B圖係根據一個實施例的包括背側金屬軌的積體電路的佈局設計的頂視平面圖。
第5A圖與第5B圖係根據一個實施例的包括背側金屬軌的積體電路的佈局設計的頂視平面圖。
第6A圖與第6B圖係根據一個實施例的包括背側金屬軌的積體電路的佈局設計的頂視平面圖。
第6C圖係根據一個實施例的根據第6A圖與第6B圖的佈局設計形成的積體電路的沿著A-A’的剖視圖。
第6D圖係根據一個實施例的根據第6A圖與第6B圖的佈局設計形成的積體電路的沿著B-B’的剖視圖。
第7圖係根據一個實施例的包括背側金屬軌的積體電路的佈局設計的頂視平面圖。
第8A圖係根據一個實施例的示例性多工器電路的示意圖。
第8B圖係根據一個實施例的第8A圖的示例性多工器電路的佈局設計的頂視平面圖。
第9A圖至第9C圖係根據一個實施例的包括背側金屬軌的第8A圖的示例性多工器電路的佈局設計的頂視平面圖。
第10A圖至第10C圖係根據一個實施例的包括背側金屬 軌的第8A圖的示例性多工器電路的佈局設計的頂視平面圖。
第11A圖至第11C圖係根據一個實施例的包括背側金屬軌的第8A圖的示例性多工器電路的佈局設計的頂視平面圖。
第12A圖與第12B圖係根據一個實施例的包括背側金屬軌的第8A圖的示例性多工器電路的佈局設計的頂視平面圖。
第13A圖係根據一個實施例的示例性多工器電路的示意圖。
第13B圖係根據一個實施例的第13A圖的示例性多工器電路的佈局設計的頂視平面圖。
第13C圖至第13D圖係根據一個實施例的包括背側金屬軌的第13A圖的示例性多工器電路的佈局設計的頂視平面圖。
第13E圖至第13F圖係根據一個實施例的包括背側金屬軌的第13A圖的示例性多工器電路的佈局設計的頂視平面圖。
第13G圖至第13I圖係根據一個實施例的包括背側金屬軌的第13A圖的示例性多工器電路的佈局設計的頂視平面圖。
第14A圖係根據一個實施例的示例性電路的示意圖。
第14B圖係根據一個實施例的第14A圖的示例性電路的佈局設計的頂視平面圖。
第15圖係根據一些實施例的製造積體電路的方法的流程圖。
第16圖係根據一些實施例的產生IC佈局設計的系統的方塊圖。
第17圖係根據本揭示案的至少一個實施例的IC製造系統及其相關聯的IC製造流程的方塊圖。
以下揭示內容提供了用於實施所提供標的的不同特徵的許多不同實施例或實例。下文描述組件與佈置的特定實例以簡化本揭示案。當然,這些僅僅係實例且並非意欲限制性的。例如,在以下描述中,在第二特徵之上或在其上形成第一特徵可包括將第一特徵及第二特徵形成為直接接觸的實施例,且亦可包括可在第一特徵與第二特徵之間形成額外特徵以使得第一特徵與第二特徵可不直接接觸的實施例。另外,本揭示案可在各種實例中重複元件符號及/或字母。此重複係出於簡單與清晰的目的,且其自身不指示所論述的各種實施例及/或組態之間的關係。
此外,本文中可使用空間相對術語,諸如「下方」、「在...之下」、「下」、「在...之上」、「上」等,以便於描述,以描述一個元件或特徵與另一(些)元件或特徵之關係,如圖中所例示。除圖中所描繪的定向之外,空間相對術語亦意欲涵蓋裝置在使用或操作中的不同定向。可以其他方式來定向設備(旋轉90度或以其他定向),且可同樣相應地解釋本文所使用的空間相對描述詞。
根據一些實施例,本文所揭示內容係關於包括背側電源軌與背側佈線軌的積體電路。在一個態樣中,積體電路包括主動區域,該主動區域在主動區域的前側上形成大量電晶體、多層金屬軌,且在主動區域的背側上形成至少兩層金屬軌。主動區域係具有n型或p型摻雜中的任一者的半導體結構。主動區域的前側上的金屬軌可稱為「前側金屬軌」,其中主動區域的前側上的用於提供電信號或用作區域互連的金屬軌可稱為「前側互連軌」。另外,主動區域的背側上的金屬軌可稱為「背側金屬軌」,其中主動區域的背側上的用於提供電源電壓或電源的金屬軌可稱為「背側電源軌」,且主動區域的背側上的用於提供電信號或用作區域互連的金屬軌可稱為「背側互連軌」。
在一些實施例中,主動區域的背側上的第一層金屬軌可設置為比前側上的金屬軌更靠近主動區域,且向主動區域提供比前側上的金屬軌更可靠的電源電壓(例如,VDD或GND)。另外,主動區域的背側上的第二層金屬軌提供用於佈線或電連接積體電路中的不同組件的靈活性。藉由實施主動區域的背側上的兩層金屬軌,積體電路可形成在更小面積中,因為可減少一定數量的接點與前側金屬軌。此外,背側金屬軌的使用允許用於形成電晶體的組件(例如,主動區域、金屬上擴散(metal over diffusion;MD)區域,或閘極區域)具有更規則或一致的形狀,使得電晶體的特性可變得更一致。
參考第1A圖至第1C圖,示出了根據一個實施例 的佈局設計的頂視平面圖100A~100C,包括用於製造積體電路的佈局圖案。在一個態樣中,佈局設計的頂視平面圖100A~100C示出了組件在積體電路的不同層中的佈局圖案。根據頂視平面圖100A~100C中的佈局設計形成的電路可以係反相器電路。在一個態樣中,第1A圖示出了對應於背側電源軌的佈局圖案110A、110B。在一個態樣中,第1B圖示出了對應於電晶體的主動區域的佈局圖案130A、130B。在一個態樣中,第1C圖示出了對應於前側金屬軌(例如,M0金屬軌)的佈局圖案170A~170E。在一些實施例中,在頂視平面圖100A~100C中示出的佈局設計包括比第1A圖至第1C圖中示出的更多、更少或不同佈局圖案。在一些實施例中,在頂視平面圖100A~100C中示出的佈局設計的佈局圖案以與第1A圖至第1C圖中示出的不同方式佈置。根據在頂視平面圖100A~100C中示出的佈局設計,積體電路可得以製造或形成。
在第1B圖中,佈局圖案120B與130B指示N型電晶體(例如,NMOS、N型FinFET)的尺寸及/或位置。在一個態樣中,在Y方向上延伸的佈局圖案120B對應於N型電晶體的閘極區域,且在X方向上延伸的佈局圖案130B對應於主動區域,以形成N型電晶體的源極區域與汲極區域。閘極區域係包括一或多個導電區段的結構,該一或多個導電區段包括實質上由一或多種絕緣材料(例如,二氧化矽及/或一或多種其他合適的材料)包圍的一或多種導電材料,例如,多晶矽、一或多種金屬,及/或一或多種 其他合適的材料,從而一或多個導電區段用以控制提供到底層介電層與相鄰介電層的電壓。源極區域或汲極區域係在主動區域內的半導體結構,且用以具有與主動區域的其他部分的摻雜類型相反的摻雜類型。佈局圖案120A、120C可對應於其他電晶體的閘極區域。在一個態樣中,在Y方向上延伸的佈局圖案165B、165C對應於MD區域,以電供應到N型電晶體或從N型電晶體汲取電流。MD區域係直接接觸源極區域或汲極區域的導電區域或導電結構。在一些實施例中,MD區域包括多晶矽、銅(Cu)、銀(Ag)、鎢(W)、鈦(Ti)、鎳(Ni)、錫(Sn)、鋁(Al)或者適於在IC結構元件之間提供低阻電連接的另一種金屬或材料中的一者或多者。例如,N型電晶體的閘極區域形成在佈局圖案120B、130B彼此相交的位置處;N型電晶體的源極區域形成在佈局圖案165C、130B彼此相交的位置處;且N型電晶體的汲極區域形成在佈局圖案165B、130B彼此相交的位置處。
在一個實施例中,佈局圖案120B與130A指示P型電晶體(例如,PMOS或P型FinFET)的尺寸及/或位置。在一個態樣中,在Y方向上延伸的佈局圖案120B對應於P型電晶體的閘極區域,且在X方向上延伸的佈局圖案130A對應於主動區域,以形成P型電晶體的源極區域與汲極區域。在一個態樣中,在Y方向上延伸的佈局圖案165A、165B對應於MD區域,以電供應到P型電晶體或從N型電晶體汲取電流。例如,P型電晶體的閘極區域 形成在佈局圖案120B、130A彼此相交的位置處;P型電晶體的源極區域形成在佈局圖案165A、130A彼此相交的位置處;且P型電晶體的汲極區域形成在佈局圖案165B、130A彼此相交的位置處。在此組態中,N型電晶體的源極區域與P型電晶體的汲極區域彼此耦接,且N型電晶體的閘極區域與P型電晶體的閘極區域彼此耦接,以形成反相器。
在一個實施例中,佈局圖案160A~160D指示通路接點的尺寸及/或位置,以用於電接觸主動區域下面的背側金屬軌。藉由根據佈局圖案160A~160D形成的通路接點,電信號(例如,電壓或電流)可向或從電晶體供應。在一些實施例中,通路接點包括銅(Cu)、銀(Ag)、鎢(W)、鈦(Ti)、鎳(Ni)、錫(Sn)、鋁(Al)或者適於在不同層之間提供低阻電連接的另一種金屬或材料中的一者或多者。
在第1A圖中,在一個實施例中,佈局圖案140A~140D指示背側金屬軌的尺寸及/或位置。背側金屬軌可包括金屬或任何導電材料。根據佈局圖案140A~140D形成的背側金屬軌可在M-1層中,且可實施為背側互連軌。背側金屬軌可藉由根據佈局圖案160A~160D形成的通路接點電連接到電晶體(例如,源極區域、汲極區域或閘極區域)。在一個實施例中,佈局圖案110A、110B指示背側電源軌的尺寸及/或位置。背側電源軌可包括金屬或任何導電材料。背側電源軌可在M-2層或更低層上。根據佈局圖案110A、110B形成的背側電源 軌可藉由根據佈局圖案150A~150D形成的通路接點電連接到根據佈局圖案140A~140D形成的背側金屬軌。佈局圖案110A、110B、140A、140B、140C可在X方向、Y方向上或在任何方向上延伸。
在一個組態中,背側電源軌的佈局圖案110A、110B可具有更大面積,該面積具有規則結構以提供可靠的電源電壓(例如,VDD、GND)。在一個實例中,根據佈局圖案110A形成的背側電源軌可藉由根據佈局圖案140A形成的背側金屬軌向P型電晶體的源極區域提供電源電壓(例如,VDD或1V)。相似地,根據佈局圖案110B形成的背側電源軌可藉由根據佈局圖案140C形成的背側金屬軌向N電晶體的源極區域提供電源電壓(例如,GND或0V)。同時,根據佈局圖案140B、140D形成的背側金屬軌可在任何方向上延伸以電耦接到區域連接的其他電晶體或金屬軌。
在第1C圖中,在一個實施例中,佈局圖案170A~170E指示前側金屬軌的尺寸及/或位置。在一個態樣中,佈局圖案170A~170E在X方向上延伸。前側金屬軌可包括金屬或任何導電材料。前側金屬軌可在M0層上。前側金屬軌可藉由根據佈局圖案175、180形成的通路接點電連接到電晶體(例如,源極區域、汲極區域或閘極區域)。例如,根據佈局圖案170A形成的金屬軌可藉由根據佈局圖案165B形成的MD區域與根據佈局圖案175形成的通路接點電耦接到P型電晶體的汲極區域。例如,根據佈局 圖案170D形成的金屬軌可藉由根據佈局圖案180形成的通路接點電耦接到N型電晶體與P型電晶體的共用閘極區域。在一些實施例中,根據在第1A圖至第1C圖中示出的佈局圖案形成的積體電路包括前側金屬軌的額外層(例如,M1~M7)。
在一個態樣中,如本文所揭示的背側電源軌與背側互連軌提供若干優點。在一個實例中,積體電路可形成在更小面積中,因為可減少一定數量的前側金屬軌與通路接點。例如,藉由實施背側互連軌,與不實施背側互連軌相比,閘極密度可提高4%或更高。此外,在一個實例中,用於形成電晶體的MD區域或閘極區域可具有更規則或一致的形狀,使得電晶體的特性可更一致。
第2A圖係根據一個實施例的根據第1A圖至第1C圖的佈局設計形成的積體電路沿著I-I’的剖視圖200A。第2B圖係根據一個實施例的根據第1A圖至第1C圖的佈局設計形成的積體電路沿著II-II’的剖視圖200B。
參考第2A圖與第2B圖,積體電路包括背側電源軌層BM,該背側電源軌層BM包括根據佈局圖案110A、110B形成的背側電源軌210A、210B。在背側電源軌層BM之上沿著Z方向,可根據佈局圖案150A、150C形成包括通路接點250A、250C的接觸層VB。在接觸層VB之上沿著Z方向,可根據佈局圖案140A~140C形成包括背側金屬軌240A~240C的背側金屬軌層M-1。在背 側金屬軌層M-1之上沿著Z方向,可根據佈局圖案160A~160C形成包括通路接點260A~260C的接觸層VDB。在接觸層VDB之上沿著Z方向,可在佈局圖案130A、130B與佈局圖案165A~165C的相交處形成包括源極/汲極區域262A~262C的外延層EPI。在外延層EPI之上沿著Z方向,可根據佈局圖案165A~165C形成包括MD區域265A~265C的導電層MD。在導電層MD之上沿著Z方向,可根據佈局圖案175形成包括通路接點275的接觸層VD。在接觸層VD之上沿著Z方向,可根據佈局圖案170A形成包括前側金屬軌270的前側金屬層M0。
在第2A圖中,在一個態樣中,背側電源軌210A用以提供電源電壓VDD。在背側電源軌210A上沿著Z方向,形成通路接點250A。在包括通路接點250A的VB層上沿著Z方向,形成背側金屬軌240A。背側金屬軌240A可實施為源極區域262A下方的背側互連軌(例如,Z方向的相反方向)。在背側金屬軌240A上沿著Z方向,形成通路接點260A。在通路接點260A上沿著Z方向,形成P型電晶體的源極區域262A。在源極區域262A上沿著Z方向,形成MD區域265A。在一個態樣中,MD區域265A直接耦接到源極區域262A。在一些實施方式中,MD區域265A可用作區域互連軌以電連接鄰近的組件(例如,金屬軌及/或源極/漏極/閘極區域)。在一個態樣中,源極區域262A的面對Z方向的側面或表面直接耦接 到MD區域265A,且源極區域262A的面對Z方向的相反方向的側面或表面直接耦接到通路接點260A。在此組態中,電源電壓VDD可藉由通路接點250A、背側金屬軌240A與通路接點260A提供到源極區域262A與MD區域265A。
在一個態樣中,背側電源軌210B用以提供電源電壓GND。在背側電源軌210B上沿著Z方向,形成通路接點250C。在通路接點250C上沿著Z方向,根據佈局圖案140C形成背側金屬軌240C。背側金屬軌240C可實施為背側互連軌。在背側金屬軌240C上沿著Z方向,形成通路接點260C。在通路接點260C上沿著Z方向,形成N型電晶體的源極區域262C。在源極區域262C上沿著Z方向,形成MD區域265C。在一個態樣中,MD區域265C直接耦接到源極區域262C。在一些實施方式中,MD區域265C可用作區域互連軌以電連接鄰近的組件(例如,金屬軌及/或源極/漏極/閘極區域)。
在第2B圖中,積體電路包括根據佈局圖案120A~120C形成的閘極區域220A~220C。在一個態樣中,閘極區域220B形成在源極/汲極區域262A、262B之間與MD區域265A、265B之間。在背側金屬軌240B上沿著Z方向,形成通路接點260B。在通路接點260B上沿著Z方向,形成汲極區域262B。在P型電晶體的汲極區域262B上沿著Z方向,形成MD區域265B。因此,汲極區域262B設置在通路接點260B與MD區域265B 之間。特別地,汲極區域262B的面對Z方向的側面或表面直接耦接到MD區域265B,且汲極區域262B的面對Z方向的相反方向的側面或表面直接耦接到通路接點260B。在MD區域265B上沿著Z方向,根據佈局圖案175形成通路接點275。在通路接點275上沿著Z方向,形成前側金屬軌270。
在此組態中,源極區域262A電耦接到背側電源軌210A,以用於藉由通路接點250A、背側金屬軌240A與通路接點260A獲得電源電壓VDD。因此,電源電壓VDD可藉由背側電源軌210A與背側金屬軌240A提供到電晶體。此外,汲極區域262B藉由通路接點275與MD區域265B電耦接到前側金屬軌270,且藉由通路接點260B電耦接到背側金屬軌240B。因此,電信號可藉由前側金屬軌270、藉由背側金屬軌240B或兩者來提供。
第3A圖與第3B圖係根據一個實施例的包括背側金屬軌的積體電路的佈局設計的頂視平面圖300A、300B。在一個態樣中,佈局設計的頂視平面圖300A、300B示出了組件在積體電路的不同層中的佈局圖案。在一個態樣中,頂視平面圖300A示出了積體電路的前側金屬軌的佈局圖案,且頂視平面圖300B示出了積體電路的背側金屬軌的佈局圖案。在第3A圖中,在Y方向上延伸的佈局圖案320指示電晶體的閘極區域的尺寸及/或位置,在X方向上延伸的佈局圖案330指示主動區域的尺寸及/或位置,在Y方 向上延伸的佈局圖案365指示MD區域的尺寸及/或位置,並且在X方向上延伸的佈局圖案370指示前側金屬軌(例如,M0軌)的尺寸及/或位置。在第3B圖中,在X方向上延伸的佈局圖案340指示背側金屬軌(例如,在M-1層中)的尺寸及/或位置,且佈局圖案350指示通路接點在電晶體與背側互連(例如,在VDB層中)之間的尺寸及/或位置。背側金屬軌可在其中形成電晶體的第一層(例如,外延層EPI)與其中形成背側金屬軌(例如,BM層)的第二層之間的層(例如,M-1層)中形成,如在第2A圖與第2B圖中示出。在其他實施例中,在頂視平面圖300A、300B中示出的積體電路的佈局設計可包括針對不同層的更多或更少佈局圖案。
在一個態樣中,背側金屬軌與背側電源軌允許電晶體的組件以規則或一致的結構形成。例如,電源電壓可從下面的背側電源軌提供,因此MD區域的佈局圖案365的端部或邊緣可在不延伸成連接到前側電源軌的情況下與相似形狀對準。另外,電晶體的特性與具有不規則或不一致的結構的MD區域相比可變得更一致。此外,背側金屬軌允許減少一定數量的前側金屬軌與通路連接,使得可減小積體電路的面積。
第4A圖與第4B圖係根據一個實施例的包括背側金屬軌的積體電路的佈局設計的頂視平面圖400A、400B。在一個態樣中,佈局設計的頂視平面圖400A、400B示出了組件在積體電路的不同層中的佈局圖案。在一個態樣中, 頂視平面圖400A示出了積體電路的前側金屬軌(例如,在MD層、M0層中的一者或多者中)的佈局圖案,且頂視平面圖400B示出了積體電路的背側金屬軌(例如,在M-1層中)的佈局圖案。在一個態樣中,在第4A圖、第4B圖中示出的佈局設計類似於在第3A圖至第3B圖中示出的佈局設計,除了頂視平面圖400B包括指示背側金屬軌的尺寸及/或位置的在Y方向上延伸的佈局圖案440之外。因此,本文出於簡潔的目的省略其重複部分的詳細描述。在一個態樣中,在Y方向上延伸的背側金屬軌幫助提供佈線或區域互連方面的靈活性。在其他實施例中,在頂視平面圖400A、400B中示出的積體電路的佈局設計可包括針對不同層的更多或更少的佈局圖案。
第5A圖與第5B圖係根據一個實施例的包括背側金屬軌(例如,在M-1層中)的積體電路的佈局設計的頂視平面圖500A、500B。在一個態樣中,佈局設計的頂視平面圖500A、500B示出了組件在積體電路的不同層中的佈局圖案。在一個態樣中,頂視平面圖500A示出了積體電路的前側金屬軌的佈局圖案,且頂視平面圖500B示出了積體電路的背側金屬軌的佈局圖案。在一個態樣中,在第5A圖、第5B圖中示出的佈局設計類似於在第3A圖至第3B圖中示出的佈局設計,除了頂視平面圖500B包括指示背側金屬軌的尺寸及/或位置的在X方向與Y方向上延伸的佈局圖案540之外。因此,本文出於簡潔的目的省略其重複部分的詳細描述。在一個態樣中,在X方向與Y方向 上延伸的背側金屬軌有助於提供佈線或區域互連方面的靈活性。在其他實施例中,在頂視平面圖500A、500B中示出的積體電路的佈局設計可包括針對不同層的更多或更少的佈局圖案。
第6A圖與第6B圖係根據一個實施例的包括背側金屬軌(例如,在M-1層中)的積體電路的佈局設計的頂視平面圖600A、600B。在一個態樣中,佈局設計的頂視平面圖600A、600B示出了組件在積體電路的不同層中的佈局圖案。在一個態樣中,頂視平面圖600A示出了積體電路的前側金屬軌的佈局圖案,且頂視平面圖600B示出了積體電路的背側金屬軌的佈局圖案。在第6A圖中,在Y方向上延伸的佈局圖案620A~620F指示電晶體的閘極區域的尺寸及/或位置,在X方向上延伸的佈局圖案630指示主動區域的尺寸及/或位置,在Y方向上延伸的佈局圖案665A~665E指示MD區域的尺寸及/或位置,並且在X方向上延伸的佈局圖案670A~670C指示前側金屬軌(例如,M0軌)的尺寸及/或位置。在第6B圖中,在X方向上延伸的佈局圖案640A~640D指示背側金屬軌的尺寸及/或位置,且佈局圖案650A~650C指示通路接點在電晶體與背側金屬軌(例如,M-1軌)之間的尺寸及/或位置。在一個態樣中,在對應於單元的面積內,佈局設計包括用於前側金屬軌(例如,M0軌)的三個佈局圖案670A~670C與用於背側金屬軌(例如,M-1軌)的四個佈局圖案640A~640D。在其他實施例中,在頂視平面圖600A、 600B中示出的積體電路的佈局設計可包括針對不同層的更多或更少的佈局圖案。
在一些實施例中,具有不同高度的源極/汲極區域與MD區域可根據佈局圖案665A~665E以交錯順序形成。例如,具有第一高度H1的源極/汲極區域與MD區域可根據佈局圖案665A、665C、665E形成,其中具有小於第一高度H1的第二高度H2的源極/汲極區域與MD區域可根據佈局圖案665B、665D形成。在一個態樣中,根據佈局圖案665A、665C、665E形成的源極/汲極區域與MD區域可藉由根據佈局圖案650A~650C形成的通路接點與根據佈局圖案640B形成的背側金屬軌電連接。此類藉由背側金屬軌的區域連接可有助於根據佈局圖案670A~670C形成的前側金屬軌(例如,M0軌)的位置及尺寸的靈活性。例如,前側金屬軌可根據佈局圖案670B形成,使得前側金屬軌可靠近MD區域的邊緣或與MD區域部分地重疊。藉由實施背側金屬軌與背側電源軌,更少數量的前側金屬軌可經實施以連接到電晶體。因此,藉由實施背側金屬軌,積體電路可以更小面積形成。
第6C圖係根據一個實施例的根據第6A圖與第6B圖的佈局設計形成的積體電路沿A-A’的剖視圖680A。第6D圖係根據一個實施例的根據第6A圖與第6B圖的佈局設計形成的積體電路沿著B-B’的剖視圖680B。
參考第6C圖與第6D圖,積體電路包括背側電源軌層BM,該背側電源軌層BM包括用於各別地提供電源 電壓VDD、GND的背側電源軌698A、698B。在背側電源軌層BM之上沿著Z方向,可形成包括通路接點695A、695B的接觸層VB。在接觸層VB之上沿著Z方向,可根據佈局圖案640A~640D各別地形成包括四個背側金屬軌690A~690D的背側金屬軌層M-1。在一個態樣中,背側金屬軌690A用於提供電源電壓VDD,且背側金屬軌690D用於提供接地電壓GND,其中背側金屬軌690B、690C用於區域互連。在背側電源軌層M-1之上沿著Z方向,可形成包括通路接點688A~688D的接觸層VDB。例如,可根據佈局圖案650A形成通路接點688A。在接觸層VDB之上沿著Z方向,可形成包括源極/汲極區域686A~686D的外延層EPI。例如,可在佈局圖案665A與佈局圖案630的相交處形成P型電晶體的汲極結構686A,且可在佈局圖案665B與佈局圖案630的相交處形成P型電晶體的源極區域686C。在外延層EPI之上沿著Z方向、可形成包括MD區域684A~684D的導電層MD。例如,可根據佈局圖案665A、665B形成MD區域684A、684C。在導電層MD之上沿著Z方向、可形成接觸層VD。在接觸層VD之上沿著Z方向,可根據佈局圖案670A~670C各別地形成包括三個前側金屬軌682A~682C的前側金屬軌層M0。
在第6C圖中,背側電源軌698B用以提供電源電壓GND。在背側電源軌698B上沿著Z方向,形成通路接點695A。在通路接點695A上沿著Z方向,形成背側 金屬軌690D。背側金屬軌690D可實施為背側互連軌。在背側金屬軌690D上沿著Z方向,形成通路接點688B。在通路接點688B上沿著Z方向,形成N型電晶體的源極區域686B。在源極區域686B上沿著Z方向,形成MD區域684B。在一個態樣中,MD區域684B直接耦接到源極區域686B。在一個態樣中,源極區域686B的面對Z方向的側面或表面直接耦接到MD區域684B,且源極區域686B的面對Z方向的相反方向的側面或表面直接耦接到通路接點688B。在此組態中,電源電壓GND可藉由通路接點695A、背側金屬軌690D與通路接點688B提供到源極區域686B與MD區域684B。
在第6D圖中,在一個態樣中,背側電源軌698A用以提供電源電壓VDD。在背側電源軌698A上沿著Z方向,形成通路接點695B。在包括通路接點695B的VB層上沿著Z方向,形成背側金屬軌690A。背側金屬軌690A可實施為源極區域686C下方的背側互連軌(例如,Z方向的相反方向)。在背側金屬軌690A上沿著Z方向,形成通路接點688C。在通路接點688C上沿著Z方向,形成P型電晶體的源極區域686C。在源極區域686C上沿著Z方向,形成MD區域684C。在一個態樣中,MD區域684C直接耦接到源極區域686C。在一個態樣中,源極區域686C的面對Z方向的側面或表面直接耦接到MD區域684C,且源極區域686C的面對Z方向的相反方向的側面或表面直接耦接到通路接點688C。在此組態 中,電源電壓VDD可藉由通路接點695B、背側金屬軌690A與通路接點688C提供到源極區域686C與MD區域684C。
第7圖係根據一個實施例的包括背側金屬軌的積體電路的佈局設計的頂視平面圖700。在一個態樣中,頂視平面圖700示出了積體電路的背側金屬軌的佈局圖案710A~710E。根據佈局圖案形成的背側金屬軌可在M-2層中,且設置在其中形成背側金屬軌的第一層(例如,M-1層)與其中形成背側電源軌的第二層(例如,BM層)之間。根據佈局圖案710A~710E形成的背側金屬軌可包括金屬或任何導電材料。如第7圖中示出,在一些實施例中,用於M-1層中的背側金屬軌的佈局圖案640A~640D可在X方向上延伸,而用於M-2層中的背側金屬軌的佈局圖案710A~710E可在Y方向上延伸。在一些實施例中,可在用於M-1層中的背側金屬軌的佈局圖案與用於M-2層中的背側金屬軌的佈局圖案相交的位置形成一或多個通路接點,以允許不同層中的背側金屬軌彼此電耦接。雖然出於簡單的目的未示出,但可在用於M-2層中的背側金屬軌的佈局圖案與背側電源軌的佈局圖案相交的位置形成一或多個通路接點,以允許M-2層中的背側金屬軌與背側電源軌彼此電耦接。採用在M-1層中的背側金屬軌與BM層中的背側電源軌之間的M-2層中的背側金屬軌,提供了置放與佈線方面的進一步的靈活性,且允許積體電路以緊湊形式設計。
第8A圖係根據一個實施例的示例性多工器電路800的示意圖。在一個組態中,多工器電路800包括P型電晶體P1、P2(例如,PMOS電晶體或P型FinFET)與N型電晶體N1、N2(例如,NMOS電晶體或N型FinFET)。在一個組態中,多工器電路800的第一輸入埠I1耦接到電晶體P1的汲極區域(或源極區域)與電晶體N2的汲極區域(或源極區域)。類似地,多工器電路800的第二輸入埠I2耦接到電晶體P2的汲極區域(或源極區域)與電晶體N2的汲極區域(或源極區域)。此外,多工器電路800的輸出埠Z耦接到電晶體P1的源極區域(或汲極區域)、電晶體P2的源極區域(或汲極區域)、電晶體N1的源極區域(或汲極區域)與電晶體N2的源極區域(或汲極區域)。另外,多工器電路800的控制埠A耦接到電晶體P1的閘極區域、電晶體N2的閘極區域,且多工器電路800的控制埠B耦接到電晶體P2的閘極區域與電晶體N1的閘極區域。在此組態中,當控制埠A處的電壓係高(例如,VDD)且控制埠B處的電壓係低(例如,GND)時,則輸入埠I2處的電信號可藉由電晶體P2、N2傳遞到輸出埠Z。相似地,當控制埠A處的電壓係低(例如,GND)且控制埠B處的電壓係高(例如,VDD)時,則輸入埠I1處的電信號可藉由電晶體P1、N1傳遞到輸出埠Z。
第8B圖係根據一個實施例的第8A圖的示例性多工器電路800的佈局設計的頂視平面圖805。在一個態樣中,在第8B圖中示出的佈局設計包括指示主動區域的尺寸 及/或位置的佈局圖案830A、830B與指示閘極區域的尺寸及/或位置的佈局圖案820A~820D。如上文關於第1B圖所描述的,可在用於主動區域的佈局圖案830A、830B與用於閘極區域的佈局圖案820A~820D相交的位置處形成電晶體。在一個實例中,根據佈局圖案820A、820D形成的閘極區域可指派給或耦接到多工器電路800控制埠A,且根據佈局圖案820B、820C形成的閘極區域可指派給或耦接到多工器電路800的控制埠B。為了實施如第8A圖中示出的多工器電路800,可採用交叉耦接聯接840A、840B。在一個態樣中,背側金屬軌可用於允許下文關於第9A圖至第9C圖、第10A圖至第10C圖、第11A圖至第11C圖與第12A圖至第12B圖所描述的區域互連。
第9A圖至第9C圖係根據一個實施例的包括背側金屬軌(例如,在M-1層中)的第8A圖的示例性多工器電路800的佈局設計的頂視平面圖900A~900C。在一個態樣中,佈局設計的頂視平面圖900A~900C示出了組件在積體電路的不同層中的佈局圖案。在一個態樣中,在第9A圖中示出的佈局設計900A包括在X方向上延伸的佈局圖案970A~970E與在Y方向上延伸的佈局圖案980A~980B。佈局圖案970A~970E可指示前側金屬軌(例如,M0軌)的尺寸及位置,且佈局圖案980A、980B可指示前側金屬軌(例如,M1軌)的尺寸及位置。在一個態樣中,在第9B圖中示出的佈局設計900B包括在Y方向上延伸的佈局圖案920A~920D與佈局圖案 925A~925D。佈局圖案920A~920D指示閘極區域的尺寸及位置,且佈局圖案925A~925D指示通路接點在閘極區域與前側金屬軌(例如,M0軌)之間的尺寸及位置。在一個態樣中,在第9C圖中示出的佈局設計900C包括在X方向上延伸的佈局圖案940A~940B。佈局圖案940A、940B可指示背側金屬軌(例如,M-1層)的尺寸及位置。根據第9A圖至第9C圖中示出的佈局設計,可藉由前側金屬軌(例如,M1軌與M0軌)形成交叉耦接連接840A、840B。另外,電晶體的汲極區域或源極區域可藉由背側金屬軌(例如,M-1軌)區域地佈線。
第10A圖至第10C圖係根據一個實施例的包括背側金屬軌(例如,在M-1層中)的第8A圖的示例性多工器電路800的佈局設計的頂視平面圖1000A~1000C。在一個態樣中,佈局設計的頂視平面圖1000A~1000C示出了組件在積體電路的不同層中的佈局圖案。在一個態樣中,在頂視平面圖1000A~1000C中示出的佈局設計類似於在第9A圖至第9C圖中示出的頂視平面圖900A~900C中的佈局設計,除了在第10A圖至第10C圖中示出的佈局設計包括用於四個前側金屬軌(例如,M0軌)而並非五個前側金屬軌的佈局圖案1070A~1070D之外。根據第10A圖至第10C圖中示出的佈局設計,可藉由前側金屬軌(例如,M1軌與M0軌)形成交叉耦接連接840A、840B。另外,電晶體的汲極區域或源極區域可藉由背側金屬軌(例如,M-1軌)區域地佈線。
第11A圖至第11C圖係根據一個實施例的包括背側金屬軌(例如,在M-1層中)的第8A圖的示例性多工器電路800的佈局設計的頂視平面圖。在一個態樣中,佈局設計的頂視平面圖1100A~1100C示出了組件在積體電路的不同層中的佈局圖案。在一個態樣中,在頂視平面圖1100A~1100C中示出的佈局設計類似於在第9A圖至第9C圖中示出的頂視平面圖900A~900C中的佈局設計,除了在第11A圖至第11C圖中示出的佈局設計包括用於三個前側金屬軌(例如,M0軌)而並非五個前側金屬軌的佈局圖案1170A~1170C之外。根據第11A圖至第11C圖中示出的佈局設計,可藉由前側金屬軌(例如,M1軌與M0軌)形成交叉耦接連接840A、840B。另外,電晶體的汲極區域或源極區域可藉由背側金屬軌(例如,M-1軌)區域地佈線。
第12A圖至第12B圖係根據一個實施例的包括背側金屬軌(例如,在M-1層中)的第8A圖的示例性多工器電路800的佈局設計的頂視平面圖1200A~1200B。在一個態樣中,佈局設計的頂視平面圖1200A~1200B示出了組件在積體電路的不同層中的佈局圖案。在一個態樣中,在第12A圖中示出的佈局設計包括指示主動區域的尺寸及/或位置的佈局圖案1230A~1230D與指示閘極區域的尺寸及/或位置的佈局圖案1220A~1220C。如上文關於第1B圖所描述的,可在用於主動區域的佈局圖案1230A~1230D與用於閘極區域的佈局圖案 1220A~1220C相交的位置處形成電晶體。在一個實例中,根據佈局圖案1220A~1220C與1230A~1230D沿著Y方向形成不同的電晶體。
在一個態樣中,前側金屬軌與背側金屬軌可經形成以佈線不同的組件。在一個實例中,在第12A中示出的佈局設計包括指示前側金屬軌(例如,M0軌)的尺寸及/或位置的佈局圖案1270A~1270D及指示前側金屬軌(例如,M1軌)的尺寸及/或位置的佈局圖案1280。在一個實例中,在第12B圖中示出的佈局設計亦包括指示背側金屬軌(例如,M-1軌)的尺寸及/或位置的佈局圖案1240A~1240D。根據第12A圖至第12B圖中示出的佈局設計,可藉由前側金屬軌(例如,M1軌與M0軌)形成交叉耦接連接840A、840B。另外,電晶體的汲極區域或源極區域可藉由背側金屬軌(例如,M-1軌)區域地佈線。
第13A圖係根據一個實施例的示例性電路1300的示意圖。在一個組態中,電路1300類似於第8A圖的多工器電路800,除了添加了虛擬電晶體D1、D2之外。因此,本文出於簡潔的目的省略重複部分的詳細描述。在一個態樣中,虛擬電晶體D1、D2允許不同組件容易置放與佈線。
第13B圖係根據一個實施例的第13A圖的示例性電路1300的佈局設計的頂視平面圖1305。在一個態樣中,在第13B圖中示出的佈局設計包括指示主動區域的尺寸及/或位置的佈局圖案1310A、1310B及指示閘極區域的尺 寸及/或位置的佈局圖案1320A~1320E。佈局圖案1310A、1310B可在X方向上延伸,且佈局圖案1320A~1320E可在Y方向上延伸。如上文關於第1B圖所描述的,可在用於主動區域的佈局圖案1310A、1310B與用於閘極區域的佈局圖案1320A~1320E相交的位置處形成電晶體。
在一些實施例中,前側金屬軌及/或背側金屬軌可用於區域佈線。在一個實例中,根據佈局圖案1330A、1330B形成的電晶體的汲極區域與源極區域可經縮短或藉由區域互連1350彼此電耦接以形成虛擬電晶體D1。在一個實例中,根據佈局圖案1320B、1320D形成的閘極區域可經縮短或藉由區域互連1352彼此電耦接。區域互連1352可指派給或耦接到電路1300的控制埠B。在一個實例中,根據佈局圖案1330C形成的電晶體的汲極區域或源極區域及根據佈局圖案1330D形成的電晶體的汲極區域或源極區域可經縮短或藉由區域互連1354電耦接。區域互連1354可指派給或耦接到電路1300的輸出埠Z。類似地,在一個實例中,根據佈局圖案1330E、1330F形成的電晶體的汲極區域與源極區域可經縮短或藉由區域互連1356彼此電耦接以形成虛擬電晶體D2。區域互連1350、1352、1354、1356可以係M-2層、M-1層、M0層、M1層中的金屬軌,或其任何組合。
在一個態樣中,背側金屬軌允許組件的置放與佈線方面的靈活性,使得佈局圖案1320A~1320E、 1330A~1330F可具有規則或一致的形狀。例如,用於形成閘極區域的佈局圖案1320A~1320E可具有相同或相似的形狀,且跨用於形成主動區域的佈局圖案1330A、1330B延伸。例如,用於形成源極/汲極區域及/或MD區域的佈局圖案1330A~1330E可具有帶有對準邊緣的相同或相似的形狀。有利地,佈局圖案1320A~1320E、1330A~1330F的此類規則或一致的形狀允許電晶體的組件(例如,閘極區域、源極/汲極區域)以一致的方式形成,使得電晶體的特性可更一致。另外,電路1300可以緊湊的形式形成,因為可減少一定數量的前側金屬軌與通路接點。
第13C圖至第13D圖係根據一個實施例的包括背側金屬軌的第13A圖的示例性多工器電路1300的佈局設計的頂視平面圖1360A、1360B。在一個態樣中,佈局設計的頂視平面圖1360A、1360B示出了組件在積體電路的不同層中的佈局圖案。在一個態樣中,在第13C圖中示出的佈局設計包括指示主動區域的尺寸及/或位置的佈局圖案1366A~1366D及指示閘極區域的尺寸/位置的佈局圖案1364A~1364E。
在一個態樣中,前側金屬軌與背側金屬軌可經形成以佈線不同的組件。在一個實例中,在第13C圖中中示出的佈局設計亦包括指示前側金屬軌(例如,M0軌)的尺寸及/或位置的佈局圖案1362A~1362C。在一個實例中,在第13D圖中中示出的佈局設計亦包括指示背側金屬軌(例 如,M-1軌)的尺寸及/或位置的佈局圖案1368A~1368B。根據第13C圖至第13D圖中示出的佈局設計,區域互連1352、1354可藉由前側金屬軌(例如,M1軌與M0軌)與背側金屬軌(例如,M-1軌)形成。在一個實例中,根據佈局圖案1366A形成的電晶體的汲極區域或源極區域可藉由根據佈局圖案1369A形成的通路接點電連接到根據佈局圖案1368A形成的背側金屬軌(例如,M-1軌)。類似地,根據佈局圖案1366B形成的電晶體的源極區域或汲極區域可藉由根據佈局圖案1369B形成的通路接點電連接到根據佈局圖案1368A形成的背側金屬軌(例如,M-1軌)。在一個實例中,根據佈局圖案1366C形成的電晶體的汲極區域與源極區域可藉由根據佈局圖案1369C形成的通路接點電連接到根據佈局圖案1368B形成的背側金屬軌(例如,M-1軌)。類似地,根據佈局圖案1366D形成的電晶體的汲極區域或源極區域可藉由根據佈局圖案1369D形成的通路接點電連接到根據佈局圖案1368B形成的背側金屬軌(例如,M-1軌)。因此,根據佈局圖案1366C、1366D形成的不同電晶體可藉由根據佈局圖案1368B形成的背側金屬軌彼此電連接。在第13C圖與第13D圖中示出的此實例中,佈局圖案1368A、1368B可在X方向與Y方向上延伸,以允許用於源極/汲極區域的佈局圖案1366A~1366D具有規則或一致的結構。
第13E圖至第13F圖係根據一個實施例的包括背側金屬軌的第13A圖的示例性多工器電路1300的佈局設 計的頂視平面圖1370A、1370B。在一個態樣中,佈局設計的頂視平面圖1370A、1370B示出了組件在積體電路的不同層中的佈局圖案。在一個態樣中,在第13E圖與第13F圖中示出的佈局設計類似於在第13C圖與第13D圖中示出的佈局設計,除了在第13E圖與第13F圖中示出的佈局設計包括指示具有變化的長度的源極/汲極區域的尺寸及/或位置的佈局圖案1376A~1376D與在X方向上延伸的背側金屬軌(例如,M-1軌)的尺寸及/或位置的佈局圖案1378A~1378C之外。例如在第13E圖中,佈局圖案1376C與1376D在Y方向上延伸,以與在X方向上延伸的佈局圖案1378B部分地重疊。在一個態樣中,根據佈局圖案1376C形成的電晶體的汲極區域或源極區域可藉由根據佈局圖案1379D形成的通路接點電連接到根據佈局圖案1378B形成的背側金屬軌。類似地,根據佈局圖案1376D形成的電晶體的汲極區域或源極區域可藉由根據佈局圖案1379C形成的通路接點電連接到根據佈局圖案1378B形成的背側金屬軌。因此,當佈局圖案1378A~1378C在一個方向(例如,X方向)上延伸時,佈局圖案1376A~1376D可具有變化的結構。
第13G圖至第13I圖係根據一個實施例的包括背側金屬軌的第13A圖的示例性多工器電路1300的佈局設計的頂視平面圖1380A~1380C。在一個態樣中,佈局設計的頂視平面圖1380A~1380C示出了組件在積體電路的不同層中的佈局圖案。在一個態樣中,在第13G圖至第 13I圖中示出的佈局設計類似於在第13C圖與第13D圖中示出的佈局設計,除了在第13G圖至第13I圖中示出的佈局設計包括指示在X方向上延伸的背側金屬軌(例如,M-1軌)的尺寸及/或位置的佈局圖案1388A~1388C與用於在Y方向上延伸的不同背側金屬軌(例如,M-2軌)的佈局圖案1395A~1395B之外。在一個態樣中,電晶體可藉由根據佈局圖案1388A~1388C形成的背側金屬軌(例如,M-1軌)、根據佈局圖案1395A~1395B形成的背側金屬軌(例如,M-2軌)及根據佈局圖案1389A~1389B與1398A~1398D形成的通路接點來連接。採用背側金屬軌的不同層可提供在佈線或連接積體電路的不同組件方面的靈活性。另外,背側金屬軌實現了組件的置放與佈線方面的靈活性,使得用於形成電晶體(例如,汲極區域、源極區域與閘極區域)的佈局圖案可具有規則或一致的形狀。有利地,佈局圖案的此類規則或一致的形狀允許電晶體的組件(例如,閘極區域、源極/汲極區域)以一致的方式形成,使得電晶體的特性可更一致。
第14A圖係根據一個實施例的示例性電路1400的示意圖。第14B圖係根據一個實施例的第14A圖的示例性電路的佈局設計的頂視平面圖1450。在一個實例中,電路1400係實施為正反器電路。在一個態樣中,電路1400包括具有與第13A圖中的電路1300相似的構造的第一部分1410A與第二部分1410B。因此,電路1300可藉由如第14B中示出的交叉耦接連接來形成。如上文關 於第13B圖所描述的,背側金屬軌可用於區域互連。背側金屬軌的此類使用實現了組件的置放與佈線方面的靈活性,使得用於形成電晶體(例如,汲極區域、源極區域與閘極區域)的佈局圖案可具有規則或一致的形狀。有利地,佈局圖案的此類規則或一致的形狀允許電晶體的組件(例如,閘極區域、源極/汲極區域)以一致的方式形成,使得電晶體的特性可更一致。另外,電路1400可以緊湊的形式形成,因為可減少一定數量的前側金屬軌與通路接點。
第15圖係根據一些實施例的形成或製造積體電路的方法1500的流程。應當理解,在第15圖中描繪的方法1500之前、期間及/或之後可執行額外操作。在一些實施例中,方法1500可用於根據如本文所揭示的各種佈局設計來形成積體電路。
在方法1500的操作1510中,產生積體電路的佈局設計。操作1510由用以執行用於產生佈局設計的指令的處理裝置(例如,第16圖的處理器1602)執行。在一個方法中,藉由穿過使用者介面置放一或多個標準單元的佈局設計來產生佈局設計。在一個方法中,藉由執行將邏輯設計(例如,Verilog)轉換成對應佈局設計的分析工具的處理器來自動產生佈局設計。在一些實施例中,佈局設計以圖形資料庫系統(GDSII)檔案格式呈現。
在方法1500的操作1520中,基於佈局設計來製造積體電路。在一些實施例中,方法1500的操作1520包括基於佈局設計製造至少一個遮罩,及基於至少一個遮 罩製造積體電路。在一個方法中,操作1520包括操作1522、1524、1526、1528。在操作1522中,形成包括第一金屬軌(例如,背側電源軌)的第一層(例如,BM層)。在操作1524中,形成包括第二金屬軌(例如,背側電源軌)的第二層(例如,M-2或M-1層)。在一個態樣中,第二層沿著一方向(例如,Z方向)在第一層之上。在一個方法中,可在第一層與第二層之間形成包括一或多個通路接點的第一接觸層(例如,VB層)。第一接觸層(例如,VB層)中的一或多個通路接點可電耦接第一金屬軌(例如,背側電源軌)與第二金屬軌(例如,背側金屬軌)。在操作1526中,在第二層之上沿著方向(例如,Z方向)形成包括電晶體的主動區域的第三層(例如,EPI層)。在一個方法中,可在第二層與第三層之間形成包括一或多個通路接點的第二接觸層(例如,VDB層)。在一個態樣中,第二接觸層(例如,VDB層)中的一或多個接點可電耦接電晶體與背側金屬軌。在操作1528中,在第三層之上沿著方向(例如,Z方向)形成包括第三金屬軌(例如,MD區域)的第四層(例如,MD層)。在一些實施例中,MD區域直接耦接到主動區域。在操作1530中,在第四層之上沿著方向(例如,Z方向)形成包括金屬軌(例如,M0軌)的第五層(例如,M0層)。在一個方法中,可在第四層與第五層之間形成包括一或多個通路接點的第三接觸層(例如,VD層)。第三接觸層(例如,VD層)中的一或多個通路接點可電耦接MD區域與M0軌。
有利地,背側電源軌與背側佈線軌實現了組件的置放與佈線方面的靈活性,使得用於形成電晶體(例如,汲極結構、源極結構與閘極結構)的佈局圖案可具有規則或一致的形狀。有利地,佈局圖案的此類規則或一致的形狀允許電晶體的組件(例如,源極/汲極區域、閘極區域等)以一致的方式形成,使得電晶體的特性可更一致。另外,積體電路可以緊湊的形式形成,因為可減少一定數量的前側金屬軌與通路接點。
第16圖係根據一些實施例的用於設計與製造IC佈局設計的系統1600的示意圖。在一些實施例中,系統1600產生或置放本文所述的一或多個IC佈局設計。在一些實施例中,系統1600基於本文所述的一或多個IC佈局設計來製造一或多個IC。系統1600包括硬件處理器1602與藉由例如儲存電腦程式碼1606(例如,一組可執行指令)編碼的非暫時性電腦可讀儲存媒體1604。電腦可讀儲存媒體1604用以與用於生產積體電路的製造機器介接。處理器1602藉由匯流排1608電耦接到電腦可讀儲存媒體1604。處理器1602亦藉由匯流排1608電耦接到輸入/輸出(input/output,I/O)介面1610。網路介面1612亦藉由匯流排1608電連接到處理器1602。網路介面1612連接到網路1614,使得處理器1602與電腦可讀儲存媒體1604能夠經由網路1614連接到外部元件。處理器1602用以執行在電腦可讀儲存媒體1604中編碼的電腦程式碼1606,以便致使系統1600可用於執行如方法 1500中所描述的操作中的部分或全部。
在一些實施例中,處理器1602係中央處理單元(central processing unit;CPU)、多處理器、分佈式處理系統、特殊應用積體電路(application specific integrated circuit;ASIC)及/或合適的處理單元。
在一些實施例中,電腦可讀儲存媒體1604係電子、磁性、電磁、紅外及/或半導體系統(或設備或裝置)。例如,電腦可讀儲存媒體1604包括半導體或固態記憶體、磁帶、可移除電腦軟碟、隨機存取記憶體(random access memory;RAM)、唯讀記憶體(read-only memory;ROM)、硬磁碟及/或光碟。在使用光碟的一些實施例中,電腦可讀儲存媒體1604包括光碟唯讀記憶體(compact disk-read only memory;CD-ROM)、光碟讀/寫(compact disk-read/write;CD-R/W)及/或數位視訊光碟(digital video disc;DVD)。
在一些實施例中,儲存媒體1604儲存用以致使系統1600執行方法1500的電腦程式碼1606。在一些實施例中,儲存媒體1604亦儲存執行方法1500所需的資訊以及在方法1500的執行期間產生的資訊,諸如佈局設計1616及使用者介面1618及製造單元1620及/或用於執行方法1500的操作的一組可執行指令。
在一些實施例中,儲存媒體1604儲存用於與製造機器介接的指令(例如,電腦程式碼1606)。指令(例如,電腦程式碼1606)使得處理器1602能夠產生可由製造機 器讀取的製造指令,以在製造過程期間有效地實施方法1500。
系統1600包括I/O介面1610。I/O介面1610耦接到外部電路。在一些實施例中,I/O介面1610包括用於將資訊與命令傳達到處理器1602的鍵盤、小型鍵盤、滑鼠、軌跡球、觸摸板及/或標方向鍵。
系統1600亦包括耦接到處理器1602的網路介面1612。網路介面1612允許系統1600與一或多個其他電腦系統所連接到的網路1614通信。網路介面1612包括無線網路介面,諸如BLUETOOTH、WIFI、WIMAX、GPRS或WCDMA;或有有線網路介面,諸如ETHERNET、USB或IEEE-13154。在一些實施例中,方法1500在兩個或更多個系統1600中實施,並且諸如佈局設計、使用者介面及製造單元的資訊藉由網路1614在不同的系統1600之間交換。
系統1600用以藉由I/O介面1610或網路介面1612接收與佈局設計有關的資訊。藉由匯流排1608將資訊傳輸到處理器1602,以確定用於生產IC的佈局設計。然後將佈局設計儲存在電腦可讀儲存媒體1604中作為佈局設計1616。系統1600用以藉由I/O介面1610或網路介面1612接收與使用者介面有關的資訊。然後將資訊儲存在電腦可讀儲存媒體1604中作為使用者介面1618。系統1600用以藉由I/O介面1610或網路介面1612接收與製造單元有關的資訊。然後將資訊儲存在電腦可讀儲 存媒體1604中作為製造單元1620。在一些實施例中,製造單元1620包括由系統1600利用的製造資訊。
在一些實施例中,方法1500實施為獨立的軟件應用程式以由處理器執行。在一些實施例中,方法1500實施為作為額外軟件應用程式的一部分的軟件應用程式。在一些實施例中,方法1500實施為軟件應用程式的外掛程式。在一些實施例中,方法1500實施為作為EDA工具的一部分的軟件應用程式。在一些實施例中,方法1500實施為由EDA工具使用的軟件應用程式。在一些實施例中,EDA工具用於產生積體電路裝置的佈局設計。在一些實施例中,佈局設計係儲存在非暫時性電腦可讀媒體上。在一些實施例中,佈局設計係使用可從CADENCE DESIGN SYSTEMS股份有限公司獲得的諸如VIRTUOSO®的工具或另一種合適的佈局產生工具來產生。在一些實施例中,佈局設計係基於基於方案設計創建的網路列表來產生。在一些實施例中,方法1500由製造裝置實施,以使用基於由系統1600產生的一或多個佈局設計製造的一組遮罩來製造積體電路。在一些實施例中,系統1600由製造裝置(例如,製造工具1622)實施,以使用基於本揭示案的一或多個佈局設計製造的一組遮罩來製造積體電路。在一些實施例中,第16圖的系統1600產生相比其他方法更小的IC的佈局設計。在一些實施例中,第16圖的系統1600產生相比其他方法佔據更小面積的IC的佈局設計。
第17圖係根據本揭示案的至少一個實施例的積體 電路(IC)製造系統1700及其相關聯的IC製造流程IC的方塊圖。
在第17圖中,IC製造系統1700包括在設計、開發及與製造IC裝置1760有關的製造週期及/或服務方面彼此交互的實體,諸如設計室1720、遮罩室1730及IC製造廠/製造者(「晶圓廠」)1740。系統1700中的實體係藉由通信網路連接。在一些實施例中,通信網路係單個網路。在一些實施例中,通信網路係多種不同的網路,諸如內部網及網際網絡。通信網路包括有線及/或無線通信網路。每個實體與其他實體中的一或多者交互且向其他實體中的一或多者提供服務及/或從其他實體中的一或多個接收服務。在一些實施例中,設計室1720、遮罩室1730及IC晶圓廠1740中的兩者或更多者係由單個公司擁有。在一些實施例中,設計室1720、遮罩室1730及IC晶圓廠1740中的兩者或更多者在公用設施中共存且使用公用資源。
設計室(或設計團隊)1720產生IC設計佈局1722。IC設計佈局1722包括為IC裝置1760設計的各種幾何圖案。幾何圖案對應於組成待製造的IC裝置1760的各種組件的金屬、氧化物或半導體層的圖案。各種層組合以形成各種IC特徵。例如,IC設計佈局1722的一部分包括各種IC特徵(諸如,主動區域、閘極結構、源極結構與汲極結構)、層間互連的金屬線或通路接點,以及將在半導體基板(諸如,矽晶圓)中形成的結合墊的開口與設置 在半導體基板上的各種材料層。設計室1720實施適當的設計程序以形成IC設計佈局1722。設計程序包括邏輯設計、實體設計或置放與佈線中的一者或多者。IC設計佈局1722以具有幾何圖案的資訊的一或多個資料檔案呈現。例如,IC設計佈局1722可以GDSII檔案格式或DFII檔案格式表示。
遮罩室1730包括遮罩資料準備1732與遮罩製造1734。遮罩室1730使用IC設計佈局1722來製造將用於根據IC設計佈局1722來製造IC裝置1760的各種層的一或多個遮罩。遮罩室1730執行遮罩資料準備1732,其中IC設計佈局1722經轉譯成代表性資料檔案(representative data file;「RDF」)。遮罩資料準備1732將RDF提供給遮罩製造1734。遮罩製造1734包括遮罩寫入器。遮罩寫入器將RDF轉換成基板上的影像,諸如遮罩(標線)或半導體晶圓。設計佈局由遮罩資料準備1732操縱,以遵守遮罩寫入器的特殊特性及/或IC晶圓廠1740的要求。在第17圖中,遮罩資料準備1732與遮罩製造1734示出為分離的元件。在一些實施例中,遮罩資料準備1732與遮罩製造1734可統稱為遮罩資料準備。
在一些實施例中,遮罩資料準備1732包括光學近接修正(optical proximity correction;OPC),該光學近接修正使用微影增強技術來補償影像誤差,諸如,可由衍射、干擾、其他過程影響等引起的那些誤差。OPC調 整IC設計佈局1722。在一些實施例中,遮罩資料準備1732包括另外的解析度增強技術(resolution enhancement technique;RET),諸如離軸照明、子解析度輔助特徵、相移遮罩、其他合適的技術等或其組合。在一些實施例中,亦使用反向微影技術(inverse lithography technology;ILT),該技術將OPC處理為反向成像問題。
在一些實施例中,遮罩資料準備1732包括遮罩規則檢查器(mask rule checker;MRC),該遮罩規則檢查器使用一組遮罩創建規則來檢查已經受OPC中的處理的IC設計佈局,該等遮罩創建規則包含某些幾何及/或連接性限制以確保足夠的裕度,以慮及半導體製造製程中的可變性等。在一些實施例中,MRC修改IC設計佈局以補償在遮罩製造1734期間的限制,此可復原由OPC執行的修改的一部分,以便滿足遮罩創建規則。
在一些實施例中,遮罩資料準備1732包括微影製程檢查(lithography process checking;LPC),該微影過程檢查模擬由IC晶圓廠1740實施以製造IC裝置1760的過程。LPC基於IC設計佈局1722來模擬此過程,以創建模擬的製造裝置,諸如IC裝置1760。LPC模擬中的處理參數可包括與IC製造週期的各種製程相關聯的參數,與用於製造IC的工具相關聯的參數,及/或製造製程的其他態樣。LPC慮及各種因素,諸如航空影像對比度、焦深(depth of focus;「DOF」)、遮罩誤差增 強因素(mask error enhancement factor;「MEEF」)、其他合適的因素等或其組合。在一些實施例中,在已藉由LPC創建模擬的製造裝置之後,若模擬的裝置在形狀上不夠接近以滿足設計規則,則可重複OPC及/或MRC以進一步改善IC設計佈局1722。
應當理解,出於清晰的目的,遮罩資料準備1732的以上描述已簡化。在一些實施例中,遮罩資料準備1732包括額外特徵(諸如,邏輯操作(logic operation;LOP))以根據製造規則修改IC設計佈局。此外,在遮罩資料準備1732期間應用於IC設計佈局1722的製程可以多種不同的順序執行。
在遮罩資料準備1732之後與在遮罩製造1734期間,基於所修改的IC設計佈局來製造遮罩或一組遮罩。在一些實施例中,基於所修改的IC設計佈局,使用電子束(e-beam)或多束電子束的機制在遮罩(光罩或標線)上形成圖案。遮罩可以各種技術形成。在一些實施例中,遮罩係使用二極化技術形成。在一些實施例中,遮罩圖案包括不透明區域與透明區域。用於暴露已經塗覆在晶圓上的影像敏感材料層(例如,光阻劑)的輻射束(諸如,紫外線(ultraviolet;UV)束)係由不透明區域阻擋並且透射通過透明區域。在一個實例中,二元遮罩包括透明基板(例如,熔融石英)與塗覆在遮罩的不透明區域中的不透明材料(例如,鉻)。在另一個實例中,遮罩係使用相移技術形成。在相移遮罩(phase shift mask;PSM)中,在遮罩上形成 的圖案中的各種特徵用以具有適當的相位差,以提高解析度與成像品質。在各種實例中,相移遮罩可以係衰減的PSM或交替的PSM。由遮罩製造1734產生的遮罩用於多種製程。例如,此類遮罩用於離子植入製程中以在半導體晶圓中形成各種摻雜區域,用於蝕刻過程中以在半導體晶圓中形成各種蝕刻區域,及/或用於其他合適的製程中。
IC晶圓廠1740係IC製造實體,包括用於製造多種不同的IC產品的一或多個製造設施。在一些實施例中,IC晶圓廠1740係半導體工廠。例如,可存在用於複數個IC產品的前端製造(前端(front-end-of-line;FEOL)製造)的製造設施,而第二製造設施可為IC產品的互連與封裝提供後端製造(後端(back-end-of-line;BEOL)製造),且第三製造設施可為工廠實體提供其他服務。
IC晶圓廠1740使用由遮罩室1730製造的遮罩(或多個遮罩)來製造IC裝置1760。因此,IC晶圓廠1740至少間接使用IC設計佈局1722來製造IC裝置1760。在一些實施例中,IC晶圓廠1740使用遮罩(或多個遮罩)來製造半導體晶圓1742,以形成IC裝置1760。半導體晶圓1742包括矽基板或在其上形成有材料層的其他適當的基板。半導體晶圓進一步包括各種摻雜區域、介電特徵、多層互連等(在隨後的製造步驟中形成)中的一者或多者。
系統1700示為具有設計室1720、遮罩室1730 或IC晶圓廠1740作為單獨的組件或實體。然而,應當理解,設計室1720、遮罩室1730或IC晶圓廠1740中的一者或多者是相同組件或實體的一部分。
關於積體電路(integrated circuit;IC)製造系統(例如,第17圖的系統1700)及其相關聯的IC製造流程的詳情在例如2016年2月9日授權的美國專利公開案第9,256,709號、2015年10月1日公開的美國專利申請公開案第20150278429號、2014年2月6日公開的美國專利申請公開案第20100040838號與2007年8月21日授權的美國專利公開案第7,260,442號中闡述,該些公開案中的每一者的全部內容以引用方式併入。
本描述的一個態樣係關於一種積體電路。在一些實施例中,積體電路包括第一層,第一層包括第一金屬軌。在一些實施例中,積體電路包括第二層,第二層包括第二金屬軌,其中第二層沿著第一方向在第一層之上。在一些實施例中,積體電路包括第三層,第三層包括電晶體的主動區域,其中第三層沿著第一方向在第二層之上。在一些實施例中,積體電路包括第四層,第四層包括第三金屬軌,其中第四層沿著第一方向在第三層之上。在一些實施例中,積體電路包括第五層,第五層包括第四金屬軌,其中第五層沿著第一方向在第四層之上。在一些實施例中,第一金屬軌藉由第二金屬軌向電晶體的主動區域提供一電源電壓。在一些實施例中,第三金屬軌從或向電晶體提供一電信號。在一些實施例中,第一金屬軌藉由一第一通路接點電耦接 到第二金屬軌,且第二金屬軌藉由一第二通路接點電耦接到電晶體的主動區域的一第一側。在一些實施例中,第三金屬軌直接耦接到電晶體的主動區域的一第二側。在一些實施例中,第四層包括第五金屬軌。第五金屬軌直接耦接到電晶體的另一主動區域。在一些實施例中,第三金屬軌在垂直於第一方向的一第二方向上延伸,其中第三金屬軌包括一第一端與一第二端。第五金屬軌在第二方向上延伸,其中第五金屬軌包括一第三端與一第四端,其中第三金屬軌的第一端與第五金屬軌的第三端對準,其中第三金屬軌的第二端與第五金屬軌的第四端對準。在一些實施例中,第二層包括第六金屬軌。第六金屬軌耦接到電晶體的另一主動區域。在一些實施例中,第六金屬軌耦接到另一電晶體的一主動區域。在一些實施例中,積體電路包括電晶體的一第七金屬軌。電晶體的第七金屬軌在第二方向上延伸,第七金屬軌設置在電晶體的主動區域與電晶體的另一主動區域之間,第七金屬軌與第六金屬軌部分地重疊。在一些實施例中,第四金屬軌在垂直於第一方向的一第二方向上延伸,其中第一金屬軌在第二方向上延伸。在一些實施例中,第二金屬軌在一第三方向上延伸,第一方向與第二方向及第三方向垂直。在一些實施例中,第二金屬軌在第二方向上延伸。在一些實施例中,積體電路包括第六層。第六層包括在第一層與第二層之間的一第五金屬軌,第二金屬軌在一第二方向上延伸,第五金屬軌在一第三方向上延伸,第一方向與第二方向及第三方向垂直。
本描述的一個態樣係關於一種用於形成積體電路的方法。在一些實施例中,方法包括形成包括第一金屬軌的第一層。在一些實施例中,方法包括形成包括第二金屬軌的第二層,其中第二層沿著第一方向在第一層之上。在一些實施例中,方法包括形成包括電晶體的主動區域的第三層,其中第三層沿著第一方向在第二層之上。在一些實施例中,方法包括形成包括第三金屬軌的第四層,其中第四層沿著第一方向在第三層之上。在一些實施例中,方法包括形成包括第四金屬軌的第五層,其中第五層沿著第一方向在第四層之上。在一些實施例中,第一金屬軌藉由第二金屬軌向電晶體的主動區域提供一電源電壓,其中第三金屬軌將從或向電晶體提供一電信號。在一些實施例中,方法進一步包括:形成一第一通路接點以將第一金屬軌電耦接到第二金屬軌;形成一第二通路接點以將第二金屬軌電耦接到電晶體的主動區域。
本描述的一個態樣係關於一種積體電路。在一些實施例中,積體電路包括第一層,第一層包括電晶體的主動區域。在一些實施例中,積體電路包括第二層,第二層包括直接耦接到電晶體的主動區域的第一側的第一金屬軌,其中第二層沿著第一方向在第一層之上。在一些實施例中,積體電路包括第三層,第三層包括藉由第一通路接點耦接到電晶體的主動區域的第二側的第二金屬軌,其中第二側背對第一側。在一個態樣中,第一層沿著第一方向在第三層之上。在一些實施例中,積體電路包括第四層,第四層 包括藉由第二通路接點耦接到第二金屬軌的第三金屬軌,其中第三層沿著第一方向在第四層之上。在一些實施例中,積體電路包括電晶體的另一主動區域。在一些實施例中,第二層包括直接耦接到電晶體的另一主動區域的第一側的第四金屬軌。在一些實施例中,第三層包括藉由第三通路接點耦接到電晶體的另一主動區域的第二側的第五金屬軌。第三金屬軌可藉由第二金屬軌向電晶體的主動區域提供電源電壓,其中第五金屬軌可向或從電晶體的另一主動區域提供電信號。
前述概述了若干實施例的特徵,使得熟習此項技術者可更好地理解本揭示案的諸態樣。熟習此項技術者應當理解,他們可容易地將本揭示案用作設計或修改其他製程與結構的基礎,以用於實施與本文介紹的實施例相同的目的及/或實現相同的優點。熟習此項技術者亦應當認識到,此類等同結構不脫離本揭示案的精神及範疇,且在不脫離本揭示案的精神及範疇的情況下,它們可在本文進行各種改變、替換及變更。
200A:剖視圖
210A、210B:背側電源軌
240A、240C:背側金屬軌
250A、250C:通路接點
260A、260C:通路接點
262A、262C:源極區域
265A、265C:MD區域

Claims (10)

  1. 一種積體電路,包括:一第一層,包括一第一金屬軌;一第二層,包括一第二金屬軌,該第二層沿著一第一方向在該第一層之上;一第三層,包括一電晶體的一主動區域,該第三層沿著該第一方向在該第二層之上,該主動區域藉由該第二金屬軌及該主動區域之間的一通路接點耦接到該第二金屬軌;一第四層,包括一第三金屬軌,該第四層沿著該第一方向在該第三層之上;以及一第五層,包括一第四金屬軌,該第五層沿著該第一方向在該第四層之上。
  2. 如請求項1所述之積體電路,其中該第一金屬軌藉由該第二金屬軌向該電晶體的該主動區域提供一電源電壓。
  3. 如請求項1所述之積體電路,其中該第一金屬軌藉由一另一通路接點電耦接到該第二金屬軌。
  4. 如請求項1所述之積體電路,其中該第三金屬軌直接耦接到該電晶體的該主動區域的一第二側,以及該第四層包括一第五金屬軌,該第五金屬軌直接耦接到該電晶體的另一主動區域。
  5. 如請求項1所述之積體電路,進一步包括:一第六層,包括在該第一層與該第二層之間的一第五金屬軌,該第二金屬軌在一第二方向上延伸,該第五金屬軌在一第三方向上延伸,該第一方向與該第二方向及該第三方向垂直。
  6. 一種形成積體電路的方法,該方法包括:形成包括一第一金屬軌的一第一層;形成包括一第二金屬軌的一第二層,該第二層沿著一第一方向在該第一層之上;沿著該第一方向在該第二層之上形成一通路接點;形成包括一電晶體的一主動區域的一第三層,該第三層沿著該第一方向在該第二層之上,該通路接點電耦接於該主動區域及該第二金屬軌之間;形成包括一第三金屬軌的一第四層,該第四層沿著該第一方向在該第三層之上;以及形成包括一第四金屬軌的一第五層,該第五層沿著該第一方向在該第四層之上。
  7. 如請求項6所述之方法,其中該第一金屬軌藉由該第二金屬軌向該電晶體的該主動區域提供一電源電壓,其中該第三金屬軌將從或向該電晶體提供一電信號。
  8. 如請求項6所述之方法,進一步包括:形成一另一通路接點以將該第一金屬軌電耦接到該第二金屬軌。
  9. 一種積體電路,包括:一第一層,包括一電晶體的一主動區域;一第二層,包括直接耦接到該電晶體的該主動區域的一第一側的一第一金屬軌,該第二層沿著一第一方向在該第一層之上;一第三層,包括藉由一第一通路接點耦接到該電晶體的該主動區域的一第二側的一第二金屬軌,該第二側背對該第一側,該第一層沿著該第一方向在該第三層之上,該第一通路接點位於該主動區域的該第二側及該第二金屬軌之間;以及一第四層,包括藉由一第二通路接點耦接到該第二金屬軌的一第三金屬軌,該第三層沿著該第一方向在該第四層之上。
  10. 如請求項9所述之積體電路,進一步包括:該電晶體的另一主動區域;其中該第二層包括直接耦接到該電晶體的該另一主動區域的一第一側的一第四金屬軌;其中該第三層包括藉由一第三通路接點耦接到該電晶體的該另一主動區域的一第二側的一第五金屬軌,以及 該第三金屬軌藉由該第二金屬軌向該電晶體的該主動區域提供一電源電壓,其中該第五金屬軌將向或從該電晶體的該另一主動區域提供一電信號。
TW110106810A 2020-06-12 2021-02-25 積體電路及其形成方法 TWI794752B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/900,687 US11296070B2 (en) 2020-06-12 2020-06-12 Integrated circuit with backside power rail and backside interconnect
US16/900,687 2020-06-12

Publications (2)

Publication Number Publication Date
TW202213161A TW202213161A (zh) 2022-04-01
TWI794752B true TWI794752B (zh) 2023-03-01

Family

ID=77808932

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110106810A TWI794752B (zh) 2020-06-12 2021-02-25 積體電路及其形成方法

Country Status (5)

Country Link
US (2) US11296070B2 (zh)
KR (2) KR20210154689A (zh)
CN (1) CN113451201A (zh)
DE (1) DE102020119415A1 (zh)
TW (1) TWI794752B (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11444073B2 (en) 2020-10-27 2022-09-13 Taiwan Semiconductor Manufacturing Co., Ltd. Power distribution network
US20220230947A1 (en) * 2021-01-18 2022-07-21 Samsung Electronics Co., Ltd. Backside power distribution network semiconductor architecture using direct epitaxial layer connection and method of manufacturing the same
US20240079407A1 (en) * 2022-09-07 2024-03-07 Qualcomm Incorporated Folded series switches

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201539718A (zh) * 2014-02-27 2015-10-16 Taiwan Semiconductor Mfg Co Ltd 積體電路佈局及半導體裝置
TW202013673A (zh) * 2018-09-28 2020-04-01 台灣積體電路製造股份有限公司 積體電路
US20200135646A1 (en) * 2018-10-26 2020-04-30 International Business Machines Corporation Power distribution networks for monolithic three-dimensional semiconductor integrated circuit devices
US20200135735A1 (en) * 2018-10-25 2020-04-30 Samsung Electronics Co., Ltd. Semiconductor device and method for making the same

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7260442B2 (en) 2004-03-03 2007-08-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for mask fabrication process control
US20100040838A1 (en) 2008-08-15 2010-02-18 Abdallah David J Hardmask Process for Forming a Reverse Tone Image
US9256709B2 (en) 2014-02-13 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit mask patterning
US9465906B2 (en) 2014-04-01 2016-10-11 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for integrated circuit manufacturing
US9865544B2 (en) * 2015-10-05 2018-01-09 Samsung Electronics Co., Ltd. Semiconductor device layout having a power rail
CN108292626B (zh) 2015-12-23 2024-03-08 英特尔公司 在双侧互连器件上制作和使用穿硅过孔
EP3229270A1 (en) * 2016-04-06 2017-10-11 IMEC vzw Integrated circuit power distribution network
CN109643742A (zh) 2016-08-26 2019-04-16 英特尔公司 集成电路器件结构和双侧制造技术
DE112016007504T5 (de) 2016-12-07 2019-09-26 Intel Corporation Integriertes Schaltungs-Bauelement mit zinnenartigem Metall-Leiterbahn-Layout
US10700207B2 (en) 2017-11-30 2020-06-30 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device integrating backside power grid and related integrated circuit and fabrication method
DE102018127446B4 (de) * 2017-11-30 2023-11-16 Taiwan Semiconductor Manufacturing Co. Ltd. Metallschienenleiter für nichtplanare Halbleiterbauteile und Verfahren zur Bildung derselben
US11055469B2 (en) * 2018-07-31 2021-07-06 Taiwan Semiconductor Manufacturing Company Ltd. Power structure with power pick-up cell connecting to buried power rail
DE102019121157B4 (de) * 2018-09-06 2024-06-13 Taiwan Semiconductor Manufacturing Company, Ltd. Transfer-gate-struktur, layout, verfahren und system

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201539718A (zh) * 2014-02-27 2015-10-16 Taiwan Semiconductor Mfg Co Ltd 積體電路佈局及半導體裝置
TWI552314B (zh) * 2014-02-27 2016-10-01 台灣積體電路製造股份有限公司 積體電路佈局及半導體裝置
TW202013673A (zh) * 2018-09-28 2020-04-01 台灣積體電路製造股份有限公司 積體電路
US20200135735A1 (en) * 2018-10-25 2020-04-30 Samsung Electronics Co., Ltd. Semiconductor device and method for making the same
US20200135646A1 (en) * 2018-10-26 2020-04-30 International Business Machines Corporation Power distribution networks for monolithic three-dimensional semiconductor integrated circuit devices

Also Published As

Publication number Publication date
KR20210154689A (ko) 2021-12-21
DE102020119415A1 (de) 2021-12-16
US11296070B2 (en) 2022-04-05
US20210391318A1 (en) 2021-12-16
TW202213161A (zh) 2022-04-01
KR20220121761A (ko) 2022-09-01
CN113451201A (zh) 2021-09-28
US20220199608A1 (en) 2022-06-23
US11984441B2 (en) 2024-05-14

Similar Documents

Publication Publication Date Title
US11133254B2 (en) Hybrid power rail structure
TWI794752B (zh) 積體電路及其形成方法
CN109427768B (zh) 集成电路及其制造方法
US11775724B2 (en) Integrated circuit and method of manufacturing the same
US11275885B2 (en) Engineering change order cell structure having always-on transistor
TWI672557B (zh) 導電線結構、修改佈局圖的系統及產生修改佈局圖的方法
US11887978B2 (en) Power switch for backside power distribution
US20200021292A1 (en) Integrated circuit and method of manufacturing the same
US12009356B2 (en) Integrated circuit and method of forming the same
US20210279397A1 (en) Inverted integrated circuit and method of forming the same
CN114551472A (zh) 集成电路及其形成方法
US20220310584A1 (en) Active zones with offset in semiconductor cell
CN113053886A (zh) 集成电路结构
TWI785715B (zh) 半導體元件及形成半導體元件之方法
TW202320176A (zh) 積體電路
US20240258298A1 (en) Integrated circuit with backside power rail and backside interconnect
US11967596B2 (en) Power rail and signal conducting line arrangement
US20220336360A1 (en) Diagonal vias in semiconductor structures
CN115527943A (zh) 集成电路及其制造方法
CN116314175A (zh) 集成电路及其形成方法