TWI794240B - 用於電漿處理的處理工具及電漿反應器 - Google Patents

用於電漿處理的處理工具及電漿反應器 Download PDF

Info

Publication number
TWI794240B
TWI794240B TW107119619A TW107119619A TWI794240B TW I794240 B TWI794240 B TW I794240B TW 107119619 A TW107119619 A TW 107119619A TW 107119619 A TW107119619 A TW 107119619A TW I794240 B TWI794240 B TW I794240B
Authority
TW
Taiwan
Prior art keywords
bus
plasma
switch
chamber
workpiece
Prior art date
Application number
TW107119619A
Other languages
English (en)
Other versions
TW201905957A (zh
Inventor
肯尼士S 柯林斯
麥可R 萊斯
卡提克 拉馬斯瓦米
詹姆士D 卡度希
沙西德 羅夫
卡羅 貝拉
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US15/630,658 external-priority patent/US11114284B2/en
Priority claimed from US15/630,828 external-priority patent/US11355321B2/en
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW201905957A publication Critical patent/TW201905957A/zh
Application granted granted Critical
Publication of TWI794240B publication Critical patent/TWI794240B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • H01J37/32119Windows
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32513Sealing means, e.g. sealing between different parts of the vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32541Shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32577Electrical connecting means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • H01J37/32752Means for moving the material to be treated for moving the material across the discharge

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

一種用於電漿處理的處理工具包括腔室主體、工件支撐件、致動器、氣體分配器、電極組件與第一RF電源,該腔室主體具有內部空間,該內部空間提供電漿腔室,該腔室主體具有一頂板以及與頂板相對的一側上的開口,該工件支撐件固持工件,使得該工件的前表面的至少一部分面向該開口,該致動器在該腔室主體和該工件支撐件之間產生相對運動,使得該開口側向地移動橫越過該工件,該氣體分配器將處理氣體輸送到該電漿腔室,該電極組件包含複數個共面絲,該複數個共面絲側向地延伸通過該工件支撐件和該頂板之間的該電漿腔室,該複數個絲中的各個絲包含導體,該第一RF電源向該電極組件的該等導體提供第一RF功率以形成電漿。

Description

用於電漿處理的處理工具及電漿反應器
本揭示案係關於一種處理工具,其包括電漿腔室,如用於將膜沉積在工件(如半導體晶圓)上、蝕刻工件或處理工件的電漿腔室。
通常使用電容耦合電漿(CCP)源或電感耦合電漿(ICP)源產生電漿。基本的CCP源包含類似於平行板電容器的兩個金屬電極,在氣體環境中以一小距離分開該等兩個金屬電極。兩個金屬電極中的一個由固定頻率的射頻(RF)電源供應驅動,而另一個電極連接到RF接地,在兩個電極之間產生RF電場。產生的電場使氣體原子離子化,釋放電子。氣體中的電子被RF電場加速,並通過碰撞直接或間接地離子化氣體,而產生電漿。
基本的ICP源通常包含螺旋形或線圈形的導體。當RF電流流過導體時,在導體周圍形成RF磁場。RF磁場伴隨RF電場,其使氣體原子離子化並產生電漿。
各種處理氣體的電漿廣泛用於積體電路的製造。例如,電漿可以用於薄膜沉積、蝕刻和表面處理。
原子層沉積(ALD)是基於依順序使用氣相化學過程的薄膜沉積技術。部分ALD製程使用電漿為化 學反應提供必要的活化能。電漿增強的ALD製程可以在比非電漿增強(如,「熱」)ALD製程更低的溫度下執行。
在一個態樣中,一種用於電漿處理的處理工具包括腔室主體、工件支撐件、致動器、氣體分配器、電極組件與第一RF電源,該腔室主體具有內部空間,該內部空間提供電漿腔室,該腔室主體具有頂板以及與頂板相對的一側上的開口,該工件支撐件固持工件,使得該工件的前表面的至少一部分面向該開口,該致動器在該腔室主體和該工件支撐件之間產生相對運動,使得該開口側向地移動橫越過該工件,該氣體分配器將處理氣體輸送到該電漿腔室,該電極組件包含複數個共面絲(coplanar filaments),該複數個共面絲側向地延伸通過該工件支撐件和該頂板之間的該電漿腔室,該複數個絲中的各個絲包含導體,該第一RF電源向該電極組件的該等導體提供第一RF功率以形成電漿。
實施可包括以下特徵中的一個或多個。
工件支撐件可繞一旋轉軸旋轉,且該致動器可轉動該工件支撐件,使得該支撐件的旋轉承載該工件橫越過(across)該開口。
複數個共面絲可延伸橫越過楔形區域。該工件可完整切合(fit)在該楔形區域內,使得在操作中該工件的整個前表面暴露於電漿。工件可大於楔形區域,使得在操作中工件前表面的楔形部分暴露於電漿。該開口可以是楔形的。
複數個共面絲可以是線性絲,且不同的絲可具有不同的長度以界定楔形區域。複數個共面絲可平行延伸。複數個共面絲可均勻地間隔開。不同的絲可以以不同的角度定向。複數個共面絲可經定向使得在該楔形區域中產生的電漿密度在該楔形區域的頂點(apex)處比在該楔形區域的基部(base)處低。複數個共面絲可經定向,以具有相對於該開口下方的基板的部分的一運動方向成非零角度的縱軸。該非零角度可大於10°。
共面絲之間的間隔可足以避免在腔室內電極組件上方的區域和下方的區域之間的電漿區域狹縮(pinch)。腔室的底部可以是開啟的。該工具可包括在腔室頂板上的頂部電極。
複數個共面絲的導體的端可藉由遞迴式RF饋送結構連接到第一RF電源。複數個共面絲的導體的相對端可連接到共用總線。總線可在兩個相對的位置處連接到第一RF電源。
複數個共面絲的第一多重導體可連接到第一RF電源,及複數個共面絲的第二多重導體可以是浮動的(floating)或接地。複數個共面絲的導體的第一端可通過共用總線耦接至第一RF電源。第一組的導體和第二組的導體可經佈置沿垂直於絲的縱軸的方向交替。
在另一態樣中,電漿反應器包括腔室主體、氣體分配器、工件支撐件、電極組件、第一RF電源與介電底板,該腔室主體具有內部空間,該內部空間提供電漿腔室,該氣體分配器將處理氣體輸送到該電漿腔室,該工件支撐件固持工件,該電極組件包含複數個導體,該複數個導體以一平行共面陣列的方式與該工件支撐件間隔開且側向地延伸橫越過該工件支撐件,該第一RF電源向該電極組件提供第一RF功率,該介電底板在該電極組件和該工件支撐件之間,該介電底板在該電極組件和該電漿腔室之間提供一RF窗。
實施可包括以下特徵中的一個或多個。
複數個導體可定位於介電頂板和介電窗之間。介電頂板可以是陶瓷體,且介電底板可以是石英或氮化矽。
底板的下表面可具有複數個平行槽,且複數個平行共面導體可定位於該複數個平行槽中。複數個絲可定位於複數個槽中。每個絲可包括導體和圍繞導體的非金屬殼。殼可形成導管,且導體可懸掛在導管中並延伸通過導管。導體可包括中空導管。
複數個導體可塗覆在介電頂板上。複數個導體可嵌入介電頂板中。
複數個導體可均勻地間隔開。工件支撐件和複數個導體之間的間隔可以是2mm至50cm。
複數個導體可包括第一多重導體和第二多重導體,該第二多重導體以與該第一多重導體交替的模式佈置。RF電源可經配置將第一RF輸入信號施加於第一多重導體,以及將第二RF輸入信號施加於第二多重導體。RF電源可經配置以相同的頻率生成第一RF信號和第二RF信號。RF電源可經配置生成第一RF信號和第二RF信號,使得第一RF信號和第二RF信號之間的相位差是180°。RF電源可經配置在第一RF信號和第二RF信號之間提供可調整的相位差。
複數個導體可在電漿腔室的第一側具有複數個第一端,以及在電漿腔室的相對的第二側具有複數個第二端。RF電源可經配置將第一RF輸入信號施加於第一多重導體的第一端,以及將第二RF輸入信號施加於第二多重導體的第二端。第一多重導體的第二端可以是浮動的,以及第二多重導體的第一端可以是浮動的。第一多重導體的第一端可連接到第一共用總線,以及第二多重導體的第二端可連接到第二共用總線。第一多重絲可接地,以及第二多重絲的第一端可接地。
第一多重導體的第一端可連接到位於腔室的第一側上的電漿腔室外部的第一共用總線,以及第二多重導體的第二端可連接到位於腔室的第二側上的電漿腔室外部的第二共用總線。第一多重導體的第二端可連接到位於腔室的第二側上的電漿腔室外部的第三共用總線,以及第二多重導體的第一端可連接到位於腔室第一側上的電漿腔室外部的第四共用總線。
在另一態樣中,電漿反應器包括腔室主體、氣體分配器、泵、工件支撐件、腔室內電極組件、第一總線與一第二總線、RF電源及至少一個RF開關,該腔室主體具有內部空間,該內部空間提供電漿腔室,該氣體分配器將處理氣體輸送到該電漿腔室,該泵耦接該電漿腔室以抽空該腔室,該工件支撐件固持工件,該腔室內電極組件包含複數個絲,該複數個絲側向地延伸通過該電漿腔室的頂板和該工件支撐件之間的該電漿腔室,每個絲包含由圓柱形絕緣殼包圍的導體,其中該複數個絲包含第一多重絲和第二多重絲,該第二多重絲與該第一多重絲以一交替的模式佈置,該第一總線耦接該第一多重絲,該第二總線耦接該第二多重絲,該RF電源將RF信號施加於該腔室內電極組件,該至少一個RF開關經配置可控制地將該第一總線與以下各者中的一者電耦接及去耦:i)地、ii)RF電源或iii)該第二總線。
實施可包括以下特徵中的一個或多個。
該至少一個RF開關可包括在該第一總線與以下各者中的一者之間並聯連接的複數個RF開關:i)地、ii)RF電源或iii)第二總線。
至少一個RF開關可經配置可控制地將第一總線與第二總線電耦接和去耦。該至少一個RF開關可包括在第一總線與第二總線上的不同對位置之間並聯連接的複數個開關,以可控制地將該第一總線與該第二總線電耦接和去耦。
該至少一個RF開關可包括第一開關,該第一開關經配置可控制地將該第一總線與地電耦接和去耦,且包括至少一個第二RF開關,該第二RF開關經配置可控制地將該第二總線與地電耦接和去耦。該至少一個RF開關可包括在第一總線上的不同位置和地之間並聯連接的第一複數個開關,以及該至少一個第二開關可包括在第二總線上的不同位置和地之間並聯連接的第二複數個開關。第一總線上的不同位置可包括第一總線的相對端,以及第二總線上的不同位置可包括第二總線的相對端。
該至少一個RF開關可包括在第一總線上的不同位置和RF電源之間並聯連接的第一複數個開關,以及該至少一個第二開關可包括在第二總線上的不同位置和RF電源之間並聯連接的第二複數個開關。第一總線上的不同位置可以包括第一總線的相對端,以及第二總線上的不同位置可以包括第二總線的相對端。該至少一個RF開關可包括在第一總線上的不同位置與該RF電源之間並聯連接的第一複數個開關,且該至少一個第二開關可包括在第二總線上的不同位置與地之間並聯連接的第二複數個開關。第一總線上的不同位置可以包括第一總線的相對端,以及第二總線上的不同位置可以包括第二總線的相對端。
該至少一個RF開關包括第一開關,以及包括至少一個第二開關,第一開關經配置可控制地將第一總線與RF電源電耦接和去耦,至少一個第二開關經配置可控制地將第二總線與RF電源電耦接和去耦。
某些實施可包括第三總線與第四總線,該第三總線耦接第一多重絲,該第四總線耦接第二多重絲,其中該複數個絲具有複數個第一端和複數個第二端,且各個相應絲的第一端比相應絲的第二端更靠近該電漿腔室的第一側壁,及其中該第一總線耦接至該第一多重絲的該等第一端,該第二總線耦接至該第二多重絲的該等第一端,該第三總線耦接至該第一多重絲的該等第二端,以及該第四總線耦接至該第二多重絲的該等第二端。
該至少一個RF開關可經配置可控制地將第一總線與第二總線電耦接和去耦,以及可包括至少一個第二RF開關,該至少一個第二RF開關經配置可控制地將第三總線與第四總線電耦接和去耦。
該至少一個RF開關可包括第一開關,該第一開關經配置可控制地將該第一總線與地電耦接和去耦,且可包括至少一個第二RF開關,該第二RF開關經配置可控制地將該第三總線與地電耦接和去耦。
RF源可通過第一分接頭(tap)耦接到第四總線以及通過第二分接頭耦接到第二總線。
某些實施可包括至少一個第三RF開關,第三RF開關經配置可控制地將第三總線與地耦接和去耦,以及包括至少一個第四RF開關,第四RF開關經配置可控制地將第四總線與地電耦接和去耦。該至少一個RF開關可包括第一開關,該第一開關經配置可控制地將該第一總線與地電耦接和去耦,且包括至少一個第二RF開關、至少一個第三RF開關,該第二RF開關經配置可控制地將該第二總線與該RF源電耦接和去耦,該第三RF開關經配置可控制地將該第三總線與地電耦接和去耦,且包括至少一個第四RF開關,該第四RF開關經配置可控制地將該第四總線與該RF源電耦接和去耦。
該至少一個RF開關包括第一開關,該第一開關經配置可控制地將該第一總線與該RF源電耦接和去耦,且包括至少一個第二RF開關、至少一個第三RF開關,該第二RF開關經配置可控制地將該第二總線與該RF源電耦接和去耦,該第三RF開關經配置可控制地將該第三總線與RF源電耦接和去耦,且包括至少一個第四RF開關,該第四RF開關經配置可控制地將該第四總線與該RF源電耦接和去耦。
在另一態樣中,電漿反應器包括腔室主體、氣體分配器、泵、工件支撐件、腔室內電極組件、總線、RF電源及複數個RF開關,該腔室主體具有內部空間,該內部空間提供電漿腔室,該氣體分配器將處理氣體輸送到該電漿腔室,該泵耦接該電漿腔室以抽空該腔室,該工件支撐件固持工件,該腔室內電極組件包含複數個絲,該複數個絲側向地延伸通過該電漿腔室的頂板和該工件支撐件之間的該電漿腔室,每個絲包含由圓柱形絕緣殼包圍的導體,該總線在該腔室外部且耦接該複數個絲的相對端,該RF電源將RF信號施加於該腔室內電極組件,該複數個RF開關經配置可控制地將總線上的複數個不同位置與以下各者中的一者電耦接和去耦:i)地或ii)該RF電源。
某些實施可具有以下優點中的一個或多個。可改善電漿均勻性。可改善電漿製程的可重複性。可減少金屬污染。可減少粒子產生。可減少電漿充電損壞。在不同的製程操作條件下可保持電漿的均勻性。可改善電漿功率耦合效率。對於給定尺寸的工件,可減小電漿區域大小。可改善電漿製程產量。工件可連續地被承載通過多個腔室,同時保持在支撐件上。可補償暴露於電漿期間的相對速度的影響,因此可改善晶圓內的均勻性。通過切換(switching)可減少電漿區域的局部不均勻性的影響,因此可改善晶圓內的均勻性。可提供低阻抗RF接地。可減少粒子產生。可減少電漿充電損壞。在不同的製程操作條件下可保持電漿的均勻性。可改善電漿功率耦合效率。可實現與氣體分配噴頭整合的接地頂部電極,用於以均勻的方式引入氣體,而不會在噴頭孔中產生不必要的氣體分解。
在傳統的電漿反應器中,工件在反應腔室內保持靜止。在靜止工件上方產生電漿區域,其接著處理工件表面。然而,某些電漿處理應用可以受益於使工件移動通過電漿區域,即電漿區域和工件之間的相對運動。另外,對於某些工具,基板在不同的腔室之間移動以進行一系列處理步驟。
實現工件和電漿區域之間的相對運動的一種方法是通過將工件放置在沿著線性路徑(如傳送帶)移動的工件支撐件上。在這樣的配置中,工件可在通過電漿區域的一個方向上進行單次通過以及在腔室的另一側離開。這對於某些順序過程可能是有利的,在其中工件作為製造過程的部分行進通過不同類型的多個腔室。
實現工件和電漿區域之間的相對運動的另一種方法是通過將工件放置在旋轉的工件支撐件上。旋轉工件支撐件能夠在不改變行進方向的情況下多次通過電漿區域,這可以提高產量,因為工件支撐件不需要連續地改變其行進方向。然而,如果支撐件旋轉,則工件的不同區域可能相對於區域電漿以不同的速度移動。
在傳統的CCP源中,電漿均勻性通常由電極尺寸和電極間的距離以及氣體壓力、氣體成分和施加的RF功率決定。在較高的射頻下,由於駐波或集膚效應(skin effect)的存在,附加效應(additional effects)可能變得顯著或甚至主導非均勻性。在較高頻率和電漿密度下,這種附加效應變得更加明顯。
傳統ICP源中的電漿均勻性通常由ICP線圈的配置決定,包括其尺寸、幾何形狀、到工件的距離和相關的RF窗位置,以及氣體壓力、氣體成分和功率。在多個線圈或線圈段的情況下,如果以相同頻率驅動,則電流或功率分佈及其相對相位也可能是顯著因素。由於集膚效應,功率沉積傾向於在ICP線圈下方或附近的幾公分內發生,以及這種局部化功率沉積通常導致反映線圈幾何形狀的製程不均勻性。這種電漿不均勻性導致工件上的電位差,這也可能導致電漿充電損壞(如電晶體閘極介電破裂)。
通常需要大的擴散距離以改善ICP源的均勻性。然而,由於低功率耦合,具有厚RF窗的傳統ICP源在高氣壓下通常效率低,這使得有高驅動電流,而導致高電阻功率損耗。相反地,腔室內電極組件不需要具有RF窗,而只需要具有圓柱形殼。這可以提供更好的功率耦合和更高的效率。
在具有移動的工件支撐件的電漿腔室中,移動的工件支撐件可以透過例如旋轉汞耦接器、電刷或滑環作DC接地。然而,移動的工件支撐件可能無法在射頻下充分接地。RF接地路徑應具有比電漿低得多的阻抗,以使其成為足夠的RF接地。缺乏足夠的RF接地路徑可能使得難以控制工件處的離子能量及降低製程的可重複性。
因此,需要具有以下性質的電漿源:其可以在工件尺寸上有效地產生具有所需特性(電漿密度、電子溫度、離子能量、離解等)的均勻電漿;其可以對操作窗調節均勻性(如壓力、功率、氣體成分);即使工件移動,它也具有穩定和可重複的電氣性能;以及它不會產生過多的金屬污染物或顆粒。腔室內電極組件可能更好地提供這些性質中的一個或多個。
圖1是處理工具的實例的示意性側視圖。處理工具100具有包圍內部空間104的腔室主體102。內部空間104可以是圓柱形的,如用於容納圓形工件支撐件。內部空間的至少部分用作電漿腔室或電漿反應器。腔室主體102具有支撐件106,用於為內部空間104內的各種部件提供機械支撐。例如,支撐件106可以為頂部電極108提供支撐。頂部電極可以懸掛在內部空間104內且可以與頂板間隔開、鄰接頂板或是形成頂板的一部分。腔室主體102的側壁的某些部分可以獨立於頂部電極108而接地。
氣體分配器110位於處理工具100的電漿反應器部分的頂板附近。在一些實施中,氣體分配器110與頂部電極108整合為單個部件。氣體分配器110連接到氣體供應112。氣體供應112將一個或多個處理氣體輸送到氣體分配器110,處理氣體的組成可取決於待施行的製程,如沉積或蝕刻。
真空泵113耦接到內部空間104以抽空處理工具。對於某些製程,腔室在Torr範圍內操作,及氣體分配器110供應氬、氮、氧和/或其他氣體。
用於支撐工件115的工件支撐件114定位在處理工具100中。工件支撐件114具有面向處理工具100的頂板的工件支撐表面114a。例如,工件支撐表面114a可以面向頂部電極108。工件支撐件114可操作地繞軸150旋轉。例如,致動器152可以轉動驅動軸154以旋轉工件支撐件114。在一些實施中,軸150與工件支撐件114的中心重合(coincident)。
在一些實施中,工件支撐件114包括工件支撐件114內部的工件支撐電極116。在一些實施中,工件支撐電極116可接地或連接到接地的阻抗或電路。在一些實施中,RF偏壓功率產生器142透過阻抗匹配144耦接到工件支撐電極116。工件支撐電極116可另外包括靜電卡盤,以及工件偏壓電壓供應118可連接到工件支撐電極116。RF偏壓功率產生器142可用於產生電漿、控制電極電壓或電極鞘(sheath)電壓,或控制電漿的離子能量。
此外,工件支撐件114可以具有內部通道119,其用於加熱或冷卻工件115。在一些實施中,嵌入式電阻加熱器可以設置在內部通道119內部。
在一些實施中,透過來自位於底部內部空間133內的加熱元件的輻射、對流或傳導加熱工件支撐件114。
腔室內電極組件120定位在頂部電極108和工件支撐件114之間的內部空間104中。此電極組件120包括一個或多個共面絲300,其在腔室中側向地延伸且在工件支撐件114的支撐表面114a上方。在工件支撐件114上方的電極組件120的共面絲的至少一部分平行於支撐表面114a延伸。儘管圖1的左側繪示絲300平行於工件115的運動方向(進出頁面),但是絲300可以是在相對於運動方向的非零角度處,如實質垂直於運動方向。
頂部間隙130形成在頂部電極108和腔室內電極組件120之間。底部間隙132形成在工件支撐件114和腔室內電極組件120之間。
內部空間104可以通過阻障物分成一個或多個區域101a、101b,該等區域中的至少一個用作電漿腔室。阻障物在工件支撐件上方界定一個或多個開口123。在一些實施中,電極組件120定位在開口123內。在一些實施中,電極組件放置在開口123上方。在一些實施中,阻障物由支撐件106一體成型地形成,及開口123形成在支撐件106上。在一些實施中,形成於支撐件106上的開口123經配置以支撐電極組件120。
電極組件120由RF電源122所驅動。RF電源122可以以1MHz至超過300MHz的頻率向電極組件120的一個或多個共面絲供電。對於某些製程,RF電源120以60MHz的頻率提供100W至大於2kW的總RF功率。
在一些實施中,可能需要選擇底部間隙132以使電漿產生的自由基、離子或電子與工件表面交互作用。間隙的選擇取決於應用及取決於操作方法。對於需要將自由基通量(但是非常低的離子/電子通量)輸送到工件表面的一些應用,可選擇在較大間隙和/或較高壓力下的操作。對於需要將自由基通量和大量電漿離子/電子通量輸送到工件表面的其他應用,可選擇在較小間隙和/或較低壓力下的操作。例如,在一些低溫電漿增強ALD製程中,處理氣體的自由基對於ALD膜的沉積或處理是必需的。自由基是具有不成對價電子的原子或分子。自由基通常對其他物質具有高度化學活性。自由基與其他化學物質的反應常在膜沉積中扮演重要角色。然而,自由基由於其高化學活性而通常是短生命週期的,因此在其生命週期期間無法運送很遠。將自由基源(即作為電漿源的腔室內電極組件120)放置在靠近工件115的表面的位置可以向表面增加自由基的供應,以改善沉積製程。
自由基的生命週期通常取決於周圍環境的壓力。因此,提供令人滿意的自由基濃度之底部間隙132的高度可以根據操作期間的預期腔室壓力而改變。在一些實施中,如果腔室在1至10Torr範圍內的壓力下操作,則底部間隙132小於1cm。在其他(較)低溫度的電漿增強ALD製程中,暴露於電漿離子通量(與伴隨的電子通量)以及自由基通量可能是沉積和處理ALD膜所必需的。在一些實施中,如果腔室在1-10Torr範圍內的壓力下操作,則底部間隙132小於0.5cm。由於相對於距離的體積重組率較低,較低的操作壓力可在較大的間隙下操作。在其他應用中(如蝕刻),通常使用較低的操作壓力(小於100mTorr)且可增加間隙。
在底部間隙132較小的這種應用中,由電極組件120產生的電漿可能在絲之間具有顯著的不均勻性,這可能對工件的處理均勻性不利。藉由使工件移動通過具有空間不均勻性的電漿,可以藉由時間平均效應(即在單次通過電漿之後,工件的任何給定區域接收的累積電漿用量是實質相似的)來減輕電漿空間不均勻性對製程的影響。
可以選擇足夠大的頂部間隙以使電漿在腔室內電極組件和頂部電極(或腔室頂部)之間發展。在一些實施中,如果腔室在1-10Torr範圍內的壓力下操作,則頂部間隙130可以在0.5-2cm之間,如1.25cm。
可以以各種方式配置頂部電極108。在一些實施中,頂部電極連接到RF接地140。在一些實施中,頂部電極是電隔離的(「浮動的(floating)」)。在一些實施中,頂部電極108偏置為偏壓電壓。偏壓電壓可以用於控制所產生的電漿的特性(包括離子能量)。在一些實施中,用RF信號驅動頂部電極108。例如,相對於已經接地的工件支撐電極116驅動頂部電極108可以增加工件115處的電漿電位。增加的電漿電位可以使得離子能量增加到所需的值。
頂部電極108可以由不同的製程兼容材料形成。製程可計算性的各種標準包括材料對處理氣體蝕刻的抵抗力和對來自離子撞擊的濺射的抵抗力。此外,在材料用量被蝕刻的情況下,製程兼容的材料優先地形成揮發性或氣態化合物(其可以通過真空泵113抽空),且不形成可能污染工件115的粒子。因此,在一些實施中,頂部電極由矽製成。在一些實施中,頂部電極由碳化矽製成。
在一些實施中,可省略頂部電極108。在這樣的實施中,RF接地路徑可由工件支撐電極、電極組件120的共面絲的子集提供,或由腔室壁或與電漿連通的其他以地面為參考的(ground-referenced)表面來提供。
在一些實施中,流體供應146使流體循環通過腔室內電極組件120中的通道。在一些實施中,熱交換器148耦接到流體供應146以移除熱或向流體供應熱。
取決於腔室配置和供應的處理氣體,處理工具100中的電漿反應器可以提供ALD設備、蝕刻設備、電漿處理設備、電漿增強化學氣相沉積設備、電漿摻雜設備或電漿表面清洗設備。
圖2A是處理工具200的實例的示意性頂視圖。除了如所描述的之外,處理工具200類似於處理工具100。處理工具200具有圓柱形腔室主體202、具有圓柱形狀的內部空間204、支撐件206、電極組件220和前驅物站260。支撐件206位於處理工具200的中心,以及形成多個徑向隔件270將內部空間204分隔成多處理區域。例如,多個處理區域可以經配置具有楔形的形狀(如圓形截面或等邊三角形),或者可能在頂點處被切除。處理區域可以以各種方式配置以針對處理工具200的操作達到所需的各種功能。
前驅物處理區域經配置用(如用於ALD製程的)一個或多個前驅物來處理工件115。例如,定位於前驅物處理區域280a內的第一前驅物站260a可以經配置流動或泵送化學前驅物A,使得當工件115在前驅物站260a下移動時,處理工件115。然後,前驅物站260a可以用化學前驅物B處理工件115,準備工件115的表面,如用於ALD成膜電漿的表面處理。
在一些實施中,前驅物處理區域280包括具有用於相應化學前驅物的相應前驅物站260的多個次區域。在一些實施中,次區域沿著工件115的路徑依序佈置。在一些實施中,在前驅物表面處理期間停止工件115的移動。在一些實施中,工件115連續地移動通過前驅物處理區域280。
氣體隔離區281經配置提供多個處理區域(如第一處理區域和第二處理區域)的個別處理環境的空間隔離。氣體隔離區281可包括第一泵送區282、淨化區283和第二泵送區284,每個區域由相應的徑向隔件270分開。在傳統系統中,處理環境的隔離可由第一和第二處理區域之間的氣密密封件來提供。然而,由於旋轉的工件支撐件114,提供這種密封可能是不實際的。相反地,可以藉由在第一和第二處理區域之間***氣體隔離區281來提供足以用於電漿處理應用(如ALD)的隔離水平。
參考圖2B,繪示處理工具200的一部分沿截面線B的橫截面圖。在操作期間,與第一處理區域(如前驅物處理區域280a)相鄰的第一泵送區282產生相對於第一處理區域的負壓差。例如,可以使用真空泵產生負壓差。該負壓差使得從第一處理區域洩漏出的處理氣體透過第一泵送區282被泵出,如箭頭所示。類似地,與第二處理區域相鄰的第二泵送區284提供相對於第二處理區域(如電漿處理區域285a)的負壓差。
位於第一泵送區282和第二泵送區284之間的淨化區283供應淨化氣體。淨化氣體的實例包括非活性氣體,如氬和氮。由於第一和第二泵送區產生的負壓差,由淨化區283供應的淨化氣體被泵送到第一和第二泵送區,如箭頭所示。淨化氣體的存在可以防止第一和第二處理區域的相應處理氣體彼此混合,第一和第二處理區域的相應處理氣體彼此混合可能引起不必要的化學反應,而導致不必要的沉積、蝕刻或殘餘物產生。
第一間隙高度H1 提供徑向隔件270和工件支撐件114之間的空隙。可以基於提供足夠的空隙讓工件115通過,同時減少處理氣體洩漏到泵送區282和284中,來決定第一間隙高度。例如,第一間隙高度可以在2-4mm範圍內,如3mm。
返回參考圖2A,電漿處理區域285經配置用電漿處理工件115。例如,位於電漿處理區域285a內的電極組件220a可以產生用於處理工件115的表面的電漿。已經移動通過氣體隔離區281的工件115的經前驅物處理的表面用電極組件220a產生的電漿處理。在一些實施中,電漿處理完成第一ALD膜的單一原子層的沉積循環。
在一些實施中,電極組件220以如圖所示的矩形形成。在一些實施中,電極組件220以楔形形成。
返回參考圖2B,在一些實施中,透過鄰近電極組件220形成的氣體入口210來提供用於電漿處理區域285的處理氣體。具體言之,氣體入口210可以設置在鄰近電漿處理區域285a的氣體隔離區281的邊緣處。例如,可以在隔件270中的一個隔件與電極組件220a的外壁221之間形成通道。
第二間隙高度H2 在電極組件220和工件支撐件114之間提供空隙。可以基於提供足夠的空隙讓工件115通過及把處理氣體提供給電極組件220的的內部區域,同時減少處理氣體洩漏到泵送區282和284中,來決定第二間隙高度。例如,第二間隙高度可以在1-3mm範圍內,如2mm。在一些實施中,氣體入口形成在工件115的進入側。在一些實施中,氣體入口朝向電極組件的徑向外邊緣形成,其在腔室壁202附近。在一些實施中,氣體入口朝向工件支撐件114的中心形成,如在軸150附近。
在一些實施中,頂部電極208形成為電極組件220a的一部分或由電極組件220a支撐。例如,頂部電極208可以由頂板221a支撐。
參考圖2C,繪示處理工具200的一部分沿著截面線C的橫截面圖。在一些實施中,如圖所示,支撐件206經配置為電極組件220a和220b提供機械支撐。
在一些實施中,處理工具200包括第二前驅物處理區域280b和第二電漿處理區域285b。區域280b和285b可以經配置沉積第二ALD膜。在一些實施中,第二ALD膜與區域280a和285a沉積的第一ALD膜相同。這樣的實施可改善單一ALD膜的沉積速度。在一些實施中,第二ALD膜與第一ALD不同。在這樣的實施中,可以以交替方式沉積兩個不同的ALD膜。一般來說,處理工具200可以經配置沉積2個、3個、4個或更多個類型的ALD膜。
一般來說,工件115可以進行單次通過或者可以多次通過處理區域。例如,可以交替旋轉方向以多次通過特定處理區域。
一般來說,處理區域可以以任何順序排列。例如,前驅物處理區域之後可以是具有相同或不同電漿特性的2個不同的電漿處理區域。
關於圖1或圖2A-2C,電極組件120或220包括一個或多個共面絲300,其在腔室中側向地延伸且在工件支撐件的支撐表面上方。在工件支撐件上方的電極組件的至少一部分共面絲平行於支撐表面延伸。絲300可以在相對於運動方向的非零角度處,如實質垂直於運動方向。
電極組件可包括圍繞電極電漿腔室區域的側壁221。側壁可以由製程兼容材料形成,如石英。在一些實施中,絲側向地突出側壁。在一些實施中,絲300的端延伸出電極組件的頂板並轉向以提供平行於工件的支撐表面的部分(見圖2C)。
圖3A-C是腔室內電極組件的絲的各種實例的示意圖。參考圖3A,表示腔室內電極組件120的絲300。絲300包括導體310和圓柱形殼320,圓柱形殼320圍繞導體310並沿導體310延伸。通道330由導體310和圓柱形殼320之間的間隙形成。圓柱形殼320由與製程兼容的非金屬材料形成。在一些實施中,圓柱形殼是半導電的。在一些實施中,圓柱形殼是絕緣的。
導體310可以由各種材料形成。在一些實施中,導體310是實心線,如直徑為0.063”的單一實心線。或者,導體310可以由多股絞合線提供。在一些實施中,導體含有3個平行的0.032”絞合線。多股絞合線可以透過集膚效應降低RF功率損耗。在一些實施中,導體310由李茲線(Litz wire)形成,其可進一步減少集膚效應。
使用具有高導電率的材料(如高於107 西門子/公尺(Siemen/m)),這可以減少電阻功率損耗。在一些實施中,導體310由銅或銅合金製成。在一些實施中,導體由鋁製成。
不必要的材料濺射或蝕刻可能導致製程污染或顆粒形成。無論腔室內電極組件120是用作CCP或是用作ICP源,都可能發生不必要的濺射或蝕刻。不必要的濺射或蝕刻可能是由電極表面的過量離子能量引起的。當作為CCP源操作時,需要圍繞圓柱形殼的振盪電場來驅動電漿放電。因為所有已知材料的濺射能量閾值都低於CCP源的相應最小工作電壓,此振盪引起材料的濺射或蝕刻。當作為ICP源操作時,絲300與電漿的電容耦合在附近表面處產生振盪電場,這也引起材料的濺射。藉由對暴露於內部空間104(如圓柱形殼320)的絲300的外表面使用製程兼容材料,可以減輕不必要的材料濺射或蝕刻所引起的問題。
在一些實施中,圓柱形殼320由製程兼容材料形成,例如矽(如高電阻率矽)、氧化物材料、氮化物材料、碳化物材料、陶瓷材料或以上各者之組合。氧化物材料的實例包括二氧化矽(如,矽石、石英)和氧化鋁(如藍寶石)。碳化物材料的實例包括碳化矽。對於某些化學環境(包括含氟環境或含碳氟化合物的環境),可能需要陶瓷材料或藍寶石。在含有氨、二氯矽烷、氮和氧的化學環境中,可能需要矽、碳化矽或石英。
在一些實施中,圓柱形殼320具有0.1mm至3mm的厚度,如2mm。
在一些實施中,在通道330中提供流體。在一些實施中,流體是非氧化性氣體,以淨化氧氣以減輕導體310的氧化。非氧化性氣體的實例是氮和氬。在一些實施中,如藉由流體供應146使非氧化性氣體連續地流過通道330,以去除殘留的氧或水蒸氣。
加熱導體310可以使導體更易於氧化。流體可以為可能從供應的RF功率所加熱的導體310提供冷卻。在一些實施中,如藉由流體供應146使流體循環通過通道330,以提供強制對流溫度控制,如冷卻或加熱。
在一些實施中,流體可接近或高於大氣壓力以防止流體分解(breakdown)。例如,可藉由提供高於100Torr的流體壓力,防止管中的氣體分解或不必要的電漿形成。
參考圖3B,在絲300的一些實施中,導體310具有塗層。在一些實施中,塗層是形成導體的材料的氧化物(如鋁導體上的氧化鋁)。在一些實施中,塗層是二氧化矽。在一些實施中,塗層在處理工具100的電漿反應器中原位形成,例如藉由矽烷、氫和氧的反應形成二氧化矽塗層。因為原位塗層可以在蝕刻或濺射時補充,原位塗層可能是有利的。原位塗層可以具有100nm至10μm的厚度範圍。
參考圖3C,在絲300的一些實施中,導體310是中空的,以及在導體310內部形成中空通道340。在一些實施中,如圖3A所述,中空通道340可以承載流體。製程兼容材料的塗層可以覆蓋導體310以提供圓柱形殼320。在一些實施中,塗層是形成導體的材料的氧化物(如 鋁導體上的氧化鋁)。在一些實施中,中空導體310具有2mm的外直徑,其中壁厚度為0.5mm。
圖4A是腔室內電極組件的一部分的示意圖。腔室內電極組件400包括在支撐件402處附接的多個共面絲300。電極陣列由多個共面絲300形成。電極組件400可以提供電極組件120。在一些實施中,至少在對應於工件已經被處理的區域上方,絲300彼此平行地延伸。
絲300彼此分開絲間距410。間距410可以影響電漿均勻性。如果間距太大,則絲會產生屏蔽(shadowing)和不均勻性。在另一方面,如果間距太小,則電漿不能在頂部間隙130和底部間隙132之間移動,以及不均勻性將會增加或自由基密度將降低。
一般來說,絲間距410所需的值取決於若干因素。這些因素的實例包括腔室壓力、RF功率、絲300與工件115之間的距離以及處理氣體成分。例如,當在較低壓力(如低於2Torr)下操作以及在絲和工件之間具有大的距離(如大於3mm)時,可增加絲間距410。
在一些實施中,絲間距410在整個組件400上是均勻的。絲間距410的範圍可以是3mm至20mm,如8mm。
圖4B-C是具有不同電漿區域狀態的腔室內電極組件的橫截面示意圖。參考圖4B,在一些操作條件下,電漿區域412圍繞絲300。這種操作條件的實例可包括以相同的RF信號(即「單極(monopolar)」)驅動所有的絲,且具有接地的頂部電極。電漿區域412具有上部電漿區域414和下部電漿區域416。上部電漿區域414可以位於頂部間隙130處,以及下部電漿區域416可以位於底部間隙132處。如圖4B所示,上部電漿區域414和下部電漿區域416透過絲300之間的間隙連接,而形成連續的電漿區域412。電漿區域412的這種連續性是可預期的,因為區域414和416透過電漿的交換而彼此「連通」。電漿的交換有助於保持兩個區域的電平衡,其有助於電漿的穩定性和可重複性。
參考圖4C,在此狀態下,上部電漿區域414和下部電漿區域416彼此不連接。對於電漿穩定性,不希望有電漿區域412的這種「狹縮」。可以藉由各種因素來改變電漿區域412的形狀,以去除電漿區域不連續性或改善電漿均勻性。
一般來說,區域412、414和416可以具有寬範圍的電漿密度,且不一定是均勻的。此外,圖4C中所示的上部電漿區域414和下部電漿區域416之間的不連續性代表相對於此兩個區域的實質上低的電漿密度,且不一定在間隙中完整沒有電漿。
在一些操作條件下,如頂部電極不存在或是浮動的,以及工件支撐電極接地,可能沒有形成電漿區域414,或者具有低電漿密度。
在一些實施中,腔室內電極組件400可以包括第一組和第二組絲300。第一組和第二組可以在空間上排列,使得絲在第一組和第二組之間交替。例如,第一組可以包括絲302,第二組可以包括絲300和304。第一組可以由RF電源422的第一終端422a驅動,及第二組可以由RF電源422的第二終端422b驅動。RF電源422可以經配置在終端422a處提供第一RF信號以及在終端422b處提供第二RF信號。第一和第二RF信號可以具有彼此相同的頻率和穩定的相位關係。例如,第一和第二RF信號之間的相位差可以是0度或180度。在一些實施中,由RF電源供應422提供的第一和第二RF信號之間的相位關係可以在0到360之間是可調整的。在一些實施中,RF供應422可以包括彼此相位鎖定的兩個個別的RF電源供應。
在一些操作條件下,例如,當第一和第二RF信號之間的相位差為180時,所得到的電漿區域可集中在絲之間。
頂部間隙130是影響電漿區域的形狀的因素。當頂部電極108接地時,減小頂部間隙130通常導致上部電漿區域414中的電漿密度的降低。可以基於電漿腔室的電腦模擬來決定頂部間隙130的特定值。例如,頂部間隙130可以是3mm至8mm,如4.5mm。
底部間隙132是影響電漿區域的形狀的因素。當工件支撐電極116接地時,減小底部間隙132通常使得下部電漿區域416中的電漿密度降低。可以基於電漿腔室的電腦模擬來決定底部間隙132的特定值。例如,底部間隙132可以是3mm至9mm,如4.5mm。
一般來說,腔室壓力是影響電漿區域形狀的因素。
圖5A和5B是腔室內電極組件配置的各種實例的示意圖。參考圖5A和5B,在一些實施中,電極組件106可以包括第一組導體120a和第二組導體120b。至少在電漿腔室104內,第一組和第二組導體120a、120b可以以交替的模式佈置。第一組可以由RF電源122的第一終端122a驅動,第二組可以由RF電源122的第二終端122b驅動。RF電源122可以經配置在終端122a處提供第一RF信號以及在終端122b處提供第二RF信號。第一和第二RF信號可以具有彼此相同的頻率和穩定的相位關係。例如,第一和第二RF信號之間的相位差可以是180度。藉由以具有180度相位差的RF信號驅動導體120a、120b,所得到的電漿分佈可以對電極116的不完美(imperfect)RF接地具有較低的敏感度。不受任何特定理論的限制,這可能是因為由於驅動信號的差分特性,RF電流透過相鄰的電極而返回。在一些實施中,由RF電源供應122提供的第一和第二RF信號之間的相位關係可以在0到360之間可調。
為了產生信號,來自RF電源供應的振盪器的不平衡輸出信號可以耦接到平衡不平衡轉換器(balun,平衡-不平衡轉換器(balance-unbalance transformer))124,平衡不平衡轉換器124在終端122a、122b上輸出平衡信號。或者,RF供應122可以包括彼此相位鎖定的兩個個別的RF電源供應。
參考圖5A,電極組件120包括第一電極子組件510和第二電極子組件520,第一電極子組件510包括第一組導體120a,第二電極子組件520包括第二組導體120b。第一電極子組件510的導體120a與第二電極子組件520的導體120b是呈叉指的(interdigited)。
子組件510、520各自具有多個平行的導體120a、120b,其延伸橫跨過腔室104。每個其他電極120(如電極120a)連接到腔室104的一側上的第一總線530。其餘的(交替的)電極120(即電極120b)各自連接到腔室104的另一側上的第二總線540。未連接到RF電源供應總線的各個導體120的端可以保持不連接,如浮動的。
第一總線530可以連接到第一終端122a,及第二總線可以連接到第二終端122b。第一電極子組件510和第二電極子組件520彼此平行定向,使得子組件510和520的導體彼此平行。
在一些實施中,連接導體120a、120b的總線530、540位於內部空間104的外部。這對於改善腔室104內的均勻性是更好的。然而,在一些實施中,連接導體120a、120b的總線530、540位於內部空間104中。
圖5B繪示類似於圖5A所示實施的電極組件106,但是沒有連接到RF電源供應總線的各個導體120的端可以接地,例如連接到接地的總線。例如,電極120a可以連接到腔室104作為第二總線540該側的第三總線550,以及電極120b可以連接到腔室104的與第一總線530相同側的第四總線560。各個總線550、560可以透過可調阻抗580(如阻抗匹配網路)接地。
對於圖5A或圖5B,可選地,可以在電極子組件510、520之間施加低頻共模偏壓。這可以可控制地增加電漿電位。
圖5C繪示腔室內電極組件106,其包括第一電極子組件522和第二電極子組件532,第一電極子組件522和第二電極子組件532經配置使得子組件522和532的絲以彼此成非零角度(如互相垂直)延伸。
可以以各種方式用RF信號驅動腔室內電極組件106。在一些實施中,以相對於RF接地的相同RF信號驅動子組件522和子組件532。在一些實施中,用差分RF信號驅動子組件522和子組件532。在一些實施中,用RF信號驅動子組件522,且子組件532連接到RF接地。
圖5D繪示腔室內電極組件106,其包括第一電極子組件524和第二電極子組件534,第一電極子組件524與第二電極子組件534重疊(overlaid)。第一電極子組件524和第二電極子組件534各自具有多個平行的絲300,多個平行的絲300通過總線530、540、550與560在相應總線的相應端處連接。第一電極子組件524和第二電極子組件534經配置使得子組件524和534的絲彼此平行,子組件524、534的絲以交替的模式佈置。
可以以各種方式用RF信號驅動腔室內電極組件106。在一些實施中,以相對於RF接地的相同RF信號驅動子組件524和子組件534。在一些實施中,用差分RF信號驅動子組件524和子組件534。在一些實施中,用RF信號驅動子組件524,且子組件534連接到RF接地。
在一些實施中,使用中心饋電590以單端方式用RF信號驅動腔室內電極組件106。中心饋電590在中心處連接到X形電流分離器592。使用垂直饋電結構將子組件524和534的四個角連接到X形電流分離器592。
一般來說,當不能提供足夠的RF接地時(如透過旋轉汞耦接器、電刷或滑環作RF接地),子組件510、522、524和相應的子組件520、532、534的差分驅動可以改善電漿均勻性或製程可重複性。
圖6A是處理工具650的實例的內部區域的示意性頂視圖。在處理工具650中,工件支撐件114繞軸150旋轉,以及工件支撐件114的旋轉使得工件115在電極組件600下方移動,穿過電極組件600產生的電漿區域。除非另有說明之外,否則處理工具650類似於處理工具200,及電極組件600類似於電極組件400。
當工件115繞軸150旋轉通過電漿區域時,工件的不同表面區域所經歷的速度以它們距軸150的徑向距離之函數而變化。例如,遠離軸150的工件區域比更靠近軸150的區域移動得更快。對於矩形或線性電漿區域,更遠離軸150的工件區域在電漿區域中經歷相應更短的停留時間。停留時間的這種徑向不均勻性導致工件上接收的電漿用量的不均勻性,而引起不必要的製程不均勻性。
補償前述停留時間不均勻性的一種方法是與晶圓的局部速度成比例地改變電漿區域的局部密度。例如,可以與距軸150的徑向距離成比例地增加局部電漿密度。藉由在局部速度較高區域處增加電漿密度,這些區域在其各自較短的停留時間內接收相等用量的電漿。然而,電漿密度的空間不均勻性可能導致工件表面的不均勻充電,從而在工件表面上產生電位差。根據晶粒尺寸和元件靈敏度,表面上足夠大的電位差(如大於2伏、5伏、10伏、15伏、25伏)可能導致對工件上所製造的元件的損壞,例如薄電晶體閘極介電層的介電崩潰。
補償停留時間不均勻性的另一種方法是藉由改變電漿區域的幾何形狀。可以改變電漿區域的幾何形狀,使得較高局部速度的區域行進通過電漿區域的相應較長部分以均衡(equalize)工件表面的不同區域的停留時間。對於圖6A所示的配置,可以用楔形電漿區域達到停留時間均衡。在這樣的配置中,可以藉由對各個區域上的楔形電漿區域的弧長的成比例增加來抵消通過遠離軸150移動對局部速度的徑向增加。
可以通過以各種方式配置電極組件600的共面絲和開口627來形成前述楔形電漿區域。一種方式是以楔形的方式來配置由電極組件600的絲形成的電極陣列。例如,可以改變電極陣列的個別共面絲的相應長度,使得電極陣列的整體輪廓界定楔形。在一些實施中,支撐件206可在電極陣列的共面絲的相應兩端處提供支撐。
另一種形成楔形電漿區域的方式是藉由形成開口627來具有楔形形狀以及使用比開口627(如電極組件400)大的電極組件600的電極陣列來形成大於開口627大小的電漿區域。然後,所產生的電漿區域的一部分可以被楔形開口阻隔,以產生楔形電漿區域。例如,支撐件206可以提供楔形開口627。
一般來說,各種因素可能影響楔形電漿區域的尺寸。在一些應用中,工件表面上的部分或不完整的電漿覆蓋會導致不利的結果。例如,工件115可以含有對充電損壞敏感的元件,例如具有薄閘極介電層的電晶體。在這種情況下,在暴露於電漿的工件115的區域和未暴露於電漿的區域之間產生的電位可能導致閘極介電層的介電崩壞,而引起敏感元件的永久性損壞。通過將電漿區域的尺寸調整為大於工件,可以減輕這種問題,從而在整個工件表面上達到完整的電漿覆蓋。在一些實施中,調整電漿區域的尺寸使得工件能夠移動通過電漿區域,同時維持完整的電漿覆蓋。
在一些實施中,例如在電漿區域大於工件的情況下,可以將向電極組件600施加RF功率的時機與工件115的移動協調,以確保工件經歷的整個表面均勻暴露在電漿中。例如,在整個工件在開口627或電極組件600下移動之後可以產生(點燃)電漿,以及在工件離開電漿區域之前關閉(熄滅)電漿。在這種情況下,電漿區域不需要是楔形的。
然而,在一些情況下,使用電極組件600產生大的電漿區域(如大於300mm×300mm)可能是具有挑戰性的。如果待處理的工件可以承受其表面上不完整的電漿覆蓋,則可以調整電漿區域的尺寸為小於在工件的一個方向上的工件表面。例如,如圖6A所示,楔形電極組件600(以及因此電漿區域)小於在工件115的行進方向上的工件直徑,但是相對於軸150沿徑向方向上則是大於工件直徑,以達到徑向方向上的完整覆蓋。
對於調整電漿區域尺寸的其他考慮因素包括工件移動速度、目標處理速率和目標電漿暴露時間,以達到所期望的處理持續時間或產量。
在一些實施中,電漿可以與工件的移動協調,以確保在工件進入電漿區域之前建立穩定的電漿。例如,在需要相對短的電漿暴露時間的過程中,撞擊電漿所花費的時間可以是整個電漿暴露時間的重大部分。因為在撞擊階段期間電漿相對不穩定,所以所得到的製程可重複性可能受損。藉由在引入工件之前建立穩定的電漿,可以通過在工件移動通過電漿區域時控制工件的速度來精確地控制電漿暴露時間和用量。對於這樣的實施,無論電漿區域是大於還是小於工件,有利的是電漿區域是楔形的以補償暴露時間的差異。在一些實施中,所產生的電漿保持在多個工件的處理上。
假設處理工具650具有固定的電漿區域尺寸,可以控制各種製程參數以達到所需的電漿處理特性。可以控制的製程參數的實例包括處理速率、暴露時間、工件移動速度曲線、電漿暴露通過的次數和總電漿暴露用量。例如,工件可多次通過電漿區域,或者可在電漿區域內的位置振盪。
圖6B是用於產生楔形電漿區域的楔形電極組件的實例的示意性頂視圖。楔形電極組件600具有多個共面絲610和框架620。除非另有說明之外,否則電極組件600類似於電極組件120、220和400。框架620具有第一端602、第二端604、中心角θca、內半徑R1 、外半徑R2 和平分線605。第一端602是電極組件600的短端,有時稱為頂點。第二端604是電極組件600的較長端,有時稱為基部。除非另有說明之外,否則多個共面絲610類似於絲300。每個共面絲610具有各自的長度L、相對於平分線605各自的角度θ(theta)。長度L被定義為在與工件支撐表面(如114a)平行且相鄰的區域中的共面絲610的線性部分。每對相鄰的共面絲610分開相應的間隔S,間隔S定義為相鄰絲之間的中心到中心的距離。對於非平行絲,間隔S定義為沿該對相鄰絲的長度的最小中心到中心的間距。
對於決定絲610的角度theta有各種考慮因素。決定角度theta的一個考慮因素是當工件115在電極組件600下方移動時工件115的軌跡。在一些情況下,電極組件600產生的電漿可以具有沿著絲610的方向延伸的電漿中的不均勻性。例如,在某些操作條件下,在一對絲610之間可存在降低的電漿密度的細長區域。如果工件表面上的點沿著降低的電漿密度的區域行進,則該點將接收減少的電漿暴露用量,而導致製程不均勻。通過將絲佈置成具有適當的theta值(如小於或大於90°,但不包括90°),可以減少沿著降低的電漿密度的區域的這種切向行進,從而改善製程均勻性。例如,通過將theta設定為60°,工件表面上的點在多個絲下方通過,暴露於沿途具有降低的密度和標稱密度的局部電漿區域,而使得有電漿暴露用量的時間平均。在一些實施中,多個共面絲610的各自theta相等,即絲是平行的。
在一些實施中,絲610的各自θ基於它們在電極組合件600內的各自位置而不同。例如,對於頂點602附近的絲到組件600的基部604附近的絲,各自的theta單調地增加,以維持絲610橫跨在電極組件600上的長度相等。當組件600作為ICP源操作時,具有相等長度的絲可改善均勻性。
一般來說,共面絲610的數量由電漿區域的尺寸、theta及間隔S決定,以達到所需的電漿區域特性,如電漿密度、均勻性。
一般來說,可以基於圖4中討論關於絲間距410的考慮來決定間隔S。
框架620界定電極組件600的形狀和由電極組件600形成的電漿區域的形狀。內半徑、外半徑和中心角決定楔形電極的尺寸,這接著界定了電漿區域的尺寸。可以基於前面關於圖6B的調整電漿區域尺寸的討論來決定框架的尺寸。
框架620可以由不同的製程兼容材料形成。合適的製程兼容材料包括關於圓柱形殼320所述的材料,如石英。製程兼容材料的其他實例包括陶瓷(如氧化鋁、氮化鋁)和各種矽的氮化物(如SiN、Si3 N4 )。
雖然已經就楔形電極組件600描述了框架620,但是絲610可以經形成及佈置而具有所述的楔形形狀而不需框架620來達成類似的結果。
楔形電極組件的實例具有以下設計特性:R1 =91mm、R2 =427mm、中心角=31°、theta=60°、絲中心到中心間隔=15mm、絲數量=20、框架材料=石英。
參考圖6C,在一些實施中,框架620具有切口622。切口622可以經成形而切合楔形頂部電極624。楔形頂部電極624可以接地或經偏置為偏壓電壓。楔形頂部電極624可以由各種製程兼容材料形成,如矽。在一些實施中,楔形電極經成形以***切口622中以填充(fill)切口622。
參考圖6D,繪示框架620的一部分沿著截面線A的橫截面圖。在一些實施中,框架具有上部625、內側壁626和開口627。
一般來說,多個共面絲610的各自長度L經設定以產生所需形狀的電漿區域。框架620可以經成形而為共面絲610提供支撐。在一些實施中,共面絲610的端由框架620的內側壁626支撐,類似於圖6B所示的配置。在一些實施中,共面絲610的端經彎曲(如90°)而由框架620的上部625支撐,如圖2B的電極組件220a所示。在一些實施中,框架620的開口627可以決定電漿區域的形狀。
在一些實施中,theta接近0,如<20°。參考圖6E,組件601具有兩個絲,以及以θ=0°佈置絲,即絲平行於平分線605。組件601的框架620具有切口622和楔形電極624。楔形電極624可以接地。在這樣的配置中,電極組件601產生的電漿區域的形狀受到絲610和楔形電極624之間的相互作用的影響,從而產生楔形電漿區域。在theta接近0°的配置中,隨著工件115的行進方向相對於絲610的定向實質接近90°,可以減小平行於絲610的電漿不均勻性的影響。
圖7A-7D是楔形電極組件的各種電氣配置的概念示意圖。電極組件的絲可以以各種不同的配置作電連接。參考圖7A,電極組件700類似於電極組件600,以及具有第一總線730和第二總線740。第一總線730和第二總線740可以位於腔室主體102的相對側上,如在腔室外部。
第一總線730具有第一端750以及與第一端750相對的第二端751。第一總線730和第二總線740電連接到電極組件700的各個絲710的個別的相對端。除非另有說明之外,否則絲710類似於絲300。可以使用一個或多個RF電源以各種方式驅動電極組件700。
在一些實施中,第一RF電源驅動第一總線730,且第二總線740連接到RF接地。在這樣的配置中,RF電流流過絲710,以及電極組件可主要用作ICP電漿源。
在一些實施中,第一RF電源驅動第一總線730,且第二總線740是電浮動的。在這樣的配置中,電極組件可以主要用作CCP電漿源。RF電流返迴路徑可以由腔室主體102、上部電極108、楔形頂部電極624或工件支撐電極116提供。
在一些實施中,第一RF電源在第一端750處驅動第一總線730,第二RF電源在第二端751處驅動第一總線730,以及第二總線740連接到RF接地。在這樣的配置中,電極組件可主要用作ICP電漿源。
在一些實施中,第一RF電源驅動第一總線730,且第二RF電源驅動第二總線740。
一般來說,選擇RF電源連接到總線的RF驅動點,以最佳化所得電漿的均勻性。例如,可以基於最小化個別絲710經歷的RF信號幅度的不均勻性來選擇驅動點位置。
在一些實施中,腔室內電極組件可包括第一組和第二組共面絲。第一組和第二組的絲可以沿垂直於其縱軸的方向以交替的模式佈置。如此一來,共面絲在第一組和第二組之間交替。
參考圖7B,類似於電極組件600的電極組件702具有第一組與第二組,第一組可以包括共面絲710和714,以及第二組包括共面絲712。第一組電連接到第一總線732,第二組電連接到第二總線742。遠離與其連接的總線的各個絲的一端可以是「浮動的」或接地。如果絲的端是浮動的,則可以認為兩組絲形成叉指陣列。
第一總線732可以具有第一端752以及與第一端752相對的第二端753。在一些實施中,第一RF電源用第一RF信號驅動第一總線732,且第二RF電源用第二RF信號驅動第二總線742。第一和第二RF信號可具有彼此相同的頻率和穩定的相位關係。例如,第一RF信號和第二RF信號之間的相位差可以是0度或180度。在一些實施中,由RF電源供應422提供的第一和第二RF信號之間的相位關係可以在0到360之間是可調整的。在一些實施中,RF供應422可以包括彼此相位鎖定的兩個個別RF電源供應422a和422b。
在一些實施中,第一RF電源驅動第一總線732,且第二總線742連接到RF接地。在這種情況下,第二總線742和連接到第二總線742的偶數組絲可以用作RF電流返迴路徑。
在一些實施中,第一RF電源在第一端752處驅動第一總線732,以及第二RF電源在第二端753處驅動第一總線732,以及第二總線742連接到RF接地。
在一些實施中,第一RF電源驅動第一總線732,且第二RF電源驅動第二總線742。在這種情況下,電極組件702可以主要用作CCP電漿源。RF電流返迴路徑可由腔室主體102、頂部電極108、楔形頂部電極624或工件支撐電極116提供。
參考圖7C,類似於電極組件600的電極組件704具有單一總線734。總線734電連接到絲710的兩端。
在一些實施中,第一RF電源驅動第一總線734。第一總線734可以具有第一端754和第二端755,以及在一些實施中,第一RF電源在第一端754處驅動第一總線734,及第二RF電源在第二端755處驅動第一總線734。在這樣的配置中,電極組件可以主要用作CCP電漿源。RF電流返迴路徑可由腔室主體102、頂部電極108、楔形頂部電極624或工件支撐電極116提供。
參考圖7D,類似於電極組件600的電極組件706具有第一總線736和第二總線746。第一總線736和第二總線746電連接到電極組件706的絲710的個別的相對端。第一RF電源在驅動點756處驅動第一總線736。第二總線746可以連接到RF接地。
第一RF電源產生的第一RF信號可通過各種RF損耗源衰減。例如,由於導體的有限導電性或者由於形成傳輸線的介電材料導致的介電損耗正切(loss tangent),形成總線736的RF傳輸線是有損耗的。作為另一個實例,RF傳輸線的電漿負載影響RF損耗。因此,在沿著RF信號的傳播方向的不同位置處連接的絲710可能經歷不同的RF信號幅度。例如,參考圖7A,在第一端750處發射的RF信號將隨著其沿第一總線730的長度向下傳播而衰減。如此一來,第二端751附近的絲710處的RF信號幅度將小於RF信號正在發射的第一端750附近的絲710處的RF信號幅度。
由於不完美的RF阻抗匹配/終端而由RF信號的反射產生的駐波也可能沿著第一總線730的長度產生RF信號幅度的不均勻性。例如,一旦到達第二端751時在第一端750處發射的RF信號可能由於缺少阻抗匹配終端而被反射回第一端750,從而沿著第一總線730的長度產生駐波。
橫跨過第一總線730的長度的RF信號幅度的這種不均勻性可能引起電漿不均勻性。
藉由使用遞迴式RF饋送結構,可以減小橫跨第一總線730上的RF信號幅度的不均勻性。參考回圖7D,第一總線736經配置形成遞迴式RF饋送結構,以將由第一RF電源產生的第一RF信號傳遞到絲710,使得對於所有的絲710,從驅動點756到各自絲710的信號路徑長度以及RF信號經歷的損耗是近似相等的。這種近似相等的路徑長度可以使在絲710的被驅動端(driven end,即連接到第一總線736的端)處能夠有近似相等的RF信號幅度。在一些實施中,通過配置遞迴式RF饋送結構使得結構的每一分支(bracnch)連接到近似相等的總長度的絲,來進一步減輕RF信號幅度的不均勻性。例如,從左到右分別將7、6、5、4個絲連接到遞迴式RF饋送結構的個別分支。當電極組件706作為ICP源操作時,每個分支的這種近似相等的總長度可助於改善均勻性。在一些實施中,饋電結構的各層級(level)遞迴由相應的接地平面屏蔽,以及穿透接地平面的垂直通孔連接結構的相應層級。
在電極組件由兩個RF信號源驅動的情況下,各種因素影響所產生的電漿區域的形狀。因素的實例包括兩個RF信號的頻率和相位關係。參考圖7B,例如,當驅動第一總線732和第二總線742的第一和第二RF信號的頻率相同且相位差被設定為0度(「單極」或「單端」)時,電漿區域從共面絲710之間的間隙中被推出,而導致不連續或不均勻,例如,在圓柱形殼之間的間距很小的一些情況下。當驅動相鄰共面絲710的RF信號的相位差被設定為180度(『差分(differential)』)時,電漿區域被更強地限制在共面絲710之間。0到360度之間的任何相位差都可以用於影響電漿區域的形狀。
一般來說,工件支撐電極116的接地是影響電漿區域的形狀的因素。電極116的不完美的RF接地結合驅動相鄰的共面絲的RF信號之間的0度相位差將電漿區域推向頂部間隙。然而,如果用具有180度相位差的RF信號驅動相鄰的共面絲(如共面絲),則所得到的電漿分佈對電極116的不完美RF接地的敏感度要小得多。不受任何特定理論的限制,這可能是因為由於驅動信號的差分特性使得RF電流透過相鄰電極返回。
可以使用以各種配置方式耦接到電極組件的各個位置的RF開關來動態地改變前述電極組件(如400、500、502、504、600、601、700、702和704)的電氣配置和特性。
參考圖8A,電極組件800包括絲810、第一總線820和第二總線824。如圖所示,總線820和824可以具有相應的第三端821和相應的第四端822。除非另有說明之外,否則絲810類似於絲610和300。每個絲810具有相應的第一端811和相應的第二端812。第一總線820和第二總線824可以位於腔室主體102的內部、在腔室頂板中或在腔室外部,以及可以在絲810的個別端到沿著總線820和824的(如沿著總線820和824的長度)各個位置處之間形成電連接。
絲810可分成第一多重(multiplicity)816絲和第二多重817絲。在一些實施中,第一多重816和第二多重817的絲810可以沿垂直於其縱軸的方向以交替的模式佈置,使得共面絲在第一組和第二組之間交替,如圖所示。
第一多重816的絲的第一端811可以耦接到第一總線820。第二多重817的絲的第一端811可以耦接到第二總線822。可以使用簡單的導線或金屬帶(如果長度相對於RF頻率的一小部分波長短)、或者通過使用RF傳輸線(如同軸電纜)來實現絲810和總線之間的耦接。
在一些實施中,電極組件800另外包括第三總線826和第四總線828。在這樣的實施中,第一多重816的絲的第二端812可以耦接到第三總線824。第二多重817的絲的第二端812可以耦接到第四總線826。
總線820、824、826和828經配置電耦接到與其耦接的個別絲810。形成總線的RF傳輸線可具有與RF頻率的波長的顯著部分相當或更大的長度(如>1/10波長),以及由於有意的絲陣列的電漿負載而具有損耗,即RF功率的吸收。因此,沿著RF信號的傳播方向在不同位置處連接的絲810可能經歷不同的RF信號幅度。例如,在第一總線820的第三端821處發射的RF信號將隨著其沿第一總線820的長度向下傳播而衰減。如此一來,第二端822附近的絲810處的RF信號幅度將小於RF信號正在發射的第一端821附近的絲810處的RF信號幅度。橫跨過第一總線820或824的長度上的RF信號幅度的這種不均勻性可能引起電漿不均勻性。
一般來說,電極組件800在相當大的區域上產生的電漿區域可能包含電漿密度的顯著(substantial)不均勻性。例如,對於40cm長×40cm寬的電漿區域,可在13.56MHz和60MHz的RF信號頻率之間觀察到電漿均勻性的顯著差異。當以較低頻率(如13.56MHz)驅動時,電漿密度可從端811與812遠離而往絲810的中心部分減小。然而,沿垂直於絲縱軸的方向,電漿密度的時間平均保持實質空間均勻。當以更高的頻率(如60MHz)驅動時,電漿密度同時沿著絲以及垂直於絲的縱軸變得更不均勻。例如,可沿兩個方向形成局部最大值和最小值的週期性分佈。不希望受理論束縛,這種不均勻性的圖案可能至少部分地由駐波的存在所引起。
藉由使用RF開關動態地改變電極組件800的電特性,可能能夠減輕這種不均勻性。還可能能夠有意地在電壓信號中引入不均勻性以補償工件中的其他非均勻性源,例如,不均勻的層厚度、或電漿密度(如不均勻的氣體分佈)。
參考圖8B,切換式電極系統802包括第一RF開關830、第二RF開關834、第三RF開關836、第四RF開關838、第一分接頭840和第二分接頭842。一般來說,第一和第二分接頭840和842可以連接到各種信號和電位以產生電漿,例如連接到第一和第二RF信號、RF接地。
每個RF開關包括第一終端831和第二終端832。一般來說,RF開關830雙向操作,以及第一和第二端831和832不依賴於RF開關的特定物理終端,而是用於代表RF開關的兩個不同終端。可以使用各種RF開關部件來提供RF開關830、834、836和838。RF開關部件的實例包括機械繼電器或開關、PIN二極體、可飽和電感器/反應器、MOSFET、包括這些部件的電子電路,以及當與具有可調RF信號頻率的RF功率產生器組合時的頻率相依的阻抗電路。
一般來說,第一和第二分接頭840和842可沿著總線820、824、826和828的個別長度定位,例如,在總線的中間。在一些實施中,第一分接頭840位於第一總線820的中間,第二分接頭842位於第四總線828的中間。
在一些實施中,第一和第二分接頭840和842由具有相同頻率(如60MHz)且具有相對相位差為180度的兩個RF信號差分地驅動。
一般來說,RF開關的第一和第二終端831和832可以以各種方式耦接到總線以實現各種效果。例如,RF開關830、834、836和838的個別第一終端連接到總線820、824、826和828的端,如圖所示。在這樣的配置中,RF開關830、834、836和838中的任何一個的關閉使總線的相應端(「角落(corner)」)電連接或「短路」。角落的短路可能導致該位置處的RF反射係數變化,使得短路的角落附近的絲810的局部區域處的RF信號幅度和功率耦接減小,從而減少局部電漿的產生。角落的短路亦可能移動和/或改變電漿密度中的最大值和最小值的空間分佈。
一般來說,電連接和耦接可以由導線、同軸電纜、波導或通過物理接觸(如鍛接、焊接、單件製造)提供。
一般來說,藉由電漿暴露的時間平均可以改善工件的製程均勻性。實現電漿暴露的時間平均的一種方式是透過移動電漿區域中的不均勻性的空間分佈。例如,藉由開啟和關閉(「調變」)耦接到電極組件的四個角落的RF開關,可以移動電漿密度分佈(非均勻性)。
可以以各種方式調變RF開關830、834、836和838,以實現所需的時間平均電漿密度。用於調變RF開關的程序的實例是循環地連接不同總線上的點對。例如,系統可以如下操作:(1)關閉RF開關830達第一持續時間然後開啟,(2)關閉RF開關834達第二持續時間,然後開啟,(3)關閉RF開關836達第三持續時間,然後開啟,(4)關閉RF開關838達第四持續時間。可以基於所需的程序重複率來決定第一至第四持續時間。例如,可以將重複率設置為比某些作用(如裝置充電)的時間尺度快得多。例如,在具有4個狀態的程序中,可以將包括空滯時間的各個狀態持續時間設置為50μs,以實現5kHz的重複率。
在一些實施中,空滯時間***程序的步驟之間。空滯時間可提供「先切斷後連接(break before make)」的接觸以防止在某些配置中兩個或更多個產生器短路。在一些實施中,開關的關閉可以在時間上重疊。例如,可以同步調變兩個開關,例如成對的對角開關(830-838、834-836),成對的相鄰開關(830-834和836-838、832-836和834-838)。作為另一個實例,可以同步開啟和關閉所有四個開關。
參考圖8C,表示切換式電極系統804的實例。除非另有說明之外,否則切換式電極系統804類似於系統802。切換式電極系統804包括第一RF開關組850、第二RF開關組854、第三RF開關組856和第四RF開關組858。第一RF開關組850包括子開關860a和860b,第二RF開關組854包括子開關860c和860d,第三RF開關組836包括子開關860e和860f,以及第四RF開關組838包括子開關860g和860h。子開關類似於RF開關830。
子開關的第一終端831連接到總線820、824、826和828的端。在一些實施中,子開關的第二終端832連接到RF接地。在這樣的配置中,任何一個子開關的關閉將總線的個別端電耦接到RF接地或者使總線的端接地。總線的端的接地可能使得總線的RF接地端附近的絲810的局部區域中的RF信號幅度減小,以及導致該區域中電場的幅度減小或者功率耦接較低。電場的幅度減小可能導致該區域中的電漿產生減少。
可以以各種方式調變RF開關組和個別子開關,以提供電漿密度分佈的調變。例如,每個RF開關組可以作為單一單元操作,其中該RF開關組的子開關作為單一單元來開啟和關閉。作為另一個實例,每個RF開關組的子開關可以獨立地開啟和關閉。
可以以與關於圖8B所述的各種程序類似的方式,以各種不同的程序來調變開關。例如,可以藉由以下方式來操作切換式電極系統:一次(可選擇性具有時間延遲)循環地關閉一個開關組,在不同組關閉的時間內循環地關閉開關組,交替開關組,或者同步開啟和關閉所有開關。
作為另一實例,系統可以如下操作:(1)關閉第一和第三RF開關組850和856達第一持續時間然後開啟,(2)開啟所有開關,(3)關閉第二和第四RF開關組854和858達第二持續時間然後開啟。
作為又一實例,系統可以如下操作:(1)關閉第一開關組850達第一持續時間然後開啟,(2)關閉第二開關組854達第二持續時間然後開啟,(3)關閉第三開關組856達第三持續時間然後開啟,(4)關閉第四開關組858達第四持續時間然後開啟,(5)開啟所有開關組,(6)關閉所有開關組。
在一些實施中,可以使用RF開關動態地重新配置RF信號到總線上的各個位置的饋送。參考圖8D,表示切換式電極系統806的實例。除非另有說明之外,否則切換式電極系統806類似於系統804,且可以以類似的方式操作。
在分接頭844和846處用RF信號驅動第一多重816。驅動分接頭844和846的RF信號可以為相同頻率或不同頻率。對於頻率相同的情況,兩個信號的相位關係可以是0、180或0到360之間的任何值。對於某些實施,可以隨時間調變相位關係。如圖所示,子開關860a、860c、860f和860h的第二終端832連接到相應的分接頭844和846。
在這樣的配置中,可以使用相應的子開關來調變第二多重817的接地特性,以及RF信號可以從不同的位置(例如從端821和822)發射到總線820和826。接地特性和RF信號分佈的調變的組合可以用於調變電漿密度,以藉由時間平均來改善處理均勻性。
在這樣的配置中,將子開關860中的至少一個保持在關閉狀態以向組件800提供連續的RF信號供應可能是有利的。
參考圖8E,表示切換式電極系統808的實例。除非另有說明之外,否則切換式電極系統808類似於系統804,以及可以以類似的方式操作。子開關的第二終端832連接到單一分接頭848。如圖所示的對稱分配網路可以用於改善傳遞到系統808的四個角落的RF信號的均勻性。可以以先前描述的各種方式調變子開關以改變電漿分佈並改善處理均勻性。
在一些實施中,開關可以跨總線分佈,以允許更精細地控制瞬時電漿均勻性,從而改善時間平均電漿均勻性。參考圖8F,表示切換式電極系統801的實例。除非另有說明之外,否則切換式電極系統801類似於系統808,以及可以以類似的方式操作。第一總線820耦接到第一RF開關組870,如三個或更多個子開關。每個RF開關組包括多個子開關860。第一RF開關組870的子開關860的第一終端在橫跨第一總線820的長度上的各個位置處電耦接到第一總線。在一些實施中,耦接點近似等間距,如圖所示。第一RF開關組870的子開關860的第二終端電耦接到分接頭848以接收RF信號。
第二、第三和第四總線824、826和828分別連接到第二、第三和第四RF開關組874、876和878,其各自都以類似於第一總線820和第一RF開關組870的方式連接。
在這樣的配置中,對沿著總線的長度的RF信號的發射位置的額外層級控制可以使得改善的時間平均電漿均勻性。
一般來說,可以基於例如總線的長度、電漿區域的大小、RF信號頻率和功率以及腔室壓力來決定RF開關組中所包含的子開關的數量。
在一些實施中,可以使用RF開關動態地重新配置RF信號饋送和接地位置以提供一種模式可選的電漿源,該模式可選的電漿源可以在主要CCP模式與主要ICP模式之間切換。參考圖9A,表示切換式電極系統900的實例。除非另有說明之外,否則切換式電極系統900類似於系統802以及可以以類似的方式操作。RF開關830和834的第一終端831連接到第二總線824的相應第三端821和第四端822,以及RF開關836和838的第一終端831連接到第三總線826的相應第三端821和第四端822,如圖所示。第二終端832連接到RF接地。
可以以各種方式控制RF開關830、834、836和838,以通過切換式電極組件900改變電漿產生的主要模式。例如,藉由關閉所有四個RF開關,RF電流沿著絲810的長度流動,產生磁場並產生主要電感耦合的電漿。藉由開啟所有四個開關,RF電流減小,以及組件900產生主要電容耦合的電漿。
在一些實施中,驅動相應的分接頭840和842的第一和第二RF信號具有180度的相位差,即差分驅動。在這種情況下,從具有約180度相位差的RF信號的相對的兩端饋送屬於第一和第二多重816和817的交替絲810,導致產生輔助RF磁場。在一些實施中,驅動相應分接頭840和842的第一和第二RF信號具有約0度的相位差。在這種情況下,從具有約0度相位差的RF信號的相對的兩端饋送屬於第一和第二多重816和817的交替絲810,導致產生相反的RF磁場。
在一些實施中,開關可以橫跨總線分佈,以允許更精細地控制瞬時電漿均勻性,從而改善時間平均電漿均勻性。參考圖9B,表示切換式電極組件902的實例。除非另有說明之外,否則切換式電極組件902類似於系統801。第一總線820耦接到包括多個子開關860的第一RF開關組870。
第一RF開關組870的子開關860的第一終端在橫跨第一總線820的長度上的各個位置處電耦接到第一總線。在一些實施中,耦接點近似等間距,如圖所示。第一RF開關組870的子開關860的第二終端電耦接到分接頭940以接收第一RF信號。
第二總線在子開關的第一終端處連接到第二RF開關組874,以及子開關的第二終端連接到RF接地。
第三總線在子開關860的第一終端處連接到第三RF開關組876,以及第三RF開關組876的子開關860的第二終端832連接到RF接地。
第四總線在子開關的第一終端處連接到第四RF開關組878,以及子開關的第二終端電耦接到分接頭942以接收第二RF信號。
驅動分接頭940和942的第一和第二RF信號可以處於相同頻率或不同頻率。對於頻率相同的情況,兩個信號的相位關係可以是0、180或0到360之間的任何值。對於某些實施,可以隨時間調變相位關係。
可以以各種方式控制RF開關組870、874、876和878,以通過切換式電極組件902改變電漿產生的主要模式。例如,藉由從第一組870和第四組878中的各者中關閉至少一個子開關,以及開啟第二和第三RF開關組874和876,組件902產生主要電容耦合的電漿。
作為另一實例,藉由從第一組870和第四組878中的各者中關閉至少一個子開關,以及關閉第二和第三RF開關組874和876的所有子開關,組件902產生主要電感耦合的電漿。在一些實施中,驅動相應分接頭940和942的第一和第二RF信號具有180度的相位差,即差分驅動。在這種情況下,從具有約180度相位差的RF信號的相對的兩端饋送屬於第一和第二多重816和817的交替絲810,導致產生輔助RF磁場。在一些實施中,驅動相應分接頭940和942的第一和第二RF信號具有約0度的相位差。在這種情況下,從具有約0度相位差的RF信號的相對的兩端饋送屬於第一和第二多重816和817的交替絲810,導致產生相反的RF磁場。
在一些處理應用中,使用可以以大致平行於絲的帶的方式將RF功率堆積於電漿中之相反的RF磁場的ICP可提供更均勻的電漿,特別是當工件靠近電漿源(如電極組件)時,即小底部間隙132。因此,具有改變第一和第二RF信號的相位關係的能力可能是有益的。
一般來說,可以調變第一和第四組870和878的個別子開關以改變電漿密度分佈。另外,在切換式電極組件902經配置產生主要電感耦合電漿的情況下,第二和第三組874和876的子開關可以經個別調變以進一步改變電漿密度分佈。
一般而言,雖然數字顯示總線在中心附近被驅動以及端浮動或帶有接地終端,但根據應用、RF配置、頻率和工作區域(電漿負載),在其他位置(如被驅動端、端接端或中心)被驅動或端接可能是有利的。
一般來說,在RF開關的第二終端連接到RF接地的情況下,可變阻抗可以串聯放置到RF接地,以提供可變的RF終端阻抗,以進一步控制電漿密度的改變。
一般來說,雖然圖示繪示連接到相應總線的中心的分接頭,但是用於將RF功率施加於電極組件的分接頭可以位於總線上的一個或多個端、中心或其他位置。
開關可以用於改善楔形電極組件的時間平均電漿均勻性。參考圖10,表示切換式電極組件1000的實例。切換式電極組件1000包括楔形電極組件1010。除非另有說明之外,否則楔形電極組件1010類似於楔形電極組件704。組件1010包括楔形頂部電極624,楔形頂部電極624可以接地。切換式電極組件1000包括第一RF開關1030、第二RF開關1034、第三RF開關1036、第四RF開關1038和分接頭1040。RF開關類似於RF開關830。RF開關1030和1034的第一終端連接到組件1010的第一端754,以及RF開關1036和1038的第一終端連接到組件1010的第二端755。第一和第四RF開關1030和1038的第二終端彼此連接並連接到分接頭1040,第二和第三RF開關1034和1036的第二終端連接到RF接地。
可以開啟和關閉第一和第四RF開關1030和1038,以選擇性地將RF信號饋送到組件1010的第一端754、第二端755或兩端。可以開啟和關閉第二和第三RF開關1034和1036,以選擇性地將組件1010的第一端754或第二端755接地。
可以以各種方式調變RF開關以改善時間平均的電漿均勻性。以下是程序的實例:(1)關閉RF開關1030達第一持續時間,以及開啟開關1034、1036和1038(如達30微秒),(2)關閉1030、1036,開啟1034、1038(如達40微秒),然後(3)關閉1036,開啟1030、1034和1036(如達30微秒)。可選地,在將RF信號施加於另一端後的短暫延遲之後,無功率(unpowered)端可接地,以及在將RF信號施加於該端之前,該接地端可以不接地。
以下是程序的另一個實例:(1)1030=ON,1038、1034、1036=OFF達30微秒,(2)1030、1038=ON,1034、1036=OFF達40微秒,(3)1038=ON,1034、1030、1036=OFF達30微秒,然後重複循環多次,直到製程步驟完成或循環交替反轉。可選地,在向另一端施加功率後的短暫延遲之後,可將無功率端接地,以及在向該端施加功率之前,該接地端可不接地。
一般來說,楔形電極組件1010可以類似於電極。一般來說,開關可以應用於其他電極組件,如600、601、700、702、704。
可以使用各種電路實施來提供適合於切換RF信號以用於電漿生成的RF開關。存在為了實施要在切換式電極系統中使用的RF開關(如RF開關830、子開關860)的各種考慮因素。這些考慮的實例包括RF功率處理能力、開關速度、導通狀態(ON-state)阻抗、斷開狀態(OFF-state)阻抗和雙向性。
一般來說,當開關的兩個終端之間呈現的阻抗低時,開關被認為處於「導通(ON)」或閉路(closed)狀態,而當阻抗高時,開關被認為處於「斷開(OFF)」或開路(open)狀態。
PIN二極體開關可用於提供合適的RF開關。參考圖11A,PIN二極體開關1100包括PIN二極體1110、具有電容C1的第一電容器1120、具有電容C2的第二電容器1122以及具有電感L1的電感器1140。開關1100具有第一終端1131、第二終端1132和控制終端1134。第一終端1131可以提供第一終端831,而第二終端1132可以提供RF開關830的第二終端832。
第一電容器1120和電感器1150可以並聯連接在第一終端1131和第二電容器1122之間。接著,PIN二極體1110可以與第一電容器1120、電感器1150和第二電容器1122並聯連接在第一終端1131和第二終端1132之間。控制終端1134可以連接在第二電容器和1122與第一電容器1120之間。
PIN二極體1110是在p型半導體和n型半導體區域之間具有寬的未摻雜的本質半導體區域的二極體,以及可以非常適合於高功率RF信號的快速開關。PIN二極體具有陽極(+)和陰極( - ),以及當在陽極和陰極之間建立正向偏壓時(如> 0.7 V和/或二極體電流>100mA),可以提供用於RF信號的低阻抗傳導路徑,如<1歐姆。
PIN二極體開關1100基於以下工作原理操作。可以藉由向控制終端1134提供控制信號來控制PIN二極體1110的阻抗。控制信號是在第一電平(level,如0.7V)和第二電平(如-2kV)之間切換的準(quasi)靜態電壓。由於控制信號的準靜態特性,控制電壓和任何產生的二極體電流可以通過電感器1140傳導。另外,第二電容器1122阻止控制電壓到達陰極。藉由向陽極提供相對於陰極足夠大的負控制電壓(如-2kV),PIN二極體1110可以被設置為「OFF」狀態,在其陰極和陽極之間呈現高阻抗。當施加足夠大的正控制電壓(如0.7V)時,PIN二極體1110可以被設置為「ON」狀態,為終端1131和1132之間的RF信號呈現低阻抗路徑(如<1歐姆)。。
如圖所示並聯連接的第一電容器1120和電感器1140形成並聯LC諧振器1150。諧振器1150具有由等式
Figure 02_image001
決定的諧振頻率。在諧振頻率f0 下,諧振器1150呈現接近開路(open circuit,如>1000歐姆)的高阻抗,這取決於諧振器的品質因數。藉由選擇C1和L1的值使得諧振頻率與終端1131或1132處存在的RF信號的頻率對準(align),可以防止RF信號通過諧振器1150。
一般來說,可以設置第二電容器1122的電容C2以在RF信號的頻率下提供低阻抗路徑。
在一些實施中,第一電容器1120是具有可調電容C1的可變電容器(「電容器」),其可以改變以最佳化第一電容器1120和電感器1140形成的並聯LC電路的諧振,以與RF信號的頻率對準。
在一些實施中,可以提供控制信號緩衝放大器1136,以將在控制終端1134處施加的控制信號緩衝和/或放大到PIN二極體1110的陽極。
一般來說,可組合使用多個PIN二極體開關以在第一和第二終端1131和1132之間達到一範圍的阻抗值。控制信號也可設置在第一和第二電平之間,以提供可變阻抗。
在一些實施中,第一終端1131連接到總線(如總線820),且第二終端1132連接到RF接地,而形成到RF接地的路徑。在一些實施中,第一終端連接到第一總線(如總線820)且第二終端1132連接到第二總線(如總線824),在這種情況下,開關可被視為「浮動的」,第二終端1132的電位由外部因素界定。
作為另一實例,可飽和電感器開關可用於提供合適的RF開關。參考圖11B,可飽和電感器開關1102包括可飽和電感器1160、具有電容C1的第一電容器1124和具有電容C2的第二電容器1126。開關1102具有第一終端1131、第二終端1132和控制終端1135。第一終端1131可以提供第一終端831,且第二終端1132可以提供第二終端832。
可飽和電感器1160具有具電感L1的初級繞組(primary winding)1162和具電感L2的控制繞組1164。在一些文獻中,可飽和電感器也可稱為可飽和感應器或磁放大器。可飽和電感器是一種具有磁芯的電感器,可以使電流流過控制繞組1164而令該磁芯蓄意飽和。一旦飽和,初級繞組1162的電感L1會大幅下降。初級繞組的電感減小使得RF信號的阻抗減小,這可以用於實現開關。
電感器1160的初級繞組1162可以與第二電容器1126串聯連接,以及第一電容器1124可以在第一終端1131和第二終端1132之間與初級繞組1162和第二電容器1126並聯連接。控制終端1135連接到控制繞組1164,控制繞組1164可以接著連接到地。
可飽和電感器開關1102基於以下工作原理操作。與初級繞組1162和第二電容器1126的串聯組合並聯的第一電容器1124形成並聯的LC諧振器,其與LC諧振器1150類似地操作。例如,可以設定C1、C2和L1的值,使得當控制信號被設置為「OFF」或低狀態時,開關1102的諧振發生在RF信號頻率(如60MHz),在「OFF」或低狀態下沒有電流流過控制繞組1164。在這種狀態下,開關1102處於「開路」狀態,在第一和第二終端1131和1132之間呈現高阻抗。當施加於控制終端1135的控制信號被設置為「ON」或高狀態時,由流過次級繞組1164的電流產生的磁場使可飽和電感器1160的磁芯飽和,從而減小初級繞組1162的電感L1。電感L1的減小改變開關1102的諧振頻率,在相同的RF信號頻率下在第一和第二終端1131和1132之間呈現低阻抗。此低阻抗狀態可以用作開關1102的閉路狀態。
在一些實施中,可提供控制信號緩衝放大器1137以放大和/或緩衝控制終端1135處施加的控制信號,使得足以使可飽和電感器1160飽和的電流可以施加於控制繞組1164。
在一些實施中,可以在控制信號終端1135與控制繞組1164之間提供低通濾波器1138,以減輕來自控制信號和/或RF信號往控制信號終端傳播的噪音耦合。
一般來說,藉由調整控制信號以向控制繞組1164提供一範圍的電流,可以在「ON」狀態和「OFF」狀態之間控制在第一終端1131和第二終端1132之間呈現的開關的阻抗。
在一些實施中,第一終端1131連接到總線(如總線820),且第二終端1132連接到RF接地。在一些實施中,第一終端連接到第一總線(如總線820)且第二終端1132連接到第二總線(如總線824)。
由前述開關1100和1102呈現的阻抗以及其開關狀態由控制信號的施加來控制。然而,在一些實施中,開關的特性可以保持靜態,而是可以調變RF信號的頻率,使得開關向具有不同頻率的RF信號呈現「開路」或「關路」狀態。例如,電路的頻率相依阻抗可以用於提供這種基於頻率的開關。
參考圖12A,基於頻率的開關1200包括具有電容C1的第一電容器1220、具有電容C2的第二電容器1222、具有電感L1的第一電感器1240,以及具有電感L2的第二電感器1242。開關1200具有第一終端1231和第二終端1232。
第一電容器1220和第一電感器1240可以串聯連接,第二電容器1222和第二電感器1242可以串聯連接。這對電路可以在第一終端1231和第二終端1232之間並聯連接。
可以設置L1、C1、L2和C2的組合,使得在第一頻率(如58MHz)下,在第一和第二終端1231和1232之間呈現低阻抗(如<0.1歐姆),以及在第二頻率(如62MHz)下,呈現高阻抗(如> 100歐姆)。例如,以下L1=L2=0.1μH,C1=75.3pF,C2=58.6pF的值可以在58MHz下提供低阻抗諧振,以及在62MHz下提供高阻抗諧振。
不希望受理論束縛,可以由串聯LC諧振提供低阻抗諧振,以及可以藉由並聯LC諧振提供高阻抗諧振。
可以設置電容和電感以形成基於頻率的開關,其對上面提供的實例具有近似互補的響應。例如,以下值L1=L2 =0.1μH,C1=65.9pF,C2=87.8pF可以在62 MHz下提供低阻抗諧振,在58 MHz下提供高阻抗諧振,相對於第一實例呈現近似互補或相反的響應。這種互補行為可以用於形成各種頻率切換式電極系統。
在一些實施中,可以用分佈式電路元件(如傳輸線段、短線)實施分立的電容器和電感器。
參考圖12B,頻率切換式電極系統1202包括電極組件800、第一基於頻率的開關1200a、第二基於頻率的開關1200b和分接頭1260。可以將不同頻率的RF信號提供給分接頭1260,例如,使用具有匹配網路和串聯的隔離器或循環器的可變頻率RF產生器。
在這種配置中,通過分接頭1260供應的RF信號的頻率可以從第一頻率交替到第二頻率,以使更多的RF信號透過開關1200a耦接到電極組件800的左側,或者透過開關1200b耦接到電極組件800的右側。或者,可以如用斜坡函數驅動通過分接頭1260提供的RF信號的頻率,以在第一頻率和第二頻率之間變化。
例如,藉由將部件值設置為L1a=L2a =0.1μH,C1a=75.3pF,C2a=58.6pF,第一開關1200a可以在58MHz下提供低阻抗諧振和在62MHz下提供高阻抗諧振。第二開關1200b的部件值可以設置為L1=L2 =0.1μH,C1=65.9pF,C2=87.8pF,以在62MHz下提供低阻抗諧振,以及在58MHz下提供高阻抗諧振。在這樣的配置中,藉由將RF信號的頻率切換到第一頻率(如58MHz),大部分RF信號可以透過第一開關1200a耦接到電極組件800的左側,以及藉由將RF信號的頻率切換到第二頻率(如62MHz),大部分RF信號可以透過第二開關1200b耦接到組件800的右側。當頻率在兩個頻率之間的中間,大致在大約60MHz時,則功率大致類似地耦接於兩端,以及可能導致高中心不均勻性。
在一些實施中,可以使用傳輸線段來改變開關1200的頻率相依阻抗。例如,考慮到傳輸線的速度因子,長度為四分之一波長的傳輸線段可以用於將電極組件800的角落連接到開關1200a和1200b的終端。藉由使用四分之一波長的傳輸線,可交換在第一和第二頻率處呈現的阻抗。例如,串聯諧振的低阻抗可轉換為約1000歐姆的高阻抗,並聯諧振的高阻抗可轉換為約1歐姆的低阻抗。
在一些實施中,基於頻率的開關1200可以用作頻率選擇性終端,以在不同頻率下提供阻抗匹配終端以控制RF信號到電極組件中的耦合。參考圖12C,頻率切換式電極系統1204包括電極組件800、第一頻率選擇性終端1250a、第二頻率選擇性終端1250b和分接頭1260。除非另有說明之外,否則頻率選擇終端1250a和1250b可以由基於頻率的開關1200提供,以及以類似的方式操作。
在一些實施中,可以設置頻率選擇性終端1250a和1250b的部件值,使得在第一頻率下,終端1250a呈現RF產生器和傳輸線的特徵阻抗,而終端1250b呈現高阻抗。在這樣的配置中,終端1250a向RF接地提供阻抗匹配的終端,最小化RF信號反射和耦合到電極組件800的左側的RF信號。同時,終端1200b呈現的高阻抗允許RF信號耦合到電極組件800的右側。
在一些實施中,可以設定頻率選擇性終端1250a和1250b的部件值,使得在第一頻率下,終端1250a呈現到RF接地的低阻抗路徑,而終端1250b呈現高阻抗。在這樣的配置中,由終端1250a提供到RF接地的低阻抗路徑使耦合到電極組件800的左側的RF信號最小化。同時,終端1200b呈現的高阻抗允許RF信號耦合到電極組件800的右側。
一般來說,基於頻率的開關和頻率選擇性終端可以耦接到沿著總線的各個位置。例如,可在總線的大致中心處提供到分接頭的額外一對耦接點,以及可以在那些耦接點處提供額外的開關或終端。
一般來說,頻率切換不限於對應於高阻抗狀態和低阻抗狀態的2個狀態,而是可以有利地在第一和第二切換頻率之間或之外連續地操作。
一般來說,具有各種諧振頻率的基於頻率的開關的各種組合可以用於將基於頻率的切換擴展到3、4或更多頻率。
在一些電漿腔室中,工件在例如線性或旋轉工件支撐件上移動通過電漿處理區域。在這樣的腔室中,移動的工件支撐件可透過例如旋轉的汞耦接器、電刷或滑環直流接地。然而,移動的工件支撐件可能無法在射頻下充分接地。RF接地路徑應具有比電漿低得多的阻抗,以使其成為足夠的RF接地。缺乏足夠的RF接地路徑可能使得難以控制工件處的離子能量及降低製程的可重複性。
因此,需要具有以下特性的電漿源:其可以在工件尺寸上有效地產生具有所需特性(電漿密度、電子溫度、離子能量、離解等)的均勻電漿;其可以對操作窗調節均勻性(如壓力、功率、氣體成分);即使工件移動,它也具有穩定和可重複的電氣性能;以及它不會產生過多的金屬污染物或顆粒。
圖13是電漿反應器的另一個實例的示意性側視圖。電漿反應器2100具有腔室主體2102,腔室主體2102包圍用作電漿腔室的內部空間。腔室主體2102可以具有一個或多個側壁2102a,頂板2102b和底板2102c。內部空間2104可以是圓柱形的,如用於處理圓形的半導體晶圓。電漿反應器包括位於電漿反應器2100的頂部的頂部電極陣列組件2106。頂部電極陣列組件2106可以鄰接頂板(如圖13所示),或者懸掛在內部空間2104內並與頂板隔開,或者形成頂板的一部分。腔室主體2102的側壁和底板的某些部分可以單獨接地。
氣體分配器位於電漿反應器2100的頂板附近。氣體分配器可以包括側壁2102中的一個或多個端口2110,其連接到處理氣體供應2112。或者或甚者,氣體分配器可以與頂部電極組件2106整合為單一部件。例如,連接到處理氣體供應2112的通道可以通過組件2112中的介電板形成,以在電漿腔室的頂板中提供開口。氣體供應2112將一個或多個處理氣體輸送到氣體分配器2110,處理氣體的組成可以取決於待施行的製程,如沉積或蝕刻。
真空泵2113連接到內部空間2104以抽空電漿反應器。對於某些製程,腔室在Torr範圍內操作,及氣體分配器供應氬、氮、氧和/或其他氣體。
取決於腔室配置和供應的處理氣體,電漿反應器100可以提供ALD設備、蝕刻設備、電漿處理設備、電漿增強化學氣相沉積設備、電漿摻雜設備或電漿表面清洗設備。
電漿反應器2100包括工件支撐件2114(如基座),以用於支撐工件,支撐工件的頂表面暴露於腔室2104中形成的電漿。工件支撐件2114具有面向頂部電極2108的工件支撐表面2114a。在一些實施中,工件支撐件2114包括位於支撐件2114內部的工件支撐電極2116,以及工件偏壓電壓供應2118連接到工件支撐電極2116。電壓供應2118可以施加電壓以將工件2115夾持到支撐件2114和/或供應偏壓電壓以控制所產生的電漿的特性(包括離子能量)。在一些實施中,RF偏壓功率產生器2142透過阻抗匹配2144而AC耦接到工件支撐件2114的工件支撐電極2116。
另外,支撐件2114可以具有用於加熱或冷卻工件2115的內部通道2119,和/或嵌入式電阻加熱器(2119)。
電極組件2106定位在腔室2104的頂板處。該電極組件2106包括複數個導體2120,複數個導體2120在工件支撐件2114上方側向地延伸。至少工件在支撐件2114上的預期位置上方的區域中,導體2120是共面的。例如,在此區域中,導體可以平行於支撐表面2114a延伸。複數個導體2120可以佈置為平行線陣列。在一些實施中,導體可以具有「U形」,其兩端連接到腔室2104的同一側上的相應總線。或者,導體可以經佈置成交錯的螺旋(交錯的圓形螺旋或交錯的矩形螺旋)。導體2120的縱軸可以與電極組件2106下方的工件10的運動方向成非零角度(如大於20度的角度)佈置。例如,導體2120的縱軸可以實質垂直於工件10的運動方向。
在工件支撐件2114和電極組件2106之間形成間隙2132。對於高壓(如1-10Torr),間隙2132可以是2-25mm。固定工件可能需要更大的最小間隙,例如約5mm,這取決於源上的電極到電極的間距和介電蓋的厚度。在較低壓力下(如小於100mTorr),間隙2132可以是1公分至50公分。
在一些實施中,流體供應2146使流體循環通過電極組件2106。在一些實施中,熱交換器2148耦接到流體供應2146以移除熱或向流體供應熱。
電極組件2106由RF電源2122驅動。RF電源2122可以以如1至300MHz的頻率向電極組件2106的導體2120施加功率。對於某些製程,RF電源2122在60MHz頻率下提供大於2kW的總RF功率。
在一些實施中,散熱器2150(如鋁板)附接到腔室主體2102的頂板2102b。通道2152可以穿過散熱器2150形成,以及冷卻劑可以循環通過通道2152。熱交換器2154可以連接到通道152,以移除熱或向冷卻劑供應熱。
圖14A-14C是電漿反應器的另一個實例的示意圖。在此實例中,除非另有說明之外,否則其操作與圖13相同,多腔室處理工具200包括電漿反應器100。
處理工具2200具有包圍內部空間2204的主體2202。主體2102可以具有一個或多個側壁2202a,頂板2202b和底板2202c。內部空間2204可以是圓柱形的。
處理工具2200包括工件支撐件2214(如基座),以用於支撐一個或多個工件10(如複數個工件)。工件支撐件2214具有工件支撐表面2214a。工件支撐件2214可以包括工件支撐電極2116,及工件偏壓電壓源2118可連接到工件支撐電極2116。
工件支撐件2214的頂部和頂板2202b之間的空間可以藉由阻障物2270分成複數個腔室2204a-2204d。阻障物2270可以從工件支撐件2214的中心徑向延伸。儘管繪示四個腔室,但是可以有兩個、三個或多於四個腔室。
可以藉由馬達2262使工件繞軸2260旋轉。如此一來,工件支撐件2214上的任何工件10將依順序地承載通過腔室2204a-2204d。
腔室2204a-2204d可以藉由泵-淨化系統2280至少部分地彼此隔離。泵-淨化系統2280可以包括穿過阻障物2210形成的多個通道,其使淨化氣體(如惰性氣體,例如氬氣)流入相鄰腔室之間的空間,和/或將氣體自相鄰腔室之間的空間泵送出。例如,泵-淨化系統2280可以包括第一通道2282,如藉由泵迫使淨化氣體通過該第一通道2282而進入阻障物2272和工件支撐件2214之間的空間2202中。第一通道2282的任一側(相對於工件支撐件2214的運動方向)可以側接第二通道2284和第三通道2286,第二通道2284和第三通道2286連接到泵以抽吸氣體(包括淨化氣體和來自相鄰腔室(如腔室2204a)的任何氣體)。每個通道可以是細長槽,其大致沿徑向延伸。
腔室2204a-2204中的至少一個提供電漿反應器2100的電漿腔室。電漿反應器包括頂部電極陣列組件2106和RF電源2122,以及亦可以包括流體供應2146和/或熱交換器。處理氣體可以透過沿著一個或兩個阻障物2270定位到腔室2104的端口2210供應。在一些實施中,端口2210僅定位在腔室2104的前側(相對於工件支撐件2214的運動方向)。或者或甚者,可以透過工具主體2202的側壁2202a的端口供應處理氣體。
圖15A繪示電極組件2106的實例。電極組件2106包括介電頂板2130、複數個導體2120和介電底板2132。如上所述,導體2120可以經佈置為平行線性帶,其在工件支撐件2114上方側向地延伸。介電頂板2130可以是陶瓷材料。
介電底板2132提供用於RF功率的窗,即,對用於產生電漿的頻率的RF輻射實質透明。例如,底板2132可以是石英或氮化矽。底板可以保護電漿製程和工件環境免受金屬污染或顆粒形成的影響,否則如果導體或陶瓷暴露於電漿則可能發生。底板2132可以是定期更換的消耗性元件。底板可以相對較薄,如0.25mm-2mm,例如0.5mm。
導體可以具有1-5mm的寬度,以及導體120之間的間隔W可以是0.5至3mm。導體可以比該間隔寬,如寬約兩倍。
下部介電板2132的厚度T應小於導體2120之間的間隔W的兩倍,例如,小於導體之間的間隔W。在較高壓力下,下部介電板2132和上部介電板2130之間的間隙應該是「小的」,如小於0.5mm,例如小於0.25mm,以避免在板後面發生電漿。
導體2120可以在介電頂板表面2130的下表面上直接形成。例如,導體2120可以藉由橫跨底表面上沉積(如電鍍、濺射或CVD)薄層,然後藉由蝕刻進行圖案化來形成導體2120,以形成帶狀線結構。然後導體可以由介電底部介電板2132覆蓋。
導體2120也可以嵌入(即埋)在介電頂板的表面下方。例如,頂板2130可以是陶瓷結構,其結構類似於靜電晶圓卡盤。對於埋入的導體,介電底板變為可選的,但仍可用作介電蓋(如石英製的),以保護頂板的底表面。
在示範性實施中,45對(總共90個)平行導體2120沉積在方形結構陶瓷頂板2130上。導體2120的線寬各為3mm,其中間隔1.5mm(因此導體以4.5mm的間距(pitch)排列)。導體可以是400mm長,具有穿過陶瓷頂板2130的垂直饋通線,以及在大氣壓下在背面上形成的電連接。每隔一個電極在一側上連接到總線,其餘(交替)電極各自連接到另一側上的總線,從而形成兩個陣列。在60MHz下且180度相位差的RF功率橫跨在兩個陣列上連接。
參考圖15B,可以在介電頂板2130的底表面2130a中形成複數個槽2136,以及導體2120可以切合於槽中。槽2136可以經佈置為平行的線性條紋(stripe)。
在一些實施中,每一導體2120是絲2150的一部分。絲2150可以切合於其相應的凹槽2136中。絲2150可以包括圍繞並保護導體2120的殼。絲2150可以由參考圖3A-C所述的各種絲300提供。
參考圖15C,在一些實施中,導體2120可以由頂板2130上的導電塗層提供。例如,導體2120可以是鍍在陶瓷頂板2130上的帶狀線電極。每個導體2120可以是個別槽2136的一個或多個內表面上的塗層。導體2120和底板2132之間的空間可以提供導管2450。導管2450可以承載如圖3A所述之流體。
使用2-D模型施行電漿模擬以研究電漿參數對氣體壓力的依賴性。計算域超過兩個半對(two half-pairs)電極。假設製程條件為每個源1450sccm Argon+50sccm N2 ,6Torr,每對半個電極(per pair of half electrode)200W。模擬表示在電極下方的區域中電漿密度通常會更高。Ar+密度和電子密度相似(N2 +密度低得多),主要是由於氬對N2 氣體供應的比例高。
已經描述了本發明的特定實施例。雖然本說明書含有許多具體的實施細節,但許多其他變體也是可能的。例如: · 工件可線性地移動通過一系列腔室,例如在帶或線性致動平台上,而不是旋轉平台。另外,工件可以是靜止的,如工件支撐件不會相對於絲移動。 · 將RF功率在總線的中心、端或其他位置或總線上的位置的組合處連接到導體總線。 · 可以在總線的中心、端或其他位置或位置組合處施行電極總線的接地。 · RF電源供應可以施用RF、VHF、UHF或微波範圍內的信號。
其他實施例係在以下申請專利範圍的範圍內。
100‧‧‧處理工具102‧‧‧腔室主體104‧‧‧內部空間106‧‧‧支撐件108‧‧‧頂部電極110‧‧‧氣體分配器
112:氣體供應
113:真空泵
114:工件支撐件
114a:工件支撐表面
115:工件
116:工件支撐電極
118:工件偏壓電壓供應
119:內部通道
120:腔室內電極組件
122:RF電源
123:開口
124:平衡不平衡轉換器
130:頂部間隙
132:底部間隙
133:底部內部空間
140:RF接地
142:RF偏壓功率產生器
144:阻抗匹配
146:流體供應
148:熱交換器
150:軸
152:致動器
154:驅動軸
200:處理工具
202‧‧‧圓柱形腔室主體204‧‧‧內部空間206‧‧‧支撐件208‧‧‧頂部電極210‧‧‧氣體入口220‧‧‧電極組件220a‧‧‧電極組件220b‧‧‧電極組件221‧‧‧外壁221a‧‧‧頂板260‧‧‧前驅物站260a‧‧‧第一前驅物站270‧‧‧徑向隔件280‧‧‧前驅物處理區域280a‧‧‧前驅物處理區域280b‧‧‧第二前驅物處理區域281‧‧‧氣體隔離區282‧‧‧第一泵送區283‧‧‧淨化區284‧‧‧第二泵送區285a‧‧‧電漿處理區域285b‧‧‧第二電漿處理區域300‧‧‧絲302‧‧‧絲304‧‧‧絲310‧‧‧導體320‧‧‧圓柱形殼330‧‧‧通道340‧‧‧中空通道400‧‧‧腔室內電極組件402‧‧‧支撐件410‧‧‧間距412‧‧‧電漿區域414‧‧‧上部電漿區域416‧‧‧下部電漿區域422‧‧‧RF電源422a‧‧‧第一終端422b‧‧‧第二終端510‧‧‧第一電極子組件520‧‧‧第二電極子組件522‧‧‧第一電極子組件524‧‧‧第一電極子組件530‧‧‧第一總線532‧‧‧第二電極子組件534‧‧‧第二電極子組件540‧‧‧第二總線550‧‧‧第三總線560‧‧‧第四總線580‧‧‧可調阻抗590‧‧‧中心饋電592‧‧‧X形電流分離器600‧‧‧電極組件601‧‧‧電極組件602‧‧‧第一端604‧‧‧第二端605‧‧‧平分線610‧‧‧共面絲620‧‧‧框架622‧‧‧切口624‧‧‧楔形電極625‧‧‧上部626‧‧‧內側壁627‧‧‧開口650‧‧‧處理工具700‧‧‧電極組件702‧‧‧電極組件704‧‧‧電極組件706‧‧‧電極組件710‧‧‧絲712‧‧‧共面絲714‧‧‧共面絲730‧‧‧第一總線732‧‧‧第一總線734‧‧‧第一總線736‧‧‧第一總線740‧‧‧第二總線742‧‧‧第二總線746‧‧‧第二總線751‧‧‧第二端752‧‧‧第一端753‧‧‧第二端754‧‧‧第一端755‧‧‧第二端756‧‧‧驅動點800‧‧‧電極組件801‧‧‧切換式電極系統802‧‧‧切換式電極系統804‧‧‧切換式電極系統806‧‧‧切換式電極系統808‧‧‧切換式電極系統810‧‧‧絲811‧‧‧第一端812‧‧‧第二端816‧‧‧第一多重817‧‧‧第二多重820‧‧‧第一總線821‧‧‧第三端822‧‧‧第二總線824‧‧‧第三總線826‧‧‧第四總線828‧‧‧第四總線830‧‧‧第一RF開關831‧‧‧第一終端832‧‧‧第二終端834‧‧‧第二RF開關836‧‧‧第三RF開關838‧‧‧第四RF開關838840‧‧‧第一分接頭842‧‧‧第二分接頭844‧‧‧分接頭846‧‧‧分接頭848‧‧‧分接頭850‧‧‧第一RF開關組854‧‧‧第二RF開關組856‧‧‧第三RF開關組858‧‧‧第四RF開關組860‧‧‧子開關860a-860h‧‧‧子開關870‧‧‧第一RF開關組874‧‧‧第二RF開關組876‧‧‧第三RF開關組878‧‧‧第四RF開關組900‧‧‧切換式電極系統902‧‧‧切換式電極組件940‧‧‧分接頭942‧‧‧分接頭1000‧‧‧切換式電極組件1010‧‧‧楔形電極組件1030‧‧‧第一RF開關1034‧‧‧第二RF開關1036‧‧‧第三RF開關1038‧‧‧第四RF開關1040‧‧‧分接頭1100‧‧‧PIN二極體開關1102‧‧‧可飽和電感器開關1110‧‧‧PIN二極體1120‧‧‧第一電容器1122‧‧‧第二電容器1124‧‧‧第一電容器1126‧‧‧第二電容器1131‧‧‧第一終端1132‧‧‧第二終端1134‧‧‧控制終端1135‧‧‧控制終端1136‧‧‧控制信號緩衝放大器1137‧‧‧控制信號緩衝放大器1138‧‧‧低通濾波器1140‧‧‧電感器1150‧‧‧電感器1160‧‧‧可飽和電感器1162‧‧‧初級繞組1164‧‧‧控制繞組1200‧‧‧基於頻率的開關1200a‧‧‧第一基於頻率的開關1200b‧‧‧第二基於頻率的開關1204‧‧‧頻率切換式電極系統1220‧‧‧第一電容器1222‧‧‧第二電容器1231‧‧‧第一終端1232‧‧‧第二終端1240‧‧‧第一電感器1242‧‧‧第二電感器1250a‧‧‧第一頻率選擇性終端1250b‧‧‧第二頻率選擇性終端1260‧‧‧分接頭2100‧‧‧電漿反應器2102‧‧‧腔室主體2102a‧‧‧側壁2102b‧‧‧頂板2102c‧‧‧底板2104‧‧‧內部空間2106‧‧‧電極組件2110‧‧‧端口2112‧‧‧氣體供應2113‧‧‧真空泵2114‧‧‧工件支撐件2114a‧‧‧工件支撐表面2116‧‧‧工件支撐電極2118‧‧‧工件偏壓電壓供應2119‧‧‧內部通道2120‧‧‧複數個導體2122‧‧‧RF電源2130‧‧‧介電頂板2130a‧‧‧底表面2132‧‧‧底板2136‧‧‧槽2142‧‧‧RF偏壓功率產生器2144‧‧‧阻抗匹配2146‧‧‧流體供應2148‧‧‧熱交換器2150‧‧‧散熱器2152‧‧‧通道2154‧‧‧熱交換器2200‧‧‧處理工具2202‧‧‧主體2202a‧‧‧側壁2202b‧‧‧頂板2202c‧‧‧底板2204‧‧‧內部空間2204a-2204d‧‧‧腔室2210‧‧‧阻障物2214‧‧‧工件支撐件2214a‧‧‧工件支撐表面2260‧‧‧軸2262‧‧‧馬達2270‧‧‧阻障物2272‧‧‧阻障物2280‧‧‧泵-淨化系統2282‧‧‧第一通道2284‧‧‧第二通道2286‧‧‧第三通道2450‧‧‧導管H1‧‧‧第一間隙高度H2‧‧‧第二間隙高度R1‧‧‧內半徑R2‧‧‧外半徑S‧‧‧間隔θca‧‧‧中心角
在附圖和以下描述中闡述了本發明的一個或多個實施例的細節。本發明的其他特徵、目的和優點將由說明書、圖式以及申請專利範圍得以彰顯。
附圖說明
圖1是包括電漿腔室的處理工具的實例的示意性側視圖。
圖2A是包括電漿腔室的處理工具的實例的示意性頂視圖。
圖2B-2C是圖2A的處理工具分別沿截面線B-B和C-C的橫截面側視圖。
圖3A-3C是腔室內電極組件的絲的各種實例的示意性橫截面透視圖。
圖4A是腔室內電極組件的一部分的示意性頂視圖。
圖4B-C是具有不同電漿區域狀態的腔室內電極組件的橫截面示意性側視圖。
圖5A-D是腔室內電極組件配置的各種實例的示意性頂視圖。
圖6A是處理工具的實例的示意性頂視圖。
圖6B是楔形電極組件的實例的示意性頂視圖。
圖6C是楔形電極組件的框架的實例的示意性頂視圖。
圖6D是楔形電極組件的框架的實例的橫截面側視圖。
圖6E是楔形電極組件的實例的示意性頂視圖。
圖7A-7D是楔形電極組件的電氣配置的實例的概念示意圖。
圖8A是電極組件的實例的示意性頂視圖。
圖8B-8F是切換式電極組件的電氣配置的實例的概念示意圖。
圖9A-9B是模式可選的切換式電極系統的實例的概念示意圖。
圖10是切換式楔形電極系統的實例的概念示意圖。
圖11A是PIN二極體開關的實例的示意圖。
圖11B是可飽和電感開關的實例的示意圖。
圖12A是基於頻率的開關的實例的示意圖。
圖12B-C是頻率切換式電極系統的電氣配置的實例的概念示意圖。
圖13是電漿反應器的實例的示意性側視圖。
圖14A是電漿反應器的另一個實例的示意性頂視圖。
圖14B和14C是圖14A的電漿反應器分別沿線14B-14B和14C-14C的示意性側視圖。
圖15A-15C是電極組件的示意性橫截面圖。
在不同圖示中的相同數字編號代表相同的元件。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無
100:處理工具
102:腔室主體
104:內部空間
106:支撐件
108:頂部電極
110:氣體分配器
112:氣體供應
113:真空泵
114:工件支撐件
114a:工件支撐表面
115:工件
116:工件支撐電極
118:工件偏壓電壓供應
119:內部通道
120:腔室內電極組件
122:RF電源
123:開口
124:平衡不平衡轉換器
130:頂部間隙
132:底部間隙
133:底部內部空間
140:RF接地
142:RF偏壓功率產生器
144:阻抗匹配
146:流體供應
148:熱交換器
150:軸
152:致動器
154:驅動軸

Claims (37)

  1. 一種用於一電漿處理的處理工具,該處理工具包括:一腔室主體,該腔室主體具有一內部空間,該內部空間提供一電漿腔室;一框架,該框架具有一上部、從該上部向下延伸的多個側壁和從該等側壁向內延伸的一底板,該底板具有穿過該底板通到該電漿腔室的一開口,其中該開口是楔形的且該開口的一寬度小於在該等側壁之間的該框架的一內部容積的一寬度;一電極組件,該電極組件由該框架保持,該電極組件包含複數個共面絲,該複數個共面絲側向地延伸通過該框架的該內部容積及該等側壁之間和該開口上方,該複數個絲中的每個絲包含一導體,其中該複數個絲相對於該開口的一中線以一不同的角度定向,並且其中該等不同的角度在該楔形開口中從該楔形開口的一頂點到該楔形開口的一基部單調地變化,使得該複數個絲的每個絲越過該楔形開口的長度相等;一工件支撐件,該工件支撐件在該電漿腔室中固持一工件,使得該工件的一前表面的至少一部分面向該框架的該底板中的該開口;一致動器,該致動器在該腔室主體和該工件支撐件 之間產生相對運動,使得該開口側向地(laterally)移動橫越過(across)該工件;一氣體分配器,該氣體分配器將一處理氣體輸送到該電漿腔室;及一第一RF電源,該第一RF電源向該電極組件的該等導體提供一第一RF功率以形成一電漿。
  2. 如請求項1所述之處理工具,其中該工件支撐件可繞一旋轉軸旋轉,且該致動器轉動該工件支撐件,使得該支撐件的旋轉承載該工件橫越過該開口。
  3. 如請求項2所述之處理工具,其中該複數個共面絲延伸橫越過該楔形開口。
  4. 如請求項3所述之處理工具,其中該複數個共面絲包括多個線性絲,且不同的絲具有不同的長度,以便界定該楔形開口。
  5. 如請求項4所述之處理工具,其中該複數個共面絲平行延伸。
  6. 如請求項4所述之處理工具,其中該等不同角度提供該複數個共面絲的一相對定向,使得在該楔形開口中產生的一電漿密度在該楔形開口的該頂點處比在該楔形開口的該基部處低。
  7. 如請求項3所述之處理工具,其中該複數個共面絲經定向,以具有相對於該開口下方的該工件的 一運動方向成一非零角度的縱軸。
  8. 如請求項7所述之處理工具,其中該非零角度大於10°。
  9. 如請求項1所述之處理工具,其中該複數個共面絲的導體的端藉由一遞迴式RF饋送結構連接到該第一RF電源。
  10. 如請求項1所述之處理工具,其中該複數個共面絲的導體的相對端連接到一共用總線(bus),且該共用總線在兩個相對的位置處連接到該第一RF電源。
  11. 一種電漿反應器,包括:一腔室主體,該腔室主體具有一內部空間,該內部空間提供一電漿腔室;一氣體分配器,該氣體分配器將一處理氣體輸送到該電漿腔室;一泵,該泵耦接該電漿腔室以抽空該腔室;一工件支撐件,該工件支撐件固持一工件;一腔室內電極組件,該腔室內電極組件包含複數個絲,該複數個絲側向地延伸通過該電漿腔室的一頂板和該工件支撐件之間的該電漿腔室,每個絲包含由一圓柱形絕緣殼包圍的一導體,其中該複數個絲包含第一多重絲和第二多重絲,該第二多重絲與該第一多重 絲以一交替的模式佈置;一第一總線與一第二總線,該第一總線耦接該第一多重絲,該第二總線耦接該第二多重絲;一RF電源,該RF電源將一RF信號施加於該腔室內電極組件;及至少一個RF開關,該至少一個RF開關經配置可控制地將該第一總線與以下各者中的一者電耦接及去耦:i)地、ii)該RF電源或iii)該第二總線。
  12. 如請求項11所述之電漿反應器,其中該至少一個RF開關包括在該第一總線與以下各者中的一者之間並聯連接的複數個RF開關:i)地、ii)該RF電源或iii)該第二總線。
  13. 如請求項11所述之電漿反應器,其中該至少一個RF開關經配置可控制地將該第一總線與該第二總線電耦接及去耦。
  14. 如請求項13所述之電漿反應器,其中該至少一個RF開關包括在該第一總線與該第二總線上的不同對位置之間並聯連接的複數個開關,以可控制地將該第一總線與該第二總線電耦接和去耦。
  15. 如請求項11所述之電漿反應器,其中該至少一個RF開關包括一第一開關,該第一開關經配置可控制地將該第一總線與地電耦接和去耦,且包括至 少一個第二RF開關,該第二RF開關經配置可控制地將該第二總線與地電耦接和去耦。
  16. 如請求項15所述之電漿反應器,其中該至少一個RF開關包括在該第一總線上的不同位置與地之間並聯連接的第一複數個開關,且該至少一個第二開關包括在該第二總線上的不同位置與地之間並聯連接的第二複數個開關。
  17. 如請求項16所述之電漿反應器,其中該第一總線上的不同位置包括該第一總線的相對端,且該第二總線上的不同位置包括該第二總線的相對端。
  18. 如請求項11所述之電漿反應器,其中該至少一個RF開關包括一第一開關,該第一開關經配置可控制地將該第一總線與該RF電源電耦接和去耦,且包括至少一個第二RF開關,該第二RF開關經配置可控制地將該第二總線與該RF電源電耦接和去耦。
  19. 如請求項18所述之電漿反應器,其中該至少一個RF開關包括在該第一總線上的不同位置與該RF電源之間並聯連接的第一複數個開關,且該至少一個第二開關包括在該第二總線上的不同位置與該RF電源之間並聯連接的第二複數個開關。
  20. 如請求項18所述之電漿反應器,其中該至少一個RF開關包括在該第一總線上的不同位置與該 RF電源之間並聯連接的第一複數個開關,且該至少一個第二開關包括在該第二總線上的不同位置與地之間並聯連接的第二複數個開關。
  21. 如請求項19或20所述之電漿反應器,其中該第一總線上的不同位置包括該第一總線的相對端,且該第二總線上的不同位置包括該第二總線的相對端。
  22. 如請求項11所述之電漿反應器,包括:一第三總線與一第四總線,該第三總線耦接該第一多重絲,該第四總線耦接該第二多重絲,其中該複數個絲具有複數個第一端和複數個第二端,且各個相應絲的第一端比相應絲的第二端更靠近該電漿腔室的一第一側壁,及其中該第一總線耦接至該第一多重絲的該等第一端,該第二總線耦接至該第二多重絲的該等第一端,該第三總線耦接至該第一多重絲的該等第二端,以及該第四總線耦接至該第二多重絲的該等第二端。
  23. 如請求項22所述之電漿反應器,其中該至少一個RF開關經配置可控制地將該第一總線與該第二總線電耦接和去耦,且包括至少一個第二RF開關,該第二RF開關經配置可控制地將該第三總線與該第四總線電耦接和去耦。
  24. 如請求項22所述之電漿反應器,其中該至少一個RF開關包括一第一開關,該第一開關經配置可控制地將該第一總線與地電耦接和去耦,且包括至少一個第二RF開關,該第二RF開關經配置可控制地將該第三總線與地電耦接和去耦。
  25. 如請求項22所述之電漿反應器,其中該至少一個RF開關包括一第一開關,該第一開關經配置可控制地將該第一總線與地電耦接和去耦,且包括至少一個第二RF開關、至少一個第三RF開關,該第二RF開關經配置可控制地將該第二總線與該RF電源電耦接和去耦,該第三RF開關經配置可控制地將該第三總線與地電耦接和去耦,且包括至少一個第四RF開關,該第四RF開關經配置可控制地將該第四總線與該RF電源電耦接和去耦。
  26. 如請求項22所述之電漿反應器,其中該至少一個RF開關包括一第一開關,該第一開關經配置可控制地將該第一總線與該RF電源電耦接和去耦,且包括至少一個第二RF開關、至少一個第三RF開關,該第二RF開關經配置可控制地將該第二總線與該RF電源電耦接和去耦,該第三RF開關經配置可控制地將該第三總線與RF電源電耦接和去耦,且包括至少一個第四RF開關,該第四RF開關經配置可控制地 將該第四總線與該RF電源電耦接和去耦。
  27. 一種電漿反應器,包括:一腔室主體,該腔室主體具有一內部空間,該內部空間提供一電漿腔室;一氣體分配器,該氣體分配器將一處理氣體輸送到該電漿腔室;一泵,該泵耦接該電漿腔室以抽空該腔室;一工件支撐件,該工件支撐件固持一工件;一腔室內電極組件,該腔室內電極組件包含複數個絲,該複數個絲側向地延伸通過該電漿腔室的一頂板和該工件支撐件之間的該電漿腔室,每個絲包含由一圓柱形絕緣殼包圍的一導體;一總線,該總線在該腔室外且耦接至複數個絲的相對端;一RF電源,該RF電源將一RF信號施加於該腔室內電極組件;及複數個RF開關,該複數個RF開關經配置可控制地將總線上的複數個不同位置與以下各者中的一者電耦接和去耦:i)地或ii)該RF電源。
  28. 一種電漿反應器,包括:一腔室主體,該腔室主體具有一內部空間,該內部空間提供一電漿腔室; 一氣體分配器,該氣體分配器將一處理氣體輸送到該電漿腔室;一工件支撐件,該工件支撐件固持一工件;一電極組件,該電極組件包含複數個導體,該複數個導體以一共面陣列的方式平行側向地延伸橫越過一區域,該區域跨越該工件支撐件上的該工件的一預期位置;一RF電源,該RF電源向該電極組件提供一第一RF功率;及一介電底板,該介電底板在該電極組件和該工件支撐件之間,該介電底板在該電極組件和該電漿腔室之間提供一RF窗;一介電頂板,該介電頂板具有一下表面,該下表面具有複數個平行槽;及複數個絲,該複數個絲在該介電頂板和該RF窗之間的該複數個平行槽中,每個絲包含來自該複數個導體的一單一導體和圍繞該單一導體並且定位在該介電頂板和該RF窗之間的一非金屬殼,其中該殼形成一導管,且該單一導體作為一實心線延伸穿過該導管並且懸置在該導管中,其中一間隙圍繞該單一導體並且將該單一導體與該殼分開,使得該單一導體與該殼的一內底板間隔開。
  29. 如請求項28所述之電漿反應器,其中該介電頂板是一陶瓷體,及該介電底板是石英或氮化矽。
  30. 如請求項28所述之電漿反應器,其中該複數個導體包括第一多重導體和第二多重導體,該第二多重導體以與該第一多重導體交替的模式佈置,且該RF電源經配置將一第一RF輸入信號施加於該第一多重導體,以及將一第二RF輸入信號施加於該第二多重導體。
  31. 如請求項30所述之電漿反應器,其中該RF電源經配置以相同的頻率產生該第一RF輸入信號和該第二RF輸入信號。
  32. 如請求項31所述之電漿反應器,其中該RF電源經配置在該第一RF輸入信號和該第二RF輸入信號之間提供一可調整的相位差。
  33. 如請求項30所述之電漿反應器,其中該複數個導體在該電漿腔室的一第一側具有複數個第一端,且在該電漿腔室的相對的一第二側具有複數個第二端。
  34. 如請求項33所述之電漿反應器,其中該RF電源經配置將該第一RF輸入信號施加於該第一多重導體的該第一端,以及將該第二RF輸入信號施加於該第二多重導體的該第二端。
  35. 如請求項34所述之電漿反應器,其中該第一多重導體的第二端是浮動的,以及該第二多重導體的第一端是浮動的。
  36. 如請求項34所述之電漿反應器,其中該第一多重導體的該等第一端連接到一第一共用總線,以及該第二多重導體的該等第二端連接到一第二共用總線。
  37. 如請求項34所述之電漿反應器,其中該第一多重導體的該等第二端接地,以及該第二多重導體的該等第一端接地。
TW107119619A 2017-06-22 2018-06-07 用於電漿處理的處理工具及電漿反應器 TWI794240B (zh)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US201762523768P 2017-06-22 2017-06-22
US15/630,658 US11114284B2 (en) 2017-06-22 2017-06-22 Plasma reactor with electrode array in ceiling
US15/630,658 2017-06-22
US15/630,828 US11355321B2 (en) 2017-06-22 2017-06-22 Plasma reactor with electrode assembly for moving substrate
US15/630,828 2017-06-22
US62/523,768 2017-06-22

Publications (2)

Publication Number Publication Date
TW201905957A TW201905957A (zh) 2019-02-01
TWI794240B true TWI794240B (zh) 2023-03-01

Family

ID=64737396

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107119619A TWI794240B (zh) 2017-06-22 2018-06-07 用於電漿處理的處理工具及電漿反應器

Country Status (5)

Country Link
JP (1) JP7198228B2 (zh)
KR (1) KR102620096B1 (zh)
CN (1) CN110945624B (zh)
TW (1) TWI794240B (zh)
WO (1) WO2018237113A1 (zh)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2020257965A1 (en) * 2019-06-24 2020-12-30 Trumpf Huettinger (Shanghai) Co., Ltd. Method of adjusting the output power of a power supply supplying electrical power to a plasma, plasma apparatus and power supply
JP7407607B2 (ja) 2020-01-31 2024-01-04 株式会社Screenホールディングス プラズマ発生装置および基板処理装置
CN114496693A (zh) * 2020-11-11 2022-05-13 中微半导体设备(上海)股份有限公司 多区加热装置、下电极组件、等离子处理装置及调温方法
JP2023027962A (ja) * 2021-08-18 2023-03-03 株式会社Screenホールディングス 基板処理装置
TWI825651B (zh) * 2022-04-01 2023-12-11 韓商細美事有限公司 利用電漿的基板處理裝置及方法

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200505293A (en) * 2003-04-24 2005-02-01 Tokyo Electron Ltd Plasma monitoring method, plasma monitor and plasma treatment apparatus
US8436318B2 (en) * 2010-04-05 2013-05-07 Varian Semiconductor Equipment Associates, Inc. Apparatus for controlling the temperature of an RF ion source window
EP2215283B1 (en) * 2007-09-26 2014-04-30 Eastman Kodak Company Process of making an optical film by atomic layer deposition (ald) at atmospheric pressure
TW201428825A (zh) * 2010-10-26 2014-07-16 Hitachi Int Electric Inc 基板處理裝置、半導體裝置之製造方法及電腦可讀取的記錄媒體
US20160024653A1 (en) * 2013-03-15 2016-01-28 Applied Materials, Inc. Plasma Source For Rotating Platen ALD Chambers
TW201712722A (zh) * 2015-09-28 2017-04-01 應用材料股份有限公司 以電漿點源之陣列處理工件的電漿反應器
TW201714493A (zh) * 2015-09-11 2017-04-16 應用材料股份有限公司 具有槽式接地板的電漿模組

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0756309A1 (en) * 1995-07-26 1997-01-29 Applied Materials, Inc. Plasma systems for processing substrates
US6161499A (en) * 1997-07-07 2000-12-19 Cvd Diamond Corporation Apparatus and method for nucleation and deposition of diamond using hot-filament DC plasma
JP3586197B2 (ja) 2000-03-23 2004-11-10 シャープ株式会社 薄膜形成用プラズマ成膜装置
JP2004128159A (ja) * 2002-10-01 2004-04-22 Mitsubishi Heavy Ind Ltd 高周波プラズマ発生装置および高周波プラズマ発生方法
JP4413084B2 (ja) 2003-07-30 2010-02-10 シャープ株式会社 プラズマプロセス装置及びそのクリーニング方法
US8293069B2 (en) * 2004-03-15 2012-10-23 Sungkyunkwan University Inductively coupled plasma apparatus
JP4179337B2 (ja) * 2006-05-17 2008-11-12 日新イオン機器株式会社 イオン源およびその運転方法
KR101073834B1 (ko) * 2009-09-10 2011-10-14 주성엔지니어링(주) 플라즈마 처리장치 및 처리방법
US8920597B2 (en) * 2010-08-20 2014-12-30 Applied Materials, Inc. Symmetric VHF source for a plasma reactor
US9048518B2 (en) * 2011-06-21 2015-06-02 Applied Materials, Inc. Transmission line RF applicator for plasma chamber
KR20130117994A (ko) * 2012-04-19 2013-10-29 주성엔지니어링(주) 플라즈마 처리 장치 및 플라즈마 처리 방법
US9373517B2 (en) * 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
JP2014049541A (ja) 2012-08-30 2014-03-17 Mitsubishi Heavy Ind Ltd 薄膜製造装置及びその電極電圧調整方法
US9449795B2 (en) * 2013-02-28 2016-09-20 Novellus Systems, Inc. Ceramic showerhead with embedded RF electrode for capacitively coupled plasma reactor
TWI717610B (zh) * 2013-08-16 2021-02-01 美商應用材料股份有限公司 用於高溫低壓環境中的延長的電容性耦合的電漿源
EP2849204B1 (de) * 2013-09-12 2017-11-29 Meyer Burger (Germany) AG Plasmaerzeugungsvorrichtung
US20150252477A1 (en) 2014-03-06 2015-09-10 Applied Materials, Inc. In-situ carbon and oxide doping of atomic layer deposition silicon nitride films
US9336997B2 (en) * 2014-03-17 2016-05-10 Applied Materials, Inc. RF multi-feed structure to improve plasma uniformity
JP2017033788A (ja) 2015-08-03 2017-02-09 日新電機株式会社 プラズマ処理装置
JP2017107963A (ja) * 2015-12-09 2017-06-15 東京エレクトロン株式会社 プラズマ処理装置及び成膜方法

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200505293A (en) * 2003-04-24 2005-02-01 Tokyo Electron Ltd Plasma monitoring method, plasma monitor and plasma treatment apparatus
EP2215283B1 (en) * 2007-09-26 2014-04-30 Eastman Kodak Company Process of making an optical film by atomic layer deposition (ald) at atmospheric pressure
US8436318B2 (en) * 2010-04-05 2013-05-07 Varian Semiconductor Equipment Associates, Inc. Apparatus for controlling the temperature of an RF ion source window
TW201428825A (zh) * 2010-10-26 2014-07-16 Hitachi Int Electric Inc 基板處理裝置、半導體裝置之製造方法及電腦可讀取的記錄媒體
US20160024653A1 (en) * 2013-03-15 2016-01-28 Applied Materials, Inc. Plasma Source For Rotating Platen ALD Chambers
TW201714493A (zh) * 2015-09-11 2017-04-16 應用材料股份有限公司 具有槽式接地板的電漿模組
TW201712722A (zh) * 2015-09-28 2017-04-01 應用材料股份有限公司 以電漿點源之陣列處理工件的電漿反應器

Also Published As

Publication number Publication date
JP2020524903A (ja) 2020-08-20
KR20200011576A (ko) 2020-02-03
WO2018237113A1 (en) 2018-12-27
CN110945624A (zh) 2020-03-31
TW201905957A (zh) 2019-02-01
CN110945624B (zh) 2022-11-18
KR102620096B1 (ko) 2024-01-03
JP7198228B2 (ja) 2022-12-28

Similar Documents

Publication Publication Date Title
TWI794240B (zh) 用於電漿處理的處理工具及電漿反應器
US10510515B2 (en) Processing tool with electrically switched electrode assembly
US11114284B2 (en) Plasma reactor with electrode array in ceiling
KR102060223B1 (ko) 높은 종횡비 피쳐들을 에칭하기 위한 다중 주파수 전력 변조
KR101094124B1 (ko) 균일한 프로세스 레이트를 발생시키는 안테나
US20180308663A1 (en) Plasma reactor with phase shift applied across electrode array
US7976674B2 (en) Embedded multi-inductive large area plasma source
KR102586592B1 (ko) 고온 rf 가열기 페디스털들
TWI448215B (zh) 電漿處理裝置
JP7345600B2 (ja) 空間プラズマ原子層堆積(pe-ald)処理ツール用のマイクロ波プラズマ源
US11355321B2 (en) Plasma reactor with electrode assembly for moving substrate
KR20190134811A (ko) 전극 필라멘트들을 갖는 플라즈마 반응기
JP2003109798A (ja) 放電装置、プラズマ処理方法および太陽電池
US20180308664A1 (en) Plasma reactor with filaments and rf power applied at multiple frequencies
KR102501096B1 (ko) 플라즈마 반응기의 전극들에의 전력 인가
TWI578376B (zh) 做為全平面源之整體式感應線圈及微波天線
KR101239776B1 (ko) 타깃에 인가되는 rf 소스 파워에 의한 물리 기상 증착플라즈마 반응기
US20180308667A1 (en) Plasma reactor with groups of electrodes
KR20180101271A (ko) 유기 재료들의 자가 제한 에칭을 수행하기 위한 프로세스
WO2021011039A1 (en) Equipment and methods for plasma processing
US20240212995A1 (en) Apparatus for treating substrate
TW202247711A (zh) 用於空間電漿增強原子層沉積(pe-ald)處理工具的微波電漿源
WO1996025834A1 (fr) Appareil de traitement du plasma