TWI790509B - 高氧化物膜移除速率的淺溝隔離(sti)化學機械平坦化(cmp)研磨 - Google Patents

高氧化物膜移除速率的淺溝隔離(sti)化學機械平坦化(cmp)研磨 Download PDF

Info

Publication number
TWI790509B
TWI790509B TW109142411A TW109142411A TWI790509B TW I790509 B TWI790509 B TW I790509B TW 109142411 A TW109142411 A TW 109142411A TW 109142411 A TW109142411 A TW 109142411A TW I790509 B TWI790509 B TW I790509B
Authority
TW
Taiwan
Prior art keywords
chemical mechanical
ceria
mechanical planarization
silicon dioxide
polishing composition
Prior art date
Application number
TW109142411A
Other languages
English (en)
Other versions
TW202122552A (zh
Inventor
曉波 史
克里希納 P 慕雷拉
約瑟 D 羅斯
周鴻君
馬克李納德 歐尼爾
Original Assignee
美商慧盛材料美國責任有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商慧盛材料美國責任有限公司 filed Critical 美商慧盛材料美國責任有限公司
Publication of TW202122552A publication Critical patent/TW202122552A/zh
Application granted granted Critical
Publication of TWI790509B publication Critical patent/TWI790509B/zh

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/04Lapping machines or devices; Accessories designed for working plane surfaces
    • B24B37/042Lapping machines or devices; Accessories designed for working plane surfaces operating processes therefor
    • B24B37/044Lapping machines or devices; Accessories designed for working plane surfaces operating processes therefor characterised by the composition of the lapping agent
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/02Polishing compositions containing abrasives or grinding agents
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1436Composite particles, e.g. coated particles
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1454Abrasive powders, suspensions and pastes for polishing
    • C09K3/1463Aqueous liquid suspensions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Composite Materials (AREA)
  • Mechanical Engineering (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Finish Polishing, Edge Sharpening, And Grinding By Specific Grinding Devices (AREA)

Abstract

本發明提供高氧化物膜移除速率淺溝槽隔離(STI)化學機械平坦化(CMP)研磨組合物、方法及其使用系統。該CMP研磨組合物包含塗覆二氧化鈰的無機氧化物粒子,例如塗覆二氧化鈰的二氧化矽之研磨料;及用於提供高氧化物膜移除速率的化學添加物。該化學添加物係於同一分子上兼具有負電荷和正電荷的明膠分子。

Description

高氧化物膜移除速率的淺溝隔離(STI)化學機械平坦化(CMP)研磨
相關申請案之相互參考 本案請求2019年12月4日申請的美國申請案第62/943,314號之優先權,在此以引用的方式將該申請案第62/943,314號之揭示內容併入本文。
本發明關於淺溝隔離(STI)化學機械平坦化(CMP)組合物、方法及對淺溝隔離(STI)製程具有高氧化物膜移除速率的系統。
在微電子裝置的製造中,涉及的重要步驟是研磨,尤其是化學機械研磨的表面以便回收選定的材料及/或使結構平坦化。
在圖案化的STI結構的整體平坦化中,快速移除氧化物膜(例如原矽酸四乙酯(TEOS)和高密度沉積化學氣相沉積(CVD)或HDP膜)的總體覆蓋層(bulk capping layer)係3D NAND的高生產量STI CMP製程、層間介電質(ILD)和STI CMP製程的關鍵要求。
美國專利第5,876,490號揭示含有研磨料粒子並顯現出法向應力作用的研磨組合物。該漿料另外含有會導致凹槽處的研磨速率降低的非研磨粒子,而該研磨料粒子則在高處保持高的研磨速率。這導致改善的平坦化作用。更明確地說,該漿料包含氧化鈰粒子及聚合物電解質,並且可用於淺溝隔離(STI)研磨的應用。
美國專利第6,964,923號教導用於淺溝隔離(STI)研磨應用的含有二氧化鈰粒子及聚合物電解質的研磨組合物。所使用的聚合物電解質包括聚丙烯酸的鹽類,類似於美國專利第5,876,490號中者。二氧化鈰、氧化鋁、二氧化矽及氧化鋯用作研磨料。此列出的聚電解質的分子量為300至20,000,但是總而言之<100,000。
美國專利第6,616,514號揭示一種化學機械研磨漿料,該化學機械研磨漿料優先用於藉由化學機械研磨從製品的表面移除第一物質而不是氮化矽。根據本發明的化學機械研磨漿料包括研磨料、水性介質及不離解質子的有機多元醇,前述有機多元醇包括具有至少三在水性介質中不離解的羥基的化合物或由至少一在水性介質中不可解離的具有至少三羥基的單體所形成之聚合物。
美國專利第6,984,588號揭示一種粉狀二氧化鈰漿料以評估其研磨空白二氧化矽和氮化物晶圓的能力。粒徑約2至4μm的Rhodite級400HS二氧化鈰係從紐約州希克斯維爾市的Universal Photonics購得並且使用攪動珠磨機粉碎至150 nm的初級中值粒度(primary median particle size)。在濕潤條件之下完成粉碎以使該粉碎製程之後的pH約7.5至8.5的所得漿料含有20%至30%的固體。
美國專利第6,544,892號揭示一種藉由化學機械研磨從製品的表面優先於氮化矽移除二氧化矽的方法,其包括使用研磨墊、水、研磨料粒子以及具有羧酸官能基及選自胺和鹵化物的第二官能基的有機化合物來研磨前述表面。
美國專利第7,247,082號揭示一種研磨組合物,其包含研磨料、pH調節劑、選擇性比率改進劑(improver)和水,其中該研磨料的含量為0.5至30重量%,該pH調節劑的含量為0.01至3重量%,該選擇性比率改進劑的含量為0.3至30重量%,並且水的含量為45至99.49重量%,其中重量%係以該研磨組合物的重量為基準計,並且其中該改進劑係一或更多選自由以下所組成的群組之化合物:甲胺、乙胺、丙胺、異丙胺、二甲胺、二乙胺、二丙胺、二異丙胺、伸乙二胺、1,2 -二胺基丙烷、1,3-丙二胺、1,4-丁二胺、六亞甲基二胺、N,N,N',N'-四甲基-1,6-二胺基己烷、6-(二甲基胺基)-1-己醇、雙(3-胺基丙基)胺、三伸乙基四胺、二甘醇雙(3-胺基丙基)醚、六氫吡嗪及六氫吡啶。(注意:有四漿料請求項。請求項:如請求項1之研磨組合物,其中該改進劑係一或更多選自由以下所組成的群組之化合物:丙胺、異丙胺、N,N,N',N'-四甲基-1,6-二胺基己烷、6-(二甲基胺基)-1-己醇、雙(3-胺基丙基)胺、三伸乙基四胺、二甘醇雙(3-胺基丙基)醚及六氫吡嗪。所請求的研磨料係膠態二氧化矽或發煙二氧化矽或混合物。)
美國專利第8,778,203號揭示一種用於選擇性地移除基材表面上的目標材料的方法,該方法包含以下步驟:提供包括目標材料和非目標材料的基材;將氧氣溶解在研磨溶液中以達成預定的溶氧濃度(dissolved oxygen concentration),該研磨溶液具有約5至約11的pH,其中該研磨溶液包含多數研磨料二氧化矽粒子,前述多數研磨料二氧化矽粒子中的至少一些係用氯化正-(三甲氧基甲矽烷基丙基)異硫脲鎓官能化;藉由對前述研磨溶液連續施加實質上純氧,使前述研磨溶液的預定溶氧濃度保持於約8.6 mg/L與約16.6 mg/L之間;將該研磨溶液置於研磨墊與該表面之間;將該研磨墊塗施於該表面上;及選擇性地移除預定厚度的目標材料;其中變化該研磨溶液的溶氧含量改變了在該移除步驟期間的目標材料與非目標材料的移除比率。美國專利第6,914,001號揭示一種化學機械研磨方法,其包含:使半導體晶圓的表面與研磨墊的表面接觸;將含有研磨料粒子、移動速率加速劑及不同的第一和第二鈍化劑的水溶液供應至該研磨墊的表面與該半導體晶圓的表面之間的界面,其中該第一鈍化劑係陰離子、陽離子或非離子表面活性劑;及相對於該研磨墊的表面旋轉該半導體晶圓的表面以移除該半導體晶圓上的氧化物材料。
但是,仍然非常需要STI CMP漿料,可藉著使用相對較低的研磨料濃度和能提升氧化物膜移除速率之合適的新化學添加物來提供高氧化物和介電質膜移除速率。
因此,從前述內容應該顯而易見的是此領域內仍然需要能在STI化學機械平坦化(CMP)製程中提供高氧化物膜移除速率的STI化學機械平坦化研磨的組合物、方法及系統。
本發明提供STI CMP組合物、方法及用於達成高氧化物膜移除速率及其相關CMP研磨方法的系統。
所揭示的用於淺溝隔離(STI) CMP應用的化學機械研磨(CMP)組合物具有使用塗覆二氧化鈰的無機氧化物研磨料粒子及於同一分子上兼具有負電荷和正電荷的明膠分子的獨特組合,並且係由天然來源或合成化學物質作為能提升氧化物膜移除速率之合適的化學添加物製成。
在一態樣中,提供一種STI CMP研磨組合物,其包含: 塗覆二氧化鈰的無機氧化物粒子; 於同一分子上兼具有負電荷和正電荷的明膠分子; 水溶性溶劑;及 視需要地 殺生物劑;及 pH調節劑; 其中該組合物具有2至12,較佳地3至10,更佳地4至9,且最佳地4.5至7.5的pH。
該塗覆二氧化鈰的無機氧化物粒子包括,但不限於,塗覆二氧化鈰的膠態二氧化矽、塗覆二氧化鈰的高純度膠態二氧化矽、塗覆二氧化鈰的氧化鋁、塗覆二氧化鈰的二氧化鈦、塗覆二氧化鈰的氧化鋯或任何其他塗覆二氧化鈰的無機金屬氧化物粒子。
該水溶性溶劑包括,但不限於,去離子(DI)水、蒸餾水及含醇有機溶劑。
該明膠分子能起氧化物膜移除速率提升劑的作用。
該明膠分子可為有機或無機性並且由天然來源或於同一分子上同時帶負電荷和正電荷的合成化學物質製成。
舉例來說,將由動物皮例如冷水魚皮、豬皮及牛皮製成的明膠考慮進去並且用作STI CMP研磨組合物中的明膠分子。
在另一態樣中,提供一種使用以上在淺溝隔離(STI)製程中所述的STI CMP研磨組合物對具有至少一包含二氧化矽膜的表面的基材進行化學機械研磨(CMP)之方法。
在另一態樣中,提供一種使用以上在淺溝隔離(STI)製程中所述的STI CMP研磨組合物對具有至少一包含二氧化矽膜的表面的基材進行化學機械研磨(CMP)之系統。
該研磨的氧化物膜可為由化學氣相沉積(CVD)、電漿強化CVD (PECVD)、高密度沉積CVD (HDP)形成的二氧化矽膜或旋塗二氧化矽膜(spin on silicon dioxide film)。
以上揭示的基材可另外包含矽氮化物表面。
在圖案化STI結構的整體平坦化中,採用高氧化物膜移除速率快速移除氧化物覆蓋層是要考慮的關鍵因素。因此,重要的是具有在用於有效地移除氧化物覆蓋層時能提供高氧化物膜移除速率的STI CMP研磨組合物。
本發明關於用於淺溝隔離(STI) CMP應用的化學機械研磨(CMP)組合物。
更具體地說,前揭用於淺溝隔離(STI) CMP應用的化學機械研磨(CMP)組合物具有使用塗覆二氧化鈰的無機氧化物研磨料粒子及合適的化學添加物作為氧化物膜移除速率提升劑的獨特組合。
該合適的化學添加物包括但不限於同一分子上同時具有負電荷和正電荷的明膠分子並且係由天然來源、合成化學物質及其組合製成。
在本發明的STI CMP研磨組合物中使用該明膠分子作為化學添加物提供達成高氧化物膜移除速率的益處,這對於高通量裝置製造要求高氧化物膜移除速率的VNAND、ILD及STI CMP應用非常有用。
在一態樣中,提供一種STI CMP研磨組合物,其包含: 塗覆二氧化鈰的無機氧化物粒子; 於同一分子上兼具有負電荷和正電荷的明膠分子; 水溶性溶劑;及 視需要地 殺生物劑;及 pH調節劑; 其中該組合物具有2至12的pH,較佳地3至10,更佳地4至9,最佳地4.5至7.5。
該塗覆二氧化鈰的無機氧化物粒子包括,但不限於,塗覆二氧化鈰的膠態二氧化矽、塗覆二氧化鈰的高純度膠態二氧化矽、塗覆二氧化鈰的氧化鋁、塗覆二氧化鈰的二氧化鈦、塗覆二氧化鈰的氧化鋯或任何其他塗覆二氧化鈰的無機金屬氧化物粒子。
在本發明揭示的這些塗覆二氧化鈰的無機氧化物粒子的平均粒徑(MPS)為10nm至1,000nm、20nm至500nm、30nm至400nm、50nm至250nm或100nm至200 nm。MPS是指該粒子的直徑並且使用動態光散射(DLS)技術進行測量。
這些塗覆二氧化鈰的無機氧化物粒子的濃度介於0.01重量%至20重量%、0.02重量%至10重量%、0.005重量%至5重量%或0.01重量%至3重量% %。
較佳的塗覆二氧化鈰的無機氧化物粒子係塗覆二氧化鈰的膠態二氧化矽粒子。
該明膠化學添加物包含於同一分子上同時帶有負電荷和正電荷的明膠分子。
該明膠分子可為有機或無機性並且可由天然來源或於同一分子上同時帶負電荷和正電荷的合成化學物質製成。
該明膠分子舉例來說包含提供負電荷的有機羧酸根官能基及提供正電荷的質子化有機胺基。除此之外,該明膠分子也可含有有機醯胺官能基、具有一氮原子作為雜原子的5員非芳族環。
舉例來說,該有機明膠分子係由動物皮製成的,例如,冷水魚皮、豬皮和牛皮。
來自冷水魚皮(G7041)、牛皮(G9381、G9382、G6650)和豬皮(G1890)的明膠可從密蘇里州聖路易斯的Sigma-Aldrich購得。
例如,由冷水魚皮製成的明膠的分子結構如下所示:
Figure 02_image001
該水溶性溶劑包括但不限於去離子(DI)水、蒸餾水和含醇有機溶劑。
該明膠的濃度介於0.0001重量%至5 重量%、0.0005 重量%至2 重量%、0.001 重量%至1 重量%、0.002 重量%至0.5 重量%、0.0025 重量%至0.25 重量%或0.003 重量%至0.1 重量%。
較佳的水溶性溶劑係去離子水。
該STI CMP組合物可含有0.0001重量%至0.05重量%、從0.0005重量%至0.025重量%或0.001重量%至0.01重量%的殺生物劑。
該殺生物劑包括,但不限於,來自Dupont/Dow Chemical公司的Kathon™、Kathon™ CG/ICP II及來自Dupont/Dow Chemical公司的Bioban。其具有5-氯-2-甲基-4-異噻唑啉-3-酮及2-甲基-4-異噻唑啉-3-酮的活性成分。
該STI CMP組合物可含有該pH調節劑。
酸性或鹼性的pH調節劑可用以將該STI研磨組合物調節至最適化pH值。
該pH調節劑包括,但不限於,硝酸、鹽酸、硫酸、磷酸、其他無機或有機酸及其混合物。
該pH調節劑也包括該鹼性pH調節劑,例如氫化鈉、氫氧化鉀、氫氧化銨、氫氧化四烷基銨、有機季銨氫氧化物化合物、有機胺及其他可用以將pH往鹼性更高的方向調節的化學試劑。
該STI CMP組合物含有0重量%至1重量%;0.01重量%至0.5重量%;或0.1重量%至0.25重量%的pH調節劑。
在另一態樣中,提供一種以淺溝隔離(STI)製程使用上述化學機械研磨(CMP)組合物對具有至少一包含二氧化矽膜的表面的基材進行化學機械研磨(CMP)之方法。
在另一態樣中,提供一種以淺溝隔離(STI)製程使用上述化學機械研磨(CMP)組合物對具有至少一包含二氧化矽膜的表面的基材進行化學機械研磨(CMP)之系統。
該經研磨的二氧化矽膜可藉由化學氣相沉積(CVD) (被稱為CVD二氧化矽膜)、電漿強化CVD (PECVD) (被稱為PECVD二氧化矽膜)、高密度沉積CVD (HDP) (被稱為高密度二氧化矽膜)或旋塗(被稱為二氧化矽膜)形成。
以上揭示的基材可另外包含矽氮化物表面。
在另一態樣中,提供一種使用上述淺溝隔離(STI)製程中的STI CMP研磨組合物對具有至少一包含二氧化矽膜的表面進行化學機械研磨(CMP)之方法。該經研磨的二氧化矽膜可為CVD二氧化矽、PECVD二氧化矽、高密度二氧化矽或旋塗二氧化矽膜。
提出以下非限制性實施例以進一步說明本發明。 CMP方法論
在下文提出的實施例中,使用下文提供的程序及實驗條件進行CMP實驗。 參數
Å或A:埃-長度單位
BP:背壓,以psi為單位
CMP:化學機械平坦化 = 化學機械研磨
CS:載具速度
DF:下壓力:CMP期間施加的壓力,單位psi
min:分鐘
ml:毫升
mV:毫伏
psi:每平方吋磅數
PS:研磨設備的壓盤旋轉速度,以rpm (每分鐘轉數)為單位
SF:組合物流量,ml/min
重量%:(所列組分的)重量百分比
HDP:高密度電漿體沉積的TEOS
TEOS:原矽酸四乙酯
TEOS或HDP移除速率:在指定的向下壓力下測得的TEOS或HDP移除速率。在下文列出的實施例中,該CMP設備的向下壓力為3.0或4.0 psi。
SiN移除速率:在指定的向下壓力下測得的SiN移除速率。在列出的實施例中,該CMP設備的向下壓力為3.0 psi或4.0 psi。 研磨實驗
所使用的CMP設備係200mm Mirra或300mm Reflexion,由加州,聖塔克拉拉,95054,Bowers大道3050號的Applied Materials公司製造。在進行空白及圖案化晶圓研究用的壓盤1上使用由德拉瓦州,紐瓦克市,451 Bellevue路,DOW公司供應的IC 1000墊子。
研磨墊:研磨墊,IC1010及其他墊子,係用在CMP期間,由DOW股份有限公司提供。
由DOW股份有限公司提供的IC1010墊子或其他墊子藉由於7磅下壓力下在調節器上調節該墊子18分鐘而磨合(broken in)。為了驗證該設備設定及該墊子磨合,使用由Versum Materials股份有限公司供應的Versum® STI2305組合物於基準條件下研磨二鎢監視器及二TEOS監視器。
塗覆二氧化鈰的二氧化矽粒子(具有不同的尺寸)係由日本的JGC C&C股份有限公司提供。該粒子係藉由JP2013119131、JP2013133255及WO2016159167所述的方法製成;並且該粒子的性質也描述於US20160200944A1中;其揭示內容係以引用的方式併入本文。
該實施例中所用的塗覆二氧化鈰的二氧化矽複合粒子的MPS為約110nm至約125nm。塗覆於該膠態二氧化矽粒子表面上的二氧化鈰奈米粒子為>10 nm或>13 nm。
由冷水魚皮製成的明膠(G7041)由密蘇里州,聖路易斯的Sigma-Aldrich提供。
在空白晶圓研究中,在基準條件下研磨氧化物空白晶圓及SiN空白晶圓。該設備基準條件為:工作台速度;87 rpm,壓頭速度:93 rpm,膜壓力:3.0 psi DF或4.0 psi DF,組合物流量;200 ml/min。用於測試的研磨墊係由DOW Chemicals提供的IC1010墊子。
使用PECVD或LECVD或HD TEOS晶圓進行研磨實驗。這些空白晶圓係由加州,聖塔克拉拉,95051,Kifer路2985號的Silicon Valley Microelectronics公司購得。
去離子水在工作實施例中用作該組合物中的溶劑。
該組合物係用於由加州,聖塔克拉拉,95054, Scott大道2920號SWK Associates股份有限公司供應的圖案化晶圓(MIT860)的研磨實驗。這些晶圓係於Veeco VX300剖面測勘儀(profiler)/AFM儀器上測量。以3種不同尺寸的間距結構用於氧化物淺盤效應測量。該晶圓係於中心、中間及邊緣晶粒位置測量。 工作實施例 實施例1
在實施例1中,用於氧化物研磨的研磨組合物如表1所示。
參考樣品係藉由使用0.2重量%的塗覆二氧化鈰的二氧化矽粒子加上介於0.0001重量%至0.05重量%的極低濃度的殺生物劑及去離子水製成,最終pH為5.35。
該研磨組合物係藉由將0.0083重量% (或83 ppm)由冷水魚皮製成的明膠加於該參考樣品製成,最終pH值為5.35。
所用的研磨步驟條件為在3.0psi下壓力下的Dow的IC1010墊子、在87/93rpm下的工作台/頭部速度及異位調節(ex-situ conditioning)。
測試不同膜的移除速率(以Å/min表示的RR)。觀察到了由冷水魚皮製成的化學添加物明膠對該膜移除速率的影響,並且列於表1並描繪於圖1。 表1. 由冷水魚皮製成的明膠對膜移除速率(Å/ min.)的影響
組合物 TEOS RR (Å/min.) HDP RR (Å/min.) PECVD SiN RR (Å/min.)
0.2%塗覆二氧化鈰的二氧化矽, pH 5.35 2847 1994 375
0.2%塗覆二氧化鈰的二氧化矽+0.0083%來自冷水魚皮的明膠,  pH 5.35 4564 (+60%) 4662 (+134%) 1296 (+246%)
如表1和圖1所示的結果,將由冷水魚皮製成的0.0083重量%的明膠加於該研磨組合物中有效地提升TEOS、HDP及SiN膜的移除速率。TEOS膜的移除速率提高60%,HDP膜的移除速率提高134%,並且PECVD膜的移除速率提高246%。 實施例2
在實施例2中,用於研磨的研磨組合物如表2所示。
該參考樣品係藉由使用1.0重量%的塗覆二氧化鈰的二氧化矽粒子加上介於0.0001重量%至0.05重量%的極低濃度的殺生物劑及去離子水製成。
該研磨組合物係藉由分別地將0.0083重量% (83 ppm)、0.0415重量% (415ppm)或0.083重量% (830ppm)由冷水魚皮製成的明膠加於該參考樣品製成。
該參考樣品與研磨組合物具有於約7.0的相同pH值。
在3.0 psi DF下測試使用由冷水魚皮製成的明膠對該氧化物和SiN膜移除速率的影響,並且將結果列於表2並且描繪於圖2中。 表2. 由冷水魚皮製成的明膠對氧化物和SiN膜的影響
組合物 TEOS RR (Å/min.) TEOS RR (Å/min.)變動% PECVD SiN RR (Å/min.) SiN RR (Å/min.) 變動%
1%塗覆二氧化鈰的二氧化矽 6296   230  
1%塗覆二氧化鈰的二氧化矽+83ppm明膠 6817 (+) 8.3% 355 (+) 54.3%
1%塗覆二氧化鈰的二氧化矽+415ppm明膠 10735 (+) 70.5% 1386 (+) 502.3%
1%塗覆二氧化鈰的二氧化矽+830ppm明膠 9888 (+) 57% 2037 (+) 785.6%
如表2和圖2所示的結果,將由冷水魚皮製成的明膠作為該化學添加物在不同濃度在約7.0的pH下加於該研磨組合物,從而同時提升氧化物及SiN膜的移除速率。利用0.0415重量%的冷水魚皮製成的明膠作為化學添加物,該TEOS膜的移除速率在3.0spi DF下提升>70%,並且SiN膜的移除速率提升>500%。 實施例3
在實施例3中,該參考樣品及該研磨組合物與實施例2所用的相同。
在4.0 psi DF下測試由冷水魚皮製成的明膠對該氧化物和SiN膜移除速率的影響,並且將結果列於表3並且描繪於圖3中。 表3. 由冷水魚皮製成的明膠對氧化物和SiN膜的影響
組合物 TEOS RR (Å/min.) TEOS RR (Å/min.)變動% PECVD SiN RR (Å/min.) SiN RR (Å/min.) 變動%
1%塗覆二氧化鈰的二氧化矽 7359   892  
1%塗覆二氧化鈰的二氧化矽+83ppm明膠 8004 (+) 9.5% 1344 (+) 50.7%
1%塗覆二氧化鈰的二氧化矽+415ppm明膠 12561 (+) 70.7% 2413 (+) 170.5%
1%塗覆二氧化鈰的二氧化矽+830ppm明膠 12332 (+) 67.6% 3289 (+) 268.7%
如表3和圖3所示的結果,將由冷水魚皮製成的明膠作為該化學添加物在不同濃度在約7.0的pH下加於該研磨組合物,從而同時提升氧化物及SiN膜的移除速率。利用0.0415重量%的冷水魚皮製成的明膠作為化學添加物,該TEOS膜的移除速率在4.0spi DF下提升>70%,並且SiN膜的移除速率提升>170%。
以上列出的本發明的具體實例,包括工作實施例,示範可由本發明完成的許多具體實施例。預期可使用許多其他製程配置,並且該製程中使用的材料可從已具體揭示的材料之外的多種材料中選出。
圖1. 在3.0psi下壓力(DF)下使用由冷水魚皮製成的明膠對氧化物膜移除速率(Å/min.)的移除效果
圖2. 在3.0psi下壓力(DF)下使用由冷水魚皮製成的明膠對氧化物膜和SiN膜移除速率(Å/min.)的移除效果
圖3. 由魚皮製成的明膠在4.0psi下壓力(DF)下對氧化物膜和SiN膜移除速率(Å/min.)的影響

Claims (26)

  1. 一種化學機械平坦化研磨組合物,其包含:塗覆二氧化鈰的無機氧化物粒子;明膠分子,其同一分子上包含提供負電荷的有機羧酸根官能基及提供正電荷的質子化有機胺基;及水溶性溶劑;其中該組合物具有3至10的pH值。
  2. 如請求項1之化學機械平坦化研磨組合物,其進一步包含:殺生物劑;及pH調節劑。
  3. 如請求項1之化學機械平坦化研磨組合物,其中該塗覆二氧化鈰的無機氧化物粒子係選自由塗覆二氧化鈰的膠態二氧化矽、塗覆二氧化鈰的高純度膠態二氧化矽、塗覆二氧化鈰的氧化鋁、塗覆二氧化鈰的二氧化鈦、塗覆二氧化鈰的氧化鋯粒子及其組合所組成的群組。
  4. 如請求項1之化學機械平坦化研磨組合物,其中該塗覆二氧化鈰的無機氧化物粒子具有0.01重量%至20重量%的濃度。
  5. 如請求項1之化學機械平坦化研磨組合物,其中該明膠分子另外包含有機醯胺官能基及具有一氮原子作為雜原子的5員非芳族環。
  6. 如請求項1之化學機械平坦化研磨組合物,其中該明膠分子係由動物皮製成的有機明膠分子。
  7. 如請求項1之化學機械平坦化研磨組合物,其中該明膠分子係由選自由冷水魚皮、豬皮、牛皮及其組合所組成的群組之動物皮製成的有機明膠分子。
  8. 如請求項1之化學機械平坦化研磨組合物,其中該明膠分子具有以下分子結構:
    Figure 109142411-A0305-02-0020-1
  9. 如請求項1之化學機械平坦化研磨組合物,其中該明膠分子具有0.0001重量%至5重量%的濃度。
  10. 如請求項1之化學機械平坦化研磨組合物,其中該水溶性溶劑係選自由去離子(DI)水、蒸餾水及含醇有機溶劑所組成的群組。
  11. 如請求項1之化學機械平坦化研磨組合物,其中該組合物另外包含具有0.0001重量%至0.05重量%的濃度的殺生物劑。
  12. 如請求項1之化學機械平坦化研磨組合物,其中該組合物另外包含具有5-氯-2-甲基-4-異噻唑啉-3-酮及2-甲基-4-異噻唑啉-3-酮的活性成分的殺生物劑。
  13. 如請求項1之化學機械平坦化研磨組合物,其中該組合物另外包含具有0重量%至1重量%的濃度的pH調節劑。
  14. 如請求項1之化學機械平坦化研磨組合物,其中該組合物另外包含該pH調節劑,該pH調節劑用於酸性pH條件係選自由硝酸、鹽酸、硫酸、磷酸、其他無機或有機酸及其混合物所組成的群組;或用於鹼性pH條件係選自由氫化鈉、氫氧化鉀、氫氧化銨、氫氧化四烷基銨、有機季銨氫氧化物化合物、有機胺及其組合所組成的群組。
  15. 如請求項1之化學機械平坦化研磨組合物,其中該組合物包含塗覆二氧化鈰的膠態二氧化矽粒子作為該塗覆二氧化鈰的無機氧化物粒子;及選自由冷水魚皮、豬皮、牛皮及其組合所組成的群組之動物皮製成的明膠分子。
  16. 如請求項1之化學機械平坦化研磨組合物,其中該組合物包含塗覆二氧化鈰的膠態二氧化矽粒子作為該塗覆二氧化鈰的無機氧化物粒子,及選自由冷水魚皮、豬皮、牛皮及其組合所組成的群組之動物皮製成的明膠分子;並且該化學機械平坦化研磨組合物的pH值為4至9。
  17. 如請求項1之化學機械平坦化研磨組合物,其中該組合物包含塗覆二氧化鈰的膠態二氧化矽粒子作為該塗覆二氧化鈰的無機氧化物粒子,及由冷水魚皮製成的明膠分子。
  18. 如請求項1之化學機械平坦化研磨組合物,其中該組合物包含塗覆二氧化鈰的膠態二氧化矽粒子作為該塗覆二氧化鈰的無機氧化物粒子,及由冷水魚皮製成的明膠分子,並且該化學機械研磨組合物的pH值為4至9。
  19. 一種對具有至少一包含二氧化矽膜的表面的基材進行化學機械平坦化(CMP)之方法,其包含:提供該半導體基材; 提供研磨墊;提供如請求項1至18中任一項之化學機械平坦化研磨組合物;使該半導體基材與該研磨墊及該化學機械研磨組合物接觸;及研磨該至少一包含二氧化矽膜的表面。
  20. 如請求項19之方法,其中該二氧化矽膜係選自由由化學氣相沉積(CVD)二氧化矽膜、電漿強化CVD(PECVD)二氧化矽膜、高密度沉積CVD(HDP)二氧化矽膜、旋塗二氧化矽膜及其組合所組成的群組。
  21. 如請求項19之方法,其中該半導體基材另外具有至少一包含矽氮化物膜的表面。
  22. 如請求項19之方法,其中該半導體基材另外具有至少一包含矽氮化物膜的表面,並且該二氧化矽膜的研磨速率與該矽氮化物膜的研磨速率之比率為
    Figure 109142411-A0305-02-0022-3
    1。
  23. 一種對具有至少一包含二氧化矽膜的表面的基材進行化學機械平坦化(CMP)之系統,其包含:a.該半導體基材;b.如請求項1至18中任一項之化學機械平坦化研磨組合物;及c.研磨墊;其中使該至少一包含二氧化矽膜的表面與該研磨墊及該化學機械研磨組合物接觸。
  24. 如請求項23之系統,其中該二氧化矽膜係選自由由化學氣相沉積(CVD)二氧化矽膜、電漿強化CVD(PECVD)二氧化矽膜、高密度沉積CVD(HDP)二氧化矽膜或旋塗二氧化矽膜所組成的群組。
  25. 如請求項23之系統,其中該半導體基材另外具有至少一包含矽氮化物膜的表面。
  26. 如請求項23之系統,其中該半導體基材另外具有至少一包含矽氮化物膜的表面,並且該二氧化矽膜的研磨速率與該矽氮化物膜的研磨速率之比率為
    Figure 109142411-A0305-02-0023-5
    1。
TW109142411A 2019-12-04 2020-12-02 高氧化物膜移除速率的淺溝隔離(sti)化學機械平坦化(cmp)研磨 TWI790509B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201962943314P 2019-12-04 2019-12-04
US62/943,314 2019-12-04

Publications (2)

Publication Number Publication Date
TW202122552A TW202122552A (zh) 2021-06-16
TWI790509B true TWI790509B (zh) 2023-01-21

Family

ID=76221900

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109142411A TWI790509B (zh) 2019-12-04 2020-12-02 高氧化物膜移除速率的淺溝隔離(sti)化學機械平坦化(cmp)研磨

Country Status (8)

Country Link
US (1) US20230020073A1 (zh)
EP (1) EP4069794A4 (zh)
JP (1) JP2023504728A (zh)
KR (1) KR20220110799A (zh)
CN (1) CN114929822A (zh)
IL (1) IL293436A (zh)
TW (1) TWI790509B (zh)
WO (1) WO2021113285A1 (zh)

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008244316A (ja) * 2007-03-28 2008-10-09 Fujifilm Corp 金属用研磨液及び研磨方法
TW201043686A (en) * 2009-06-05 2010-12-16 Basf Se Raspberry-type metal oxide nanostructures coated with CeO2 nanoparticles for chemical mechanical planarization (CMP)

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002110596A (ja) * 2000-10-02 2002-04-12 Mitsubishi Electric Corp 半導体加工用研磨剤およびこれに用いる分散剤、並びに上記半導体加工用研磨剤を用いた半導体装置の製造方法
KR100637772B1 (ko) * 2004-06-25 2006-10-23 제일모직주식회사 반도체 sti 공정용 고선택비 cmp 슬러리 조성물
TW201038690A (en) * 2008-09-26 2010-11-01 Rhodia Operations Abrasive compositions for chemical mechanical polishing and methods for using same
JP5774283B2 (ja) * 2010-04-08 2015-09-09 株式会社フジミインコーポレーテッド 研磨用組成物及び研磨方法
JP5516184B2 (ja) * 2010-07-26 2014-06-11 信越化学工業株式会社 合成石英ガラス基板の製造方法
US8980122B2 (en) * 2011-07-08 2015-03-17 General Engineering & Research, L.L.C. Contact release capsule useful for chemical mechanical planarization slurry
JP6156207B2 (ja) * 2013-04-02 2017-07-05 信越化学工業株式会社 合成石英ガラス基板の製造方法
EP2826827B1 (en) * 2013-07-18 2019-06-12 Basf Se CMP composition comprising abrasive particles containing ceria
KR20190091579A (ko) * 2015-01-12 2019-08-06 버슘머트리얼즈 유에스, 엘엘씨 화학적 기계적 평탄화 조성물용 복합 연마 입자 및 이를 사용하는 방법
US9505952B2 (en) * 2015-03-05 2016-11-29 Cabot Microelectronics Corporation Polishing composition containing ceria abrasive
US10032644B2 (en) * 2015-06-05 2018-07-24 Versum Materials Us, Llc Barrier chemical mechanical planarization slurries using ceria-coated silica abrasives
US10144850B2 (en) * 2015-09-25 2018-12-04 Versum Materials Us, Llc Stop-on silicon containing layer additive
US10745589B2 (en) * 2016-06-16 2020-08-18 Versum Materials Us, Llc Chemical mechanical polishing (CMP) of cobalt-containing substrate
US20190127607A1 (en) * 2017-10-27 2019-05-02 Versum Materials Us, Llc Composite Particles, Method of Refining and Use Thereof
US11326076B2 (en) * 2019-01-25 2022-05-10 Versum Materials Us, Llc Shallow trench isolation (STI) chemical mechanical planarization (CMP) polishing with low abrasive concentration and a combination of chemical additives
KR102337949B1 (ko) * 2019-07-10 2021-12-14 주식회사 케이씨텍 멀티 필름 연마용 cmp 슬러리 조성물 및 그를 이용한 연마 방법

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008244316A (ja) * 2007-03-28 2008-10-09 Fujifilm Corp 金属用研磨液及び研磨方法
TW201043686A (en) * 2009-06-05 2010-12-16 Basf Se Raspberry-type metal oxide nanostructures coated with CeO2 nanoparticles for chemical mechanical planarization (CMP)

Also Published As

Publication number Publication date
WO2021113285A1 (en) 2021-06-10
EP4069794A1 (en) 2022-10-12
IL293436A (en) 2022-07-01
KR20220110799A (ko) 2022-08-09
EP4069794A4 (en) 2024-01-10
US20230020073A1 (en) 2023-01-19
TW202122552A (zh) 2021-06-16
CN114929822A (zh) 2022-08-19
JP2023504728A (ja) 2023-02-06

Similar Documents

Publication Publication Date Title
KR101651935B1 (ko) 구리 및 실리콘-관통 비아 적용을 위한 화학 기계적 연마 슬러리 조성물 및 이를 사용하는 방법
CN111732896B (zh) 具有低磨料浓度和化学添加剂组合的浅沟槽隔离(sti)化学机械平面化(cmp)抛光
TW201623555A (zh) 一種化學機械拋光液及其應用
JP6974394B2 (ja) 低酸化物トレンチディッシング化学機械研磨
TWI790509B (zh) 高氧化物膜移除速率的淺溝隔離(sti)化學機械平坦化(cmp)研磨
JP7050738B2 (ja) シャロートレンチ分離(STI)プロセスのためのSiN除去速度の抑制及び酸化物トレンチディッシングの低減
JP2022553105A (ja) 高い酸化物除去速度を有するシャロートレンチアイソレーション化学的機械平坦化組成物
CN114787304B (zh) 低氧化物沟槽凹陷的浅沟槽隔离化学机械平面化抛光
CN111500197B (zh) 具有可调节的氧化硅和氮化硅去除速率的浅沟槽隔离化学机械平面化抛光
CN114729229B (zh) 高氧化物去除速率浅沟隔离化学机械平面化组合物
TWI744696B (zh) 於淺溝槽隔離(sti)化學機械平坦化研磨(cmp)的氧化物相對氮化物的高選擇性、低及均一的氧化物溝槽淺盤效應
CN111675969B (zh) 浅沟槽隔离化学和机械抛光浆料
TW202041628A (zh) 選擇性化學機械平坦化研磨
JP2023506487A (ja) 低酸化物トレンチディッシングシャロートレンチアイソレーション化学的機械平坦化研磨
CN114729229A (zh) 高氧化物去除速率浅沟隔离化学机械平面化组合物
TW202319494A (zh) 用於淺溝隔離的化學機械平坦化研磨