TWI778118B - 來自次氧化物的自對準結構 - Google Patents

來自次氧化物的自對準結構 Download PDF

Info

Publication number
TWI778118B
TWI778118B TW107129047A TW107129047A TWI778118B TW I778118 B TWI778118 B TW I778118B TW 107129047 A TW107129047 A TW 107129047A TW 107129047 A TW107129047 A TW 107129047A TW I778118 B TWI778118 B TW I778118B
Authority
TW
Taiwan
Prior art keywords
metal
film
feature
substrate
substrate surface
Prior art date
Application number
TW107129047A
Other languages
English (en)
Other versions
TW201926559A (zh
Inventor
史林尼維斯 干德可塔
蘇史密辛哈 羅伊
亞伯希吉特巴蘇 馬禮克
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW201926559A publication Critical patent/TW201926559A/zh
Application granted granted Critical
Publication of TWI778118B publication Critical patent/TWI778118B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02244Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of a metallic layer
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02252Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by plasma treatment, e.g. plasma oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02255Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/7685Barrier, adhesion or liner layers the layer covering a conductive structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

茲描述產生自對準結構之方法。所述方法包含以下步驟:於基板特徵中形成金屬次氧化物膜,並氧化所述次氧化物膜以形成自對準結構,所述自對準結構包含金屬氧化物。在某些實施例中,可沉積金屬膜,並接著處理金屬膜以形成金屬次氧化物膜。在某些實施例中,可重複沉積和處理金屬膜以形成金屬次氧化物膜之製程,直到在基板特徵內形成預定深度之金屬次氧化物膜為止。

Description

來自次氧化物的自對準結構
本揭示內容大體上與沉積並處理薄膜的方法有關。具體而言,本揭示內容與用於產生自對準結構之製程有關。
可透過對間隙填充金屬膜進行氧化來形成自對準金屬氧化物柱體。藉由ALD在孔洞或溝槽之結構上沉積金屬,並接著氧化所沉積之金屬來形成金屬氧化物,並在氧化期間之體積膨脹將柱體推出孔洞或溝槽。柱體為自下而上的,僅自金屬選擇性地生長。
然而,因為金屬的快速體積膨脹形成金屬氧化物柱,使用此製程存在一些挑戰。首先,應力的快速變化有時會導致固有結構的降解。當CD為小時,這有時會導致高柱的彎曲。第二,體積的快速變化有時會導致金屬氧化物柱體與基板之間的黏附問題。第三,殘留之未氧化的金屬通常保留在溝槽的底部處。
本案所屬技術領域需要產生自對準柱和結構之替代方法。更具體而言,本案所屬技術領域需要能提供較慢的形成速率之產生自對準柱和結構的替代方法。
本揭示內容之一或多個實施例涉及一種產生自對準結構之方法。所述方法包含以下步驟:提供基板,其具有基板表面,而基板表面具有至少一個特徵。特徵自表面延伸進入基板一距離並具有側壁和底部。在基板表面上且在至少一個特徵中形成金屬次氧化物膜。從至少一個特徵外側之基板表面去除金屬次氧化物膜。氧化金屬次氧化物膜,以形成從特徵膨脹之金屬氧化物之自對準結構。金屬次氧化物膜具有平均金屬氧化態,所述平均金屬氧化態在大於0至小於金屬氧化物之平均金屬氧化態之範圍內。
本揭示內容之額外實施例涉及一種產生自對準結構之方法。所述方法包含以下步驟:提供基板,其具有基板表面,而基板表面具有至少一個特徵。特徵自基板表面延伸進入基板一距離並具有側壁和底部。在基板表面上並在特徵中形成金屬膜。處理金屬膜以在基板表面上且在特徵中形成金屬次氧化物膜。從特徵外側之基板表面去除金屬次氧化物膜。氧化金屬次氧化物膜,以形成從特徵膨脹之金屬氧化物的自對準結構。金屬次氧化物膜具有平均金屬氧化態,所述平均金屬氧化態在大於0至小於金屬氧化物之平均金屬氧化態之範圍內。
本揭示內容之進一步實施例涉及一種產生自對準結構之方法。所述方法包含以下步驟:提供基板,其具有基板表面,而基板表面具有至少一個特徵。特徵自基板表面延伸進入基板一距離並具有側壁和底部。在基板表面上形成金屬膜,所述金屬膜部分地填充特徵。處理金屬膜以形成金屬次氧化物膜。重複金屬膜之形成和處理,直到填充特徵的預訂容積為止。從特徵外側之基板表面去除金屬次氧化物膜。氧化金屬次氧化物膜,以形成從特徵膨脹之金屬氧化物的自對準結構。金屬次氧化物膜具有平均金屬氧化態,所述平均金屬氧化態在大於0至小於金屬氧化物之平均金屬氧化態之範圍內。
在說明本揭示內容之數個範例實施例之前,將理解到本揭示內容並不侷限於接下來的說明書中所說明的架構或處理步驟的細節。本揭示內容能為其他實施例且可以各種方式實行或執行。
本文中使用「基板」指稱任何基板或形成在基板上的材料表面,在其上於製造處理期間執行膜處理。例如,在其上可執行處理的基板表面包括材料,諸如矽、氧化矽、應變矽、絕緣體上矽(SOI)、碳摻雜氧化矽、非晶矽、摻雜矽、鍺、砷化鎵、玻璃、藍寶石、及其他材料,諸如金屬、金屬氮化物、金屬合金、與其他傳導材料,取決於應用。基板包括而沒有限制於半導體晶圓。基板可暴露至預處理製程以拋光、蝕刻、還原、氧化、羥基化、退火、UV固化、電子束固化及/或烘烤基板表面。除了直接在基板本身表面上的膜處理,在本發明中,揭示的任何膜處理步驟也可執行在形成於基板上的下方層之上,如之後更詳細地揭示,且用語「基板表面」是意指包括如文中所指示的此下方層。因此例如,在膜/層或部分膜/層已經被沉積在基板表面上的地方,新沉積之膜/層的暴露表面成為基板表面。
本揭示內容之一或多個實施例涉及了用於沉積金屬次氧化物膜的方法,用於任何共形、非共形及/或低至高深寬比間隙/溝槽/孔隙填充應用。本揭示內容之實施例有利地提供在小尺寸的高深寬比(AR)結構中沉積膜(例如,金屬次氧化物膜)的方法。本揭示內容之某些實施例有利地提供氧化金屬次氧化物膜以產生自對準結構之方法。
本揭示內容之一或多個實施例提供了用於產生自對準結構之方法,其中以更慢的速度生產自對準結構。不受理論束縛,較慢的形成速率提供金屬次氧化物膜之較小體積膨脹,並提供能表現出對基板更好的黏附性和自對準結構之較少的降解之結構。
第1圖顯示具有特徵110的基板100之部分剖面視圖。為了說明之目的,圖式顯示具有單一特徵的基板;然而,本案所屬技術領域中具通常知識者將可理解到,可以有超過一個特徵。特徵110的形狀可為任何合適形狀,包括但不限於,溝槽與圓柱通孔。在特定實施例中,特徵110是溝槽。在此使用上,用語「特徵」意味任何有意的表面不規則性。特徵的合適例子包括但不限於具有頂部、兩側壁與底部的溝槽、具有頂部與從表面向上延伸的兩側壁的尖峰、及具有從帶有開放底部的表面向下延伸的側壁的通孔。特徵或溝槽可具有任何合適深寬比(特徵的深度對於特徵的寬度的比例)。在某些實施例中,此深寬比為大於或等於約5:1、10:1、15:1、20:1、25:1、30:1、35:1或40:1。
基板100具有頂表面120。至少一個特徵110在頂表面120中形成開口。特徵110從頂表面120延伸一深度D至底部表面112。特徵110具有第一側壁114及第二側壁116,第一側壁114及第二側壁116界定特徵110的寬度W。由側壁和底部形成之開口區域也稱作間隙。
金屬氧化物柱體可形成於特徵中作為自對準結構。可使用金屬次氧化物膜填充溝槽。在填充溝槽之後,藉由化學機械平坦化(CMP)製程去除累贅物(over-burden)(即,沉積在溝槽外側之基板的頂表面上之金屬次氧化物),以分隔金屬次氧化物襯裡。可用氧化氣氛將得到的金屬次氧化物襯裡退火,以形成金屬氧化物柱體。
請參見第2A至2C圖,基板200被提供來用於處理。基板200類似於第1圖所示之基板100。在此使用上,用語「被提供」意味此基板被放置進入一位置或環境,以用於進一步處理。第2A圖中所繪示之基板200具有第一表面材料250和第二表面材料260。第一表面材料250與第二表面材料260可為基板200上之相同或不同的表面材料。形成特徵210,其中底部212為第一表面材料250,且側壁214、216和頂表面220為第二表面材料260。
膜230形成在頂表面220和特徵210的壁及底部上。膜230可為任何合適的膜,藉由任何合適的製程形成,包括但不限於:化學氣相沉積、電漿增強化學氣相沉積、原子層沉積、電漿增強原子層沉積及/或物理氣相沉積。在某些實施例中,藉由原子層沉積或電漿增強原子層沉積來形成膜230。
在某些實施例中,膜230共形地形成在至少一個特徵210上。當於本文中使用,用語「共形的(conformal)」或「共形地(conformally)」指稱黏附於暴露表面並均勻地覆蓋暴露表面的層,該層的厚度相對於此膜的平均厚度具有少於1%的變化。舉例而言,1,000 Å厚的膜可具有小於10 Å的厚度變化。此厚度與變化包括凹部的邊緣、角落、側邊及底部。舉例而言,本揭示內容的各種實施例中藉由ALD所沉積的共形層可在複雜表面上之沉積區上方提供基本上均勻厚度之覆蓋。
在某些實施例中,將膜230沉積至在約25 Å至約200 Å之範圍內,或在約50 Å至約150 Å之範圍內的厚度。在一或多個實施例中,將膜230沉積至約50 Å的厚度,且實質上無接縫形成在膜中。在特徵210被膜填充之前,膜的厚度在特徵210的頂部上封閉,而形成接縫。在某些實施例中,基板表面具有膜,所述膜在至少一個特徵的側壁之間具有接縫。在此使用上,用語「在…之間」意指在接縫與特徵的側壁之間的接縫之任一側上存在一些膜。接縫不限於正好位於側壁的中心。
在某些實施例中,膜230是連續的膜。當使用在本文中,用語「連續的」指稱覆蓋整個暴露表面的層,而沒有顯現出在沉積層下方之材料的間隙或裸露點(bare spot)。連續的層可能具有間隙或裸露點,所述間隙或裸露點的表面積小於膜之總表面積的約1%。
在某些實施例中,以在特徵210內基本上無縫的方式來形成膜230。在某些實施例中,可在特徵210的寬度內形成接縫。接縫可為形成在特徵210的壁214、216之間的任何間隙、空間或孔隙。
膜230可為任何合適的材料,其具有之氧化態(oxidation state)小於全氧化物(full oxide)之氧化態。在某些實施例中,膜230為金屬次氧化物膜。
當使用在本文中,次氧化物為任何金屬錯合物,其中所述金屬的氧化態大於0且小於所述金屬處於其完全氧化形式之氧化態(即,最高氧化態)。舉例而言,氧化鎢可以數種形式存在,也就是,W2 O3 、WO2 或WO3 。在此例中,W2 O3 和WO2 二者可被認為是次氧化物,因為他們分別對應於+3和+4之氧化態,而WO3 具有+6之氧化態。本案所屬技術領域中具通常知識者將認識到,次氧化物不需要含有氧。在某些實施例中,合適的次氧化物可含有氧、硼、氮、碳、鍺或矽中之一或多者。換句話說,在某些實施例中,合適的金屬次氧化物可包含:金屬氧化物、金屬氮化物、金屬硼化物、金屬碳化物、金屬鍺化物、金屬矽化物或前述者之組合(即,金屬氮氧化物)。在某些實施例中,金屬次氧化物基本上由金屬氮化物組成。
本案所屬技術領域中具通常知識者將可理解到,金屬次氧化物膜可具有非化學計量數量(non- stoichiometric amount)的原子。舉例而言,被稱為WN之膜可具有不同量的鎢與氮。此WN膜可為,例如,90原子%的鎢。使用WN來描述氮化鎢膜意味此膜包含鎢與氮原子且不應將此膜限制在特定組成。在某些實施例中,膜基本上是由指定的原子所構成。舉例而言,基本上由WN構成的膜意指此膜的組成為大於或等於約95%、98%或99%的鎢與氮原子。在某些實施例中,膜230包含鎢。在一或多個實施例中,膜230包含鈦。
在第2B圖中,自頂表面220去除膜230,致使膜230完全包含在特徵210內。可藉由任何合適的蝕刻製程去除膜230。在某些實施例中,藉由化學機械平坦化(CMP)製程去除膜230。
在某些實施例中,實質上所有的膜230都形成在特徵210內。在此使用上,用語「實質上所有的(substantially all)」意指以重量計,大於或等於約95%、98%或99%的膜形成在特徵210內。
在某些實施例中,選擇性地將膜230沉積在特徵210內,且不沉積在基板的頂表面220上。在這些實施例中,可處理基板200,使基板200自第1圖流向第2B圖而不會呈現第2A圖的樣子。可選擇第一表面材料250及第二表面材料260的成分,以允許膜230相對於另一個表面選擇性沉積在一個表面上。
在某些實施例中,所述方法包括:選擇性地將膜230沉積在溝槽內達高度H,高度H小於或等於特徵210的深度。在一個實施例中,膜230填充溝槽之容積的至少10%。在其他實施例中,膜230填充溝槽之容積的至少15%、20%、25%、30%、35%、40%、45%、50%、55%、60%、65%、70%、75%、80%、85%、90%、95%或100%。在某些實施例中,沉積在特徵210中之膜230具有高度H,而高度H小於或等於特徵210之深度的約98%、95%、90%、80%、70%、60%或50%。
如第2C圖所示,處理方法進一步包含以下步驟:處理膜230,以使膜材料體積膨脹而提供膨脹膜240。膨脹膜240延伸超出基板的頂表面220。膨脹膜240包含金屬氧化物。膨脹膜240形成自對準結構。
膜230具有之平均金屬氧化態在大於0至小於膨脹膜240的平均金屬氧化態之範圍內。在某些實施例中,膜230具有之金屬對氧化物比率小於或等於膨脹膜240的金屬對氧化物比率之約80%。在某些實施例中,膨脹膜240實質上正交於基板的頂表面220。
適用於金屬膜或金屬次氧化物膜中之金屬包括,但不限於:具有大於2、大於2.25或大於2.5之Pilling-Bedworth比率的金屬。Pilling-Bedworth比率指的是金屬氧化物的基本單元之體積與形成所述氧化物之相應金屬的基本單元之體積的比率。Pilling-Bedworth比率定義為V氧化物/V金屬,其中V為體積。為了確定金屬氧化物之Pilling-Bedworth比率,V氧化物 等於金屬氧化物的分子量乘以金屬的密度,且V金屬 等於每分子氧化物的金屬原子數乘以金屬的原子量乘以氧化物的密度。此類膜的實例包括Co、Mo、W、Ta、Ti、Ru、Rh、Cu、Fe、Mn、V、Nb、Hf、Zr、Y、Al、Sn、Cr、Os、U及/或La中之一或多者。在某些實施例中,金屬選自由Co、Fe、Mn、Nb、Os、Ta、U及V所組成之群組。在某些實施例中,金屬的Pilling-Bedworth比率大於2、大於2.25或大於2.5。在某些實施例中,金屬選自由Mo、Os及V所組成之群組。在某些具體的實施例中,金屬包含鎢。在某些具體的實施例中,金屬排除鎢。
膜230可為任何合適的材料,包括但不限於包含Co、Cr、Fe、Mn、Nb、Os、Ta、U、W及V之材料。在某些實施例中,金屬次氧化物膜的金屬為鎢。
在某些實施例中,膨脹的金屬膜包含WO3 。在某些實施例中,膜230具有小於或等於約1:2.8之鎢對氧比率。在某些實施例中,膨脹膜240具有大於或等於約1:2.85之鎢對氧比率。
膜230的膨脹可在約10%至約1000%之範圍內,或在約50%至約800%之範圍內,或在約100%至約700%之範圍內。在某些實施例中,膜230膨脹的量大於或等於約150%、200%、250%、300%或350%。在某些實施例中,膜230膨脹的量在約300%至約400%之範圍內。在一或多個實施例中,處理膜會導致膜體積增加至少10%、20%、30%、40%、50%、60%、70%、80%、90%、100%、150%、200%、250%、300%、350%或400%。當以膜230填充複數個特徵時,可形成複數個膨脹膜240,以提供自對準結構。在某些實施例中,這些自對準結構可作為圖案(pattern)而無需使用遮罩。
在一個實施例中,處理膜230包含:將膜暴露於氧化環境。在處理初始膜包含將初始膜暴露於氧化環境的實施例中,膨脹膜240可包含選自由CoO、Fe2 O3 、Fe3 O4 、MnO2 、Mn2 O3 、Mn3 O4 、MoO3 、Nb2 O5 、Ta2 O5 、OsO2 、UO2 及V2 O5 所組成之群組中的材料。
在某些實施例中,處理膜130包含:將初始膜暴露於氧化劑,所述氧化劑包含以下一或多者:O2 、O3 、N2 O、H2 O、H2 O2 、CO、CO2 、NH3 、N2 /Ar、N2 /He或N2 /Ar/He。在某些實施例中,氧化條件包含熱氧化、電漿增強氧化、遠端電漿氧化、微波與射頻(例如,ICP、CCP)。
取決於,例如,膜的組成和膨脹劑,可在任何合適的溫度下處理膜230。在某些實施例中,膜膨脹發生在約25 ºC至約1100 ºC之範圍內的溫度下。在某些實施例中,膨脹發生在大於或等於約250 ºC、300 ºC、350 ºC、400 ºC、450 ºC、500 ºC或550 ºC之溫度下。
在某些實施例中,處理膜230發生在小於或等於約450℃、或400℃、或350℃、或300℃、或250℃或200℃之溫度下。在某些實施例中,膜230包含鎢,且在約150℃至約200℃之範圍內的溫度下形成。
如第2C圖所示,在膨脹期間,特徵形狀的保真度(fidelity)維持在特徵的頂部上,致使膜230從特徵210筆直向上生長。在此使用上,「筆直向上(straight up)」意指膨脹膜240的側面與特徵210的側壁214、216實質上共面。表面與側壁214共面,其中在側壁214與表面接合處形成的角度為±10°。在這方面,若側壁垂直於頂表面的話,從特徵「筆直向上」延伸之膨脹膜可被描述為正交於基板的頂表面。
金屬氧化物柱體可形成於特徵中作為自對準結構。可使用金屬膜填充溝槽。可處理金屬膜以形成金屬次氧化物膜。藉由化學機械平坦化(CMP)製程去除任何累贅物(即,沉積在溝槽外側之基板的頂部上,或沉積在基板的頂表面上方之金屬或金屬次氧化物),以分隔金屬次氧化物襯裡。可用氧化氣氛將得到的金屬次氧化物襯裡退火,以形成金屬氧化物柱體。
請參見第3A至3D圖,提供基板200用於處理。基板200類似於第1圖所示之基板100。在此使用上,用語「被提供」意指此基板被放置進入一位置或環境,以用於進一步處理。第3A圖中所繪示之基板200具有第一表面材料250及第二表面材料260。第一表面材料250與第二表面材料260可為基板200上之相同或不同的表面材料。形成特徵210,其中底部212為第一表面材料250,且側壁214、216和頂表面220為第二表面材料260。
金屬膜225形成在頂表面220和特徵210的壁及底部上。金屬膜225可為任何合適的膜,藉由任何合適的製程形成,包括但不限於:化學氣相沉積、電漿增強化學氣相沉積、原子層沉積、電漿增強原子層沉積及/或物理氣相沉積。在某些實施例中,藉由原子層沉積或電漿增強原子層沉積來形成金屬膜225。
在某些實施例中,金屬膜225共形地形成在至少一個特徵210上。當於本文中使用,用語「共形的(conformal)」或「共形地(conformally)」指稱黏附於暴露表面並均勻地覆蓋暴露表面的層,該層的厚度相對於此膜的平均厚度具有少於1%的變化。舉例而言,1,000 Å厚的膜可具有小於10 Å的厚度變化。此厚度與變化包括凹部的邊緣、角落、側邊及底部。舉例而言,本揭示內容的各種實施例中藉由ALD所沉積的共形層可在複雜表面上之沉積區上方提供基本上均勻厚度之覆蓋。
在某些實施例中,將金屬膜225沉積至在約25 Å至約200 Å之範圍內,或在約50 Å至約150 Å之範圍內的厚度。在一或多個實施例中,將金屬膜225沉積至約50 Å的厚度,且實質上無接縫形成在膜中。在特徵210被膜填充之前,膜的厚度在特徵210的頂部上封閉,而形成接縫。在某些實施例中,基板表面具有膜,所述膜在至少一個特徵的側壁之間具有接縫。在此使用上,用語「在…之間」意指在接縫與特徵的側壁之間的接縫之任一側上存在一些膜。接縫不限於正好位於側壁的中心。
在某些實施例中,金屬膜225是連續的膜。當使用在本文中,用語「連續的」指稱覆蓋整個暴露表面的層,而沒有顯現出在沉積層下方之材料的間隙或裸露點(bare spot)。連續的層可能具有間隙或裸露點,所述間隙或裸露點的表面積小於膜之總表面積的約1%。
在某些實施例中,以在特徵210內基本上無縫的方式來形成金屬膜225。在某些實施例中,可在特徵210的寬度內形成接縫。接縫可為形成在特徵210的壁214、216之間的任何間隙、空間或孔隙。
金屬膜225可為任何合適的含金屬膜,其具有+0之平均氧化態。在某些實施例中,膜225基本上由金屬原子組成。
請參見第3B圖,金屬膜225經部分地氧化而形成膜230。與參照第2A至2C圖所描述之方法一樣,膜230可為任何合適的材料,其氧化態小於全氧化物的氧化態。在某些實施例中,膜230為金屬次氧化物膜。將金屬膜225轉化為膜230之氧化反應可為能增加金屬膜225中存在之金屬原子的氧化態之任何反應。合適的反應包括,但不限於:氧化反應、硼化反應、氮化反應、矽化反應或鍺化反應。本案所屬技術領域中具通常知識者將可理解到,也可使用其他製程及反應來處理金屬膜225,以形成膜230。無論選擇何種處理,所形成之膜230的氧化態都小於完全氧化形式之金屬的氧化態。
在某些實施例中,藉由暴露於氧化劑或氧化條件,使金屬膜225膨脹。氧化劑可為任何合適的氧化劑,包括但不限於:O2 、O3 、N2 O、H2 O、H2 O2 、CO、CO2 、NH3 、N2 /Ar、N2 /He、N2 /Ar/He及前述者之組合。在某些實施例中,氧化條件包含熱氧化、電漿增強氧化、遠端電漿氧化、微波與射頻(例如,ICP、CCP)。
在某些實施例中,藉由暴露於氮化劑或氮化條件以將金屬轉化為金屬氮化物膜,而使金屬膜225膨脹。氮化劑可為任何合適的氮化劑,包括但不限於:氨、聯氨、NO2 、N2 /Ar電漿、N2 /He電漿、N2 /Ar/He電漿及前述者之組合。在某些實施例中,氮化條件包含熱氮化、電漿增強氮化、遠端電漿氮化、微波與射頻(例如,ICP、CCP)。
在某些實施例中,藉由暴露於矽化劑或矽化條件以將金屬轉化為金屬矽化物膜,而使金屬膜225膨脹。矽化劑可為任何合適的矽化劑,包括但不限於:矽烷、二矽烷、三矽烷、四矽烷、五矽烷、六矽烷、三甲基矽烷、具有三甲基矽烷基取代基的化合物及前述者之組合。在某些實施例中,矽化條件包含熱矽化、電漿增強矽化、遠端電漿矽化、微波與射頻(例如,ICP、CCP)。
在某些實施例中,藉由暴露於鍺試劑或鍺化條件以將金屬轉化為金屬鍺化物膜,而使金屬膜225膨脹。鍺化劑可為任何合適的鍺化劑,包括但不限於:鍺烷、二鍺烷、三鍺烷、四鍺烷、五鍺烷、六鍺烷、三甲基鍺、具有三甲基鍺烷基取代基的化合物及前述者之組合。在某些實施例中,鍺化條件包含熱鍺化、電漿增強鍺化、遠端電漿鍺化、微波與射頻(例如,ICP、CCP)。
取決於,例如,金屬膜的組成和期望的處理,可在任何合適的溫度下處理金屬膜225。在某些實施例中,金屬膜處理發生在約25 ºC至約1100 ºC之範圍中的溫度下。在某些實施例中,處理發生在大於或等於約250 ºC、300 ºC、350 ºC、400 ºC、450 ºC、500 ºC或550 ºC之溫度下。
於第3C圖中,自頂表面220去除膜230,致使膜230完全包含在特徵210內。可藉由任何合適的蝕刻製程去除膜230。在某些實施例中,藉由化學機械平坦化(CMP)製程去除膜230。
在某些實施例中,實質上所有的金屬膜225都形成在特徵210內。在此使用上,用語「實質上所有的」意指以重量計,大於或等於約95%、98%或99%的膜形成在特徵210內。
在某些實施例中,選擇性地將金屬膜225沉積在特徵210內,且不沉積在基板的頂表面220上。。在這些實施例中,可處理基板200,使金屬膜225可被沉積和處理,以形成完全在特徵210內之膜230。基板可自第1圖流向第3C圖而不會呈現第3A圖或第3B圖的樣子。可選擇第一表面材料250及第二表面材料260的成分,以允許金屬膜225相對於另一個表面選擇性沉積在一個表面上。
在某些實施例中,所述方法包括:選擇性地將金屬膜225沉積在溝槽內達高度H,高度H小於或等於特徵210的深度。在一個實施例中,金屬膜225填充溝槽之容積的至少10%。在其他實施例中,金屬膜225填充溝槽之容積的至少15%、20%、25%、30%、35%、40%、45%、50%、55%、60%、65%、70%、75%、80%、85%、90%、95%或100%。在某些實施例中,沉積在特徵210中之金屬膜225具有高度H,而高度H小於或等於特徵210之深度的約98%、95%、90%、80%、70%、60%或50%。
如第3D圖所示,處理方法進一步包含以下步驟:處理膜230,以使膜材料體積膨脹而提供膨脹膜240。膨脹膜240延伸超出基板的頂表面220。膨脹膜240包含金屬氧化物。膨脹膜240形成自對準結構。在所有其他方面,如第3D圖所示處理膜230以形成膨脹膜240類似於就第2C圖於前文描述之製程。
金屬氧化物柱體可形成於特徵中作為自對準結構。可使用金屬膜作為溝槽的襯裡。可處理金屬膜以形成金屬次氧化物膜作為溝槽的襯裡。可重複地沉積並處理 金屬膜,直到以金屬次氧化物膜填充溝槽至預定深度為止。藉由化學機械平坦化(CMP)製程去除任何累贅物(即,沉積在溝槽外側之基板的頂部上,或沉積在基板的頂表面上方之金屬或金屬次氧化物),以分隔金屬次氧化物襯裡。可用氧化氣氛將得到的金屬次氧化物襯裡退火,以形成金屬氧化物柱體。
請參見第4A至4D圖,提供基板200用於處理。基板200類似於第1圖所示之基板100。在此使用上,用語「被提供」意指此基板被放置進入一位置或環境,以用於進一步處理。第4A圖中所繪示之基板200具有第一表面材料250及第二表面材料260。第一表面材料250與第二表面材料260可為基板200上之相同或不同的表面材料。形成特徵210,其中底部212為第一表面材料250,且側壁214、216和頂表面220為第二表面材料260。
金屬膜225形成在頂表面220和特徵210的壁及底部上。金屬膜225可為任何合適的膜,藉由任何合適的製程形成,包括但不限於:化學氣相沉積、電漿增強化學氣相沉積、原子層沉積、電漿增強原子層沉積及/或物理氣相沉積。在某些實施例中,藉由原子層沉積或電漿增強原子層沉積來形成金屬膜225。
在某些實施例中,金屬膜225共形地形成在至少一個特徵210上。當於本文中使用,用語「共形的」或「共形地」指稱黏附於暴露表面並均勻地覆蓋暴露表面的層,該層的厚度相對於此膜的平均厚度具有少於1%的變化。舉例而言,1,000 Å厚的膜可具有小於10 Å的厚度變化。此厚度與變化包括凹部的邊緣、角落、側邊及底部。舉例而言,本揭示內容的各種實施例中藉由ALD所沉積的共形層可在複雜表面上之沉積區上方提供基本上均勻厚度之覆蓋。
在某些實施例中,將金屬膜225沉積至在約25 Å至約200 Å之範圍內,或在約50 Å至約150 Å之範圍內的厚度。在一或多個實施例中,將金屬膜225沉積至約50 Å的厚度,且實質上無接縫形成在膜中。在特徵210被膜填充之前,膜的厚度在特徵210的頂部上封閉,而形成接縫。在某些實施例中,基板表面具有膜,所述膜在至少一個特徵的側壁之間具有接縫。在此使用上,用語「在…之間」意指在接縫與特徵的側壁之間的接縫之任一側上存在一些膜。接縫不限於正好位於側壁的中心。
在某些實施例中,金屬膜225是連續的膜。當使用在本文中,用語「連續的」指稱覆蓋整個暴露表面的層,而沒有顯現出在沉積層下方之材料的間隙或裸露點。連續的層可能具有間隙或裸露點,所述間隙或裸露點的表面積小於膜之總表面積的約1%。
在某些實施例中,以在特徵210內基本上無縫的方式來形成金屬膜225。在某些實施例中,可在特徵210的寬度內形成接縫。接縫可為形成在特徵210的壁214、216之間的任何間隙、空間或孔隙。
金屬膜225可為任何合適的含金屬膜,其具有+0之平均氧化態。在某些實施例中,膜225基本上由金屬原子組成。
請參見第4B圖,金屬膜225經部分地氧化而形成膜230。與參照第2A至2C圖所描述之方法一樣,膜230可為任何合適的材料,其氧化態小於全氧化物的氧化態。在某些實施例中,膜230為金屬次氧化物膜。將金屬膜225轉化為膜230之氧化反應可為能增加金屬膜225中存在之金屬原子的氧化態之任何反應。合適的反應包括,但不限於:氧化反應、硼化反應、氮化反應、矽化反應或鍺化反應。本案所屬技術領域中具通常知識者將可理解到,也可使用其他製程及反應來處理金屬膜225,以形成膜230。無論選擇何種處理,所形成之膜230的氧化態都小於完全氧化形式之金屬的氧化態。
就第4A及4B圖所闡述之製程包含沉積金屬膜225之層,並接著處理所沉積之金屬膜,以形成次氧化物膜230。可重複此製程,直到以次氧化物膜填充特徵210至預定深度為止,如第4C圖所繪示。在某些實施例中,沉積在基板200之頂表面上的膜之深度可隨著每個沉積及處理循環而增加。
在第4D圖中,自頂表面220去除膜230,致使膜230完全包含在特徵210內。可藉由任何合適的蝕刻製程去除膜230。在某些實施例中,藉由化學機械平坦化(CMP)製程去除膜230。
在某些實施例中,實質上所有的金屬膜225都形成在特徵210內。在此使用上,用語「實質上所有的」意指以重量計,大於或等於約95%、98%或99%的膜形成在特徵210內。
在某些實施例中,選擇性地將金屬膜225沉積在特徵210內,且不沉積在基板的頂表面220上。在這些實施例中,可處理基板200,使金屬膜225可被沉積和處理,以形成完全在特徵210內之膜230。基板可自第1圖流向第4D圖而不會呈現第4A、4B或4C圖的樣子。可選擇第一表面材料250及第二表面材料260的成分,以允許金屬膜225相對於另一個表面選擇性沉積在一個表面上。
在某些實施例中,所述方法包括:選擇性地將金屬膜225沉積在溝槽內,接著處理溝槽內之金屬膜225以形成膜230。可重複此沉積和處理製程,直到形成具有高度H之膜230為止,高度H小於或等於特徵210的深度。在一個實施例中,在重複的沉積和處理循環之後,膜230填充溝槽之容積的至少10%。在其他實施例中,膜230填充溝槽之容積的至少15%、20%、25%、30%、35%、40%、45%、50%、55%、60%、65%、70%、75%、80%、85%、90%、95%或100%。在某些實施例中,在重複的沉積和處理循環之後,形成於特徵210中之膜230具有之高度H小於或等於特徵210之深度的約98%、95%、90%、80%、70%、60%或50%。
儘管未繪示,處理方法可進一步包含以下步驟:處理膜230以使膜材料體積膨脹而提供膨脹膜240,類似於第2C圖或第3D圖所描繪之製程。膨脹膜240延伸超出基板的頂表面220。膨脹膜240包含金屬氧化物。膨脹膜240形成自對準結構。在所有其他方面,處理膜230以形成膨脹膜240類似於就第2C圖於前文描述之製程。
某些實施例包括視情況進行的處理製程。此處理製程處理膜230,以改善膜的某些參數。在某些實施例中,處理製程包含將膜退火。在某些實施例中,可藉由在使用於沉積及/或氧化的相同製程腔室中的原位退火來進行處理。合適的退火製程包括,但不限於:快速熱處理(RTP)或快速熱退火(RTA)、尖波退火(spike anneal)、或UV固化、或電子束固化及/或雷射退火。 退火溫度可在約500 ºC至900 ºC的範圍內。在退火期間,環境的組成可包括H2 、Ar、He、N2 、NH3 、SiH4 等等中之一或多者。退火期間的壓力可在約100 mTorr至約1 atm的範圍內。
根據一或多個實施例,在形成層230或膨脹層240之前及/或之後,基板經受處理。可在相同腔室中或在一或多個分開的處理腔室中進行此處理。在某些實施例中,基板從第一腔室移動至分開的第二腔室,以進行進一步處理。基板可直接從第一腔室移動至分開的處理腔室,或可從第一腔室移動至一或多個移送腔室,並接著移動至分開的處理腔室。因此,處理設備可包含與移送站連通的多個腔室。此種設備可稱為「群集工具」或「群集系統」,等等。
通常,群集工具是包含多個腔室的模組系統,所述腔室執行各種功能,包括基板中心找尋及定位、除氣、退火、沉積及/或蝕刻。根據一或多個實施例,群集工具包括至少一第一腔室和一中央移送腔室。中央移送腔室可容納機器人,其可在處理腔室與裝載閘腔室之間與之中移送基板。移送腔室通常維持在真空狀態,並提供中間階段用於將基板從一個腔室移送至另一個腔室及/或至定位在群集工具的前端的裝載閘腔室。可供本發明採用的兩種廣為人知的群集工具為Centura®和Endura®,兩者可由加州聖克拉拉的應用材料公司獲得。然而,腔室的確切佈置與組合可變化,以用於執行本文所述製程的特定步驟。可使用的其他處理腔室包括,但不限於:循環層沉積(CLD)、原子層沉積(ALD)、化學氣相沉積(CVD)、物理氣相沉積(PVD)、蝕刻、預清洗、化學清洗、諸如RTP的熱處理、電漿氮化、除氣、定位、羥基化及其他基板製程。藉由在群集工具的腔室中執行製程,可避免大氣雜質對基板之表面污染,而不用在沉積後續膜之前氧化。
根據一或多個實施例,基板持續地處於真空或「裝載閘(load lock)」情況下,且當從一個腔室移動至下一個腔室時不暴露於周圍空氣。移送腔室因而在真空下且被「泵回(pump down)」至真空壓力下。惰性氣體可存在於處理腔室或移送腔室中。在某些實施例中,惰性氣體用於作為淨化氣體以移除一些或所有的反應物。根據一或多個實施例,淨化氣體在沉積腔室的出口處注入,以防止反應物從沉積腔室移動至移送腔室及/或額外的處理腔室。因此,惰性氣體的流動於腔室的出口處形成簾幕。
基板可在單一基板沉積腔室中處理,其中單一基板被載入、處理並在另一基板處理之前被卸載。也可以類似於輸送帶系統之連續方式處理基板,其中多個基板單獨地載入腔室的第一部分,移動通過腔室並從腔室的第二部分卸載。腔室與相關輸送帶系統的形態可形成直線路徑或彎曲路徑。此外,處理腔室可為旋轉料架,其中多個基板繞著中央軸移動,並在穿過旋轉料架路徑時暴露於沉積、蝕刻、退火、清洗,等等製程。
在處理期間,可加熱或冷卻基板。此加熱或冷卻可藉由任何合適方式而達成,包括但不限於:改變基板支撐件的溫度,及使加熱或冷卻的氣體流至基板表面。在某些實施例中,基板支撐件包括加熱器/冷卻器,其可被控制以傳導地改變基板溫度。在一或多個實施例中,所利用的氣體(無論是反應性氣體或惰性氣體)可被加熱或冷卻,以局部地改變基板溫度。在某些實施例中,加熱器/冷卻器被定位在腔室內鄰近於基板表面,以對流地改變基板溫度。
基板在處理期間也可為固定或旋轉的。旋轉基板可連續地旋轉或分段地旋轉。舉例而言,基板可在整個製程期間旋轉,或基板可在暴露於不同反應性氣體或淨化氣體之間小量地旋轉。在處理期間旋轉基板(無論連續地或分段地)可藉由最小化(例如)氣體流動幾何中的局部變動的效應,而有助於產生更均勻的沉積或蝕刻。
儘管製程可稱為氧化,本案所屬技術領域中具通常知識者將可理解到,本揭示內容不限於氧化反應來使膜膨脹。使用氧化反應來描述多個實施例僅是為了方便,且不限制本揭示內容之範疇。
本說明書中的參照「一實施例(one embodiment)」、「某些實施例」、「一或多個實施例」、或「一實施例(an embodiment)」意指關於此實施例說明的特定特徵、結構、材料、或特性被包括在本揭示內容的至少一個實施例中。因此,在本說明書中的各種地方出現的詞語,諸如「在一或多個實施例中」、「在某些實施例中」、「在一實施例(one embodiment)中」或「在一實施例(an embodiment)中」並不必然指稱本揭示內容的相同實施例。再者,特定特徵、結構、材料、或特性可以任何合適方式組合在一或多個實施例中。
儘管本揭示內容在此已參照特定實施例而說明,將理解到這些實施例僅為本揭示內容的原理與應用的示例。在不悖離本揭示內容的精神與範疇下,本案所屬技術領域中具通常知識者明顯地可對本揭示內容的方法與設備進行各種修改與變化。因此,本揭示內容包括在隨附申請專利範圍的範疇內的修改與變化及其等效者。
100‧‧‧基板110‧‧‧特徵112‧‧‧底部表面114、116‧‧‧側壁120‧‧‧頂表面200‧‧‧基板210‧‧‧特徵212‧‧‧底部214、216‧‧‧側壁220‧‧‧頂表面225‧‧‧金屬膜230‧‧‧膜240‧‧‧膨脹膜250、260‧‧‧表面材料
藉由參照實施例,其一部分繪示於隨附圖式中,可獲得簡短總結於此的本揭示內容的更明確的說明,以此方式本揭示內容上述的特徵可被詳細理解。然而,將注意到隨附圖式僅繪示本揭示內容的典型實施例,且因而不被當作限制本揭示內容的範疇,由於本揭示內容可容許其他等效實施例。
第1圖繪示根據本揭示內容之一或多個實施例之基板特徵的剖面視圖;以及
第2A至2C圖繪示根據本揭示內容之一或多個實施例之自對準結構形成製程的剖面示意圖。
第3A至3D圖繪示根據本揭示內容之一或多個實施例之自對準結構形成製程的剖面示意圖。
第4A至4D圖繪示根據本揭示內容之一或多個實施例之自對準結構形成製程的剖面示意圖。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無
200‧‧‧基板
220‧‧‧頂表面
240‧‧‧膨脹膜
250、260‧‧‧表面材料

Claims (20)

  1. 一種產生一自對準結構之方法,該方法包含以下步驟: 提供一基板,該基板具有一基板表面,該基板表面具有至少一個特徵形成於該基板表面中,該至少一個特徵自該基板表面延伸進入該基板一距離並具有一側壁和底部;於該基板表面上且在該至少一個特徵中形成一金屬次氧化物膜;從該至少一個特徵之外側的該基板表面去除該金屬次氧化物膜;以及氧化該金屬次氧化物膜,以形成從該至少一個特徵膨脹之金屬氧化物之一自對準結構,該金屬次氧化物膜具有一平均金屬氧化態,該平均金屬氧化態在大於0至小於該金屬氧化物之一平均金屬氧化態之範圍內。
  2. 如請求項1所述之方法,其中該金屬次氧化物膜具有小於或等於該金屬氧化物之一平均金屬氧化態的約80%的一平均金屬氧化態。
  3. 如請求項1所述之方法,其中該自對準結構實質上正交於該基板表面。
  4. 如請求項1所述之方法,其中該金屬次氧化物膜包含:金屬氧化物、金屬氮化物、金屬碳化物或金屬矽化物中之一或多者。
  5. 如請求項4所述之方法,其中該金屬次氧化物膜基本上由金屬氮化物組成。
  6. 如請求項1所述之方法,其中該金屬係鎢。
  7. 如請求項6所述之方法,其中該金屬氧化物係WO3
  8. 如請求項7所述之方法,其中該金屬次氧化物膜具有小於或等於約1:2.8之一鎢對氧比率。
  9. 如請求項8所述之方法,其中該自對準結構具有大於或等於約1:2.85之一鎢對氧比率。
  10. 一種產生一自對準結構之方法,該方法包含以下步驟: 提供一基板,該基板具有一基板表面,該基板表面具有至少一個特徵形成於該基板表面中,該至少一個特徵自該基板表面延伸進入該基板一距離並具有一側壁和底部; 於該基板表面上且在該至少一個特徵中形成一金屬膜; 處理該金屬膜,以於該基板表面上且在該至少一個特徵中形成一金屬次氧化物膜; 從該至少一個特徵之外側的該基板表面去除該金屬次氧化物膜;以及 氧化該金屬次氧化物膜,以形成從該至少一個特徵膨脹之金屬氧化物之一自對準結構,該金屬次氧化物膜具有一平均金屬氧化態,該平均金屬氧化態在大於0至小於該金屬氧化物之一平均金屬氧化態之範圍內。
  11. 如請求項10所述之方法,其中該金屬次氧化物膜具有小於或等於該金屬氧化物之一平均金屬氧化態的約80%的一平均金屬氧化態。
  12. 如請求項10所述之方法,其中該自對準結構實質上正交於該基板表面。
  13. 如請求項10所述之方法,其中該金屬次氧化物膜基本上由金屬氮化物組成。
  14. 如請求項10所述之方法,其中該金屬係鎢。
  15. 如請求項14所述之方法,其中該金屬氧化物係WO3
  16. 如請求項15所述之方法,其中該金屬次氧化物膜具有小於或等於約1:2.8之一鎢對氧比率。
  17. 如請求項16所述之方法,其中該自對準結構具有大於或等於約1:2.85之一鎢對氧比率。
  18. 如請求項10所述之方法,其中在處理該金屬膜以形成該金屬次氧化物膜之前,該金屬膜填充該至少一個特徵。
  19. 如請求項10所述之方法,其中該金屬膜部分地填充該至少一個特徵,該金屬膜係經處理而形成一金屬次氧化物膜,且該方法進一步包含以下步驟:重複該金屬膜之形成和該金屬膜之處理,以形成該金屬次氧化物膜,直到該金屬次氧化物膜填充該至少一個特徵為止。
  20. 一種產生一自對準結構之方法,該方法包含以下步驟: (A) 提供一基板,該基板具有一基板表面,該基板表面具有至少一個特徵形成於該基板表面中,該至少一個特徵自該基板表面延伸進入該基板一距離並具有一側壁和底部; (B) 於該基板表面上形成一金屬膜,該金屬膜部分地填充該至少一個特徵; (C) 處理該金屬膜,以形成一金屬次氧化物膜; (D) 重複(B)及(C),直到已填充該至少一個特徵之一預定容積為止; (E) 從該至少一個特徵之外側的該基板表面去除該金屬次氧化物膜;以及 (F) 氧化該金屬次氧化物膜,以形成從該至少一個特徵膨脹之金屬氧化物之一自對準結構,該金屬次氧化物膜具有一平均金屬氧化態,該平均金屬氧化態在大於0至小於該金屬氧化物之一平均金屬氧化態之範圍內。
TW107129047A 2017-09-05 2018-08-21 來自次氧化物的自對準結構 TWI778118B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201762554306P 2017-09-05 2017-09-05
US62/554,306 2017-09-05

Publications (2)

Publication Number Publication Date
TW201926559A TW201926559A (zh) 2019-07-01
TWI778118B true TWI778118B (zh) 2022-09-21

Family

ID=65634310

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107129047A TWI778118B (zh) 2017-09-05 2018-08-21 來自次氧化物的自對準結構

Country Status (7)

Country Link
US (1) US11414751B2 (zh)
JP (1) JP7221279B2 (zh)
KR (1) KR102474184B1 (zh)
CN (1) CN111066139B (zh)
SG (1) SG11202001193TA (zh)
TW (1) TWI778118B (zh)
WO (1) WO2019050715A1 (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW202115827A (zh) * 2019-09-26 2021-04-16 美商應用材料股份有限公司 選擇性和自我限制性之鎢蝕刻製程
JP7249930B2 (ja) * 2019-11-20 2023-03-31 東京エレクトロン株式会社 成膜方法および成膜装置

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130241037A1 (en) * 2009-03-18 2013-09-19 Samsung Electronics Co., Ltd. Semiconductor Devices Having Metal Oxide Patterns
TW201403829A (zh) * 2012-07-10 2014-01-16 Taiwan Semiconductor Mfg 半導體裝置、功率金屬氧化物半導體場效電晶體裝置及其製作方法
TW201624715A (zh) * 2014-09-26 2016-07-01 英特爾股份有限公司 金屬氧化物金屬場效電晶體(momfet)

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0982772B1 (en) * 1994-11-30 2003-06-25 Micron Technology, Inc. A semiconductor non-planar storage capacitor
KR20000026588A (ko) 1998-10-21 2000-05-15 윤종용 콘택홀을 갖는 반도체 장치 및 그 제조방법
KR100469913B1 (ko) * 2003-04-02 2005-02-02 주식회사 하이닉스반도체 반도체소자의 제조방법
KR100980059B1 (ko) 2008-04-23 2010-09-03 주식회사 하이닉스반도체 반도체 소자의 텅스텐 질화물층 증착 방법
JP5133852B2 (ja) * 2008-11-13 2013-01-30 ルネサスエレクトロニクス株式会社 半導体装置の製造方法及び半導体装置
KR101595488B1 (ko) 2009-03-18 2016-02-18 삼성전자주식회사 반도체 소자 및 그 형성 방법
US8575753B2 (en) * 2009-05-27 2013-11-05 Samsung Electronics Co., Ltd. Semiconductor device having a conductive structure including oxide and non oxide portions
JP2011034995A (ja) * 2009-07-29 2011-02-17 Elpida Memory Inc 半導体装置の製造方法及び半導体装置
US8274065B2 (en) * 2009-10-19 2012-09-25 Macronix International Co., Ltd. Memory and method of fabricating the same
JP5775288B2 (ja) * 2009-11-17 2015-09-09 三星電子株式会社Samsung Electronics Co.,Ltd. 半導体装置
JP2012119631A (ja) * 2010-12-03 2012-06-21 Elpida Memory Inc 半導体装置の製造方法
US8399363B1 (en) 2011-02-28 2013-03-19 Samsung Electronics Co., Ltd. Methods of forming oxide-filled trenches in substrates using multiple-temperature oxide deposition techniques
CN103811406B (zh) * 2012-11-05 2016-12-21 上海华虹宏力半导体制造有限公司 改善sonos器件自对准接触孔漏电的方法
US9252053B2 (en) * 2014-01-16 2016-02-02 International Business Machines Corporation Self-aligned contact structure
US9299745B2 (en) * 2014-05-08 2016-03-29 GlobalFoundries, Inc. Integrated circuits having magnetic tunnel junctions (MTJ) and methods for fabricating the same
US9972504B2 (en) * 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
CN109791913A (zh) 2016-09-30 2019-05-21 应用材料公司 形成自对准通孔的方法
TWI719262B (zh) * 2016-11-03 2021-02-21 美商應用材料股份有限公司 用於圖案化之薄膜的沉積與處理
JP2020501344A (ja) * 2016-11-08 2020-01-16 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated パターニング用途のためのボトムアップ柱状体の形状制御
TW201833991A (zh) * 2016-11-08 2018-09-16 美商應用材料股份有限公司 自對準圖案化之方法
TWI760540B (zh) * 2017-08-13 2022-04-11 美商應用材料股份有限公司 自對準高深寬比結構及製作方法
CN111133579B (zh) * 2017-09-05 2023-09-01 应用材料公司 3d存储器结构中由下而上方式的高深宽比孔洞形成
TWI794363B (zh) * 2017-12-20 2023-03-01 美商應用材料股份有限公司 金屬薄膜之高壓氧化

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130241037A1 (en) * 2009-03-18 2013-09-19 Samsung Electronics Co., Ltd. Semiconductor Devices Having Metal Oxide Patterns
TW201403829A (zh) * 2012-07-10 2014-01-16 Taiwan Semiconductor Mfg 半導體裝置、功率金屬氧化物半導體場效電晶體裝置及其製作方法
TW201624715A (zh) * 2014-09-26 2016-07-01 英特爾股份有限公司 金屬氧化物金屬場效電晶體(momfet)

Also Published As

Publication number Publication date
JP2020532868A (ja) 2020-11-12
KR20200036954A (ko) 2020-04-07
SG11202001193TA (en) 2020-03-30
JP7221279B2 (ja) 2023-02-13
CN111066139A (zh) 2020-04-24
CN111066139B (zh) 2023-09-22
TW201926559A (zh) 2019-07-01
WO2019050715A1 (en) 2019-03-14
US11414751B2 (en) 2022-08-16
US20210047728A1 (en) 2021-02-18
KR102474184B1 (ko) 2022-12-02

Similar Documents

Publication Publication Date Title
TWI719262B (zh) 用於圖案化之薄膜的沉積與處理
US10741435B2 (en) Oxidative volumetric expansion of metals and metal containing compounds
JP2019530242A (ja) 自己整合ビアの形成方法
JP2020501344A (ja) パターニング用途のためのボトムアップ柱状体の形状制御
TWI760540B (zh) 自對準高深寬比結構及製作方法
TWI760481B (zh) 用於氧化鎢柱形成之簡化製程流程的鎢選擇性沉積
TWI778118B (zh) 來自次氧化物的自對準結構
JP7305622B2 (ja) シリサイド化による金属含有膜の体積膨張
TWI757478B (zh) 形成鎢支柱的方法

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent