TW201833991A - 自對準圖案化之方法 - Google Patents

自對準圖案化之方法 Download PDF

Info

Publication number
TW201833991A
TW201833991A TW106138014A TW106138014A TW201833991A TW 201833991 A TW201833991 A TW 201833991A TW 106138014 A TW106138014 A TW 106138014A TW 106138014 A TW106138014 A TW 106138014A TW 201833991 A TW201833991 A TW 201833991A
Authority
TW
Taiwan
Prior art keywords
film
substrate surface
substrate
metal
feature
Prior art date
Application number
TW106138014A
Other languages
English (en)
Inventor
段子青
陳一宏
亞伯希吉特巴蘇 馬禮克
史林尼維斯 干德可塔
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW201833991A publication Critical patent/TW201833991A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02244Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of a metallic layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02247Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by nitridation, e.g. nitridation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising transition metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

處理方法包括將膜沉積於基板表面上及於表面特徵中,藉由化學平坦化從該基板表面移除該膜,而留下該特徵中的該膜。從該膜生長柱,使得該柱與基板表面呈正交方式生長。

Description

自對準圖案化之方法
本揭示案大致上關於沉積及蝕刻薄膜之方法。特定而言,本揭示案關於用於形成自對準圖案化的製程。
半導體產業正快速開發具有越來越小的電晶體尺度的晶片,以獲得每單位面積更多的功能性。隨著裝置之尺度不斷縮小,裝置之間的間隙/空間亦不斷縮小,從而增加將裝置彼此物理隔離的困難度。於裝置之間經常為不規則形狀的高深寬比溝槽/空間/間隙中用高品質介電材料來填充,對使用包含間隙填充、硬遮罩及間隔物應用的現有方法的實施方式正成為越來越大的挑戰。
藉由在基板表面上產生複雜圖案化材料層的製程使積體電路成為可行的。在基板上產生圖案化材料需要用於移除曝露的材料的控制方法。化學蝕刻用於各種目的,包含將光阻劑中的圖案轉移到下方層中,將層薄化或將已經存在於表面上的特徵之橫向尺度薄化。經常期望具有比另一種材料更快速地蝕刻一種材料的蝕刻製程,舉例而言,圖案轉移製程。該蝕刻過程視為對第一種材料的選擇。由於材料、電路及製程之多樣性,已開發了選擇性地移除寬範圍的材料之一或更多者的蝕刻製程。
乾式蝕刻製程經常用於從半導體基板選擇性地移除材料。乾式蝕刻製程能夠以最小的物理干擾從微型結構溫和地移除材料。乾式蝕刻製程亦可藉由移除氣相試劑來使蝕刻速率突然停止。一些乾式蝕刻製程涉及將基板曝露於由一或更多種前驅物所形成的遠端電漿副產物。近來已開發了許多乾式蝕刻製程以相對於彼此選擇性地移除各種介電質。然而,已開發相對較少的乾式蝕刻製程以選擇性地移除含金屬材料。需要方法來擴展對各種含金屬材料的處理程序。
隨著為了電子部件之更高的積體密度電路及半導體裝置之特徵尺寸不斷縮小,自對準接觸成為越來越有吸引力,且高度需要自對準接觸以解決日益出現的圖案化困難。通常,製作自對準接觸需要由下而上的柱(pillar),然而該等柱非常難形成,特別是當材料、形狀、特徵尺寸、方向等受到高度限制時。通常,自對準柱之形成採用使用不同工具的多步驟製程,從而增加製造成本以及產生更多困難且生產率低。至目前為止,對於自對準接觸開發的大多數由下而上的柱為導電金屬,與次微米特徵尺寸垂直對準且形狀不可控制。
因此,本領域需要自對準圖案化製程的新穎的方法。
本揭示案之一或更多個實施例針對處理方法,該方法包括提供具有基板表面的基板,該基板表面具有從該基板表面延伸進入該基板的至少一個特徵。該特徵具有底部及數個側壁。於基板表面上沉積第一膜,使得該第一膜覆蓋該基板表面並且填充該特徵。藉由依序地氧化第一膜之頂部以在該第一膜之頂部上形成氧化的第一膜並且蝕刻該氧化的第一膜以移除該氧化的第一膜,使該基板表面化學平坦化。重複依序的氧化及蝕刻以從該基板表面移除第一膜而留下特徵中的該第一膜。使第一膜膨脹以在特徵中生長第一柱,該第一柱從該特徵與基板表面呈正交方式延伸。
本揭示案之另外的實施例針對處理方法,該方法包括提供具有基板表面的基板,該基板表面具有從該基板表面延伸進入該基板的至少一個特徵。該特徵具有底部及數個側壁。於基板表面上沉積包括金屬的第一膜,使得該第一膜覆蓋該基板表面並且填充特徵。藉由依序地氧化第一膜之頂部以在該第一膜之頂部上形成氧化的第一膜並且蝕刻該氧化的第一膜以移除該氧化的第一膜,使基板表面化學平坦化。重複依序的氧化及蝕刻以從基板表面移除第一膜而留下特徵中的第一膜。使第一膜膨脹以在特徵中生長第一柱,該第一柱從該特徵與基板表面呈正交方式延伸。
本揭示案之進一步實施例針對處理方法,該處理方法包括提供具有基板表面的基板,該基板表面具有從該基板表面延伸進入該基板的至少一個特徵。該特徵具有底部及數個側壁。藉由依序地曝露於金屬前驅物及反應物來於基板表面上沉積第一膜以形成覆蓋該基板表面並且填充該特徵的該第一膜。第一膜包括鎢金屬且金屬前驅物包括鹵化鎢。藉由依序地氧化第一膜之頂部以在該第一膜之頂部上形成氧化的第一膜並且藉由曝露於與金屬前驅物相同的鹵化鎢化合物來蝕刻該氧化的第一膜以移除該氧化的第一膜,使基板表面化學平坦化。重複依序的氧化及蝕刻以從基板表面移除第一膜而留下特徵中的該第一膜。藉由氧化或氮化第一膜之步驟中之一或更多者,使該第一膜膨脹以在特徵中生長第一柱,該第一柱從該特徵與基板表面呈正交方式延伸。沉積第一膜的步驟及使基板表面化學平坦化的步驟於相同的處理腔室中發生。
在描述本發明之數個示例的實施例之前,應當理解,本發明不限於以下描述中記載的構造或處理步驟之細節。本發明能夠具有其他實施例並且能夠以各種方式來實踐或執行。
本文使用的「基板」指在製造處理期間在其上實行膜處理的任何基板或於基板上形成的材料表面。舉例而言,取決於應用,可在其上實行處理的基板表面包含材料例如矽、氧化矽、應變矽、絕緣體上矽(SOI)、摻雜碳的矽氧化物、非晶矽、經摻雜矽、鍺、砷化鎵、玻璃、藍寶石以及任何其他材料,例如金屬、金屬氮化物、金屬合金及其他導電材料。基板包含但不限於半導體晶圓。可將基板曝露於預處理製程以拋光、蝕刻、還原、氧化、羥基化、退火、UV固化、電子束固化及/或烘烤基板表面。除了直接在基板本身之表面上進行膜處理之外,在本發明中,亦可於基板上形成的底層上實行所揭示的膜處理步驟中之任一者,如以下更詳細地揭示的,且用語「基板表面」預期包含如上下文所指出的該底層。因此舉例而言,當已將膜/層或部分膜/層沉積至基板表面上時,新沉積的膜/層之曝露表面成為基板表面。
本揭示案之一些實施例經由氧化間隙填充的金屬膜提供自組裝的金屬氧化物(例如,氧化鎢)柱。一般而言,本揭示案之實施例藉由ALD或CVD將金屬沉積於孔及溝槽之結構(或特徵)上並且氧化金屬膜以形成金屬氧化物。氧化膜導致金屬氧化物體積膨脹,從而從該特徵生長出柱。一般而言,為了形成分離的柱,在金屬沉積之後移除沉積於結構之頂表面上的金屬。移除可藉由蝕刻或化學機械平坦化(CMP)來完成。藉由將晶圓移出處理腔室至另一個工具來實行任一製程,從而減少製程之整體產量。
本揭示案之一或更多個實施例針對用以在一個製程腔室中形成自組裝柱而不破壞真空的方法。首先,具有特徵結構的基板於ALD腔室中沉積有金屬膜(例如,鎢)。隨後在相同腔室中將金屬薄膜部分氧化;無論是熱或藉由電漿增強。在氧化之後,金屬鹵化物前驅物(例如,WCl5 、WCl6 等)流入腔室以蝕刻除去金屬氧化物。發明人已觀察到,對金屬膜具有非常高的蝕刻選擇性。可藉由使用氟自由基(例如,流動NF3 、C4 F6 )──使用或不使用CCP或ICP電漿來蝕刻除去金屬氧化物。此可於相同腔室中完成而不會破壞真空。經由氧化及蝕刻之多次循環,沉積於結構之頂表面上的金屬膜將被完全移除。進一步氧化將導致單獨的柱生長。
在一些實施例中,在單一製程腔室中實行金屬沉積、氧化及化學蝕刻製程而不破壞真空。此單一腔室製程可增加產量並減少每晶圓成本。
在一些積體方案中,相對於介電質膜及金屬膜(例如,未被氧化的鎢)選擇性地蝕刻氧化物膜(例如,氧化鎢)。本揭示案之實施例提供使用金屬鹵化物以完全蝕刻對金屬及介電膜具有選擇性的氧化物的方法。在某些情況下,被蝕刻的氧化物膜黏附在基板之表面上。在一些實施例中,被蝕刻的氧化物膜黏附在介電質之表面上方。在一或更多個實施例中,被蝕刻的氧化物被遮罩所環繞。
本揭示案之一或更多個實施例針對沉積用於任何保形及/或低至高深寬比間隙/溝槽/孔洞填充應用的金屬氧化物膜的方法。本揭示案之實施例有利地提供在具有小尺度的高深寬比(AR)結構中沉積膜(例如,金屬氧化物膜)之方法。本揭示案之一些實施例有利地提供填充間隙而不在間隙中形成接縫之方法。本揭示案之一或更多個實施例有利地提供形成自對準通孔(vias)之方法。
第1圖圖示根據本揭示案之一或更多個實施例的處理方法。參照第1A圖,提供具有基板表面105的基板100。基板100具有從基板表面105延伸進入基板100某深度的至少一個特徵110。特徵110具有底部102及側壁104。由側壁及底部所形成的開放區域亦被稱為間隙或溝槽。
為了說明目的,圖式圖示具有三個特徵110的基板;然而,本領域熟知技術者將理解,可具有多於一個的特徵。特徵110之形狀可為任何適合的形狀,包含但不限於溝槽及圓柱形通孔。在這方面所使用的用語「特徵」意謂任何有意的表面不規則性。特徵之適合的實例包含但不限於具有頂部、兩個側壁及底部的溝槽,具有頂部及從表面向上延伸的兩個側壁的峰,以及具有從具有開放底部的表面向下延伸的側壁的通孔。特徵可具有任何適合的深寬比(特徵之深度對特徵之寬度之比)。在一些實施方式中,深寬比大於或等於約5:1、10:1、15:1、20:1、25:1、30:1、35:1或40:1。
如第1B圖所示,在基板表面105上沉積或形成第一膜120,使得第一膜120覆蓋基板表面105並且填充特徵110。膜120可為由任何適合的製程所形成的任何適合的膜,包含但不限於,化學氣相沉積、電漿增強化學氣相沉積、原子層沉積、電漿增強原子層沉積及/或物理氣相沉積。在一些實施例中,膜120藉由原子層沉積或電漿增強原子層沉積所形成。
在一些實施例中,膜120為金屬膜或含金屬膜。適合的金屬膜包含但不限於包含Co、Mo、W、Ta、Ti、Ru、Rh、Cu、Fe、Mn、V、Nb、Hf、Zr、Y、Al、Sn、Cr及/或La中之一或更多者的膜。在一些實施例中,金屬膜包括鎢。在一些實施例中,膜本質上由指定的金屬所組成。舉例而言,本質上由鎢所組成的膜意謂膜之組成物於原子基礎上為大於或等於約95%、98%或99%的鎢原子。
在一些實施例中,膜120為連續膜。如本文所使用的,用語「連續」指覆蓋整個曝露表面的層,而沒有露出所沉積的層下方的材料的間隙或裸露點。連續層可具有表面積小於膜之總表面積之約1%的間隙或裸露點。
在一些實施例中,膜120實質上無縫地形成於特徵110內。在一些實施例中,可在特徵110之寬度W內形成接縫(未圖示)。接縫可為在特徵110之側壁104之間形成的任何間隙、空間或孔洞。
在一些實施例中,藉由原子層沉積(ALD)製程形成第一膜120。一些實施例之ALD製程包括將基板表面依序曝露於金屬前驅物及反應物以沉積金屬膜。在一些實施例中,金屬前驅物包括金屬鹵化物化合物。鹵素可為任何適合的鹵素原子並且可為相同的鹵素或不同的鹵素。舉例而言,金屬前驅物可為金屬氯化物、金屬氟化物、金屬溴化物、金屬碘化物或具有與氟、氯、溴或碘原子混合的金屬原子。在一或更多個實施例中,金屬鹵化物前驅物包括鹵化鎢。在一些實施例中,鹵化鎢包括WCl5 或WCl6 中之一或更多者。ALD製程可為時域(time-domain)製程或空間(spatial)製程,時域製程其中前驅物與反應物物種不同時存在於處理腔室中,空間製程其中提供前驅物及反應物至處理腔室之不同部分,以氣幕(gas curtain)將前驅物與反應物分隔以防止氣相反應。在空間ALD製程中,將基板從具有金屬前驅物的第一製程區域移動至具有反應物的第二製程區域。
在一些實施例中,在氧化之前以摻雜劑摻雜膜120。可在形成膜120的同時將摻雜劑併入膜120中,或在與膜沉積依序地單獨的製程中將摻雜劑併入膜120中。舉例而言,可進行沉積膜,隨後在相同的製程腔室或在不同的製程腔室中於單獨的製程中以摻雜劑摻雜該膜。在一些實施例中,在單一製程中進行膜之沉積與摻雜。舉例而言,可將膜前驅物及摻雜劑共流入處理腔室中以形成膜。
一些實施例包含任選的處理(treatment)製程。處理製程處理膜130以改進膜之某些參數。在一些實施例中,處理製程包括退火膜。在一些實施例中,可藉由在用於沉積及/或還原的相同製程腔室中原位退火來實行處理。適合的退火製程包含但不限於快速熱處理(RTP)或快速熱退火(RTA)、尖峰退火(spike anneal)或UV固化,或電子束固化及/或雷射退火。退火溫度可於約500 ºC至約900 ºC的範圍中。退火期間環境之組成物可包含H2 、Ar、He、N2 、NH3 、SiH4 等中之一或更多者。退火期間的壓力可於約100毫托至約1大氣壓(760托)的範圍中。
在形成膜之後,如第1C圖所示,將基板表面105化學平坦化。如以此方式使用的用語「化學平坦化」及類似者意謂降低對象膜之高度以移除該膜之至少一部分。在一些實施例中,將基板表面化學平坦化意謂實質上無機械平坦化處理。
將基板表面105化學平坦化可藉由依序地氧化及蝕刻第一膜120之頂部125來完成。重複依序的氧化/蝕刻製程直到已移除第一膜之預定量。在一些實施例中,第一膜120之頂部125被氧化以在第一膜130之頂部上形成氧化的第一膜130。蝕刻從第一膜120之頂部移除氧化的第一膜130。在氧化/蝕刻之任何循環期間移除的第一膜130之量將取決於例如第一膜130之組成物及所使用的氧化劑及蝕刻劑而變化。
如第1D圖所示,基板表面105之頂部上的第一膜120之高度或厚度隨著氧化/蝕刻之每個循環而降低。重複氧化/蝕刻製程直到從基板表面105移除第一膜120,於特徵110中留下第一膜120並且露出基板表面105,如第1E圖所示。
在一些實施例中,沉積第一膜130與將基板表面105化學平坦化發生於相同的處理腔室中。舉例而言,空間ALD處理腔室可具有金屬前驅物及反應物之交替區域以沉積第一膜120,隨後可改變氣體供應為用於平坦化製程的氧化劑及蝕刻劑。
在一些實施例中,蝕刻第一膜120包括將第一膜102曝露於金屬鹵化物化合物。在一或更多個實施例中,用於蝕刻的金屬鹵化物化合物與用於膜沉積的金屬鹵化物前驅物為相同的化合物。在一些實施例中,蝕刻化合物與金屬前驅物具有不同的金屬。在一些實施例中,蝕刻化合物與金屬前驅物具有相同的金屬而具有不同的取代基(substituent group)。
可藉由任何適合的技術來完成蝕刻。在一些實施例中,蝕刻包括將氧化的第一膜130曝露於金屬鹵化物化合物。在一些實施例中,金屬鹵化物化合物具有與第一膜不同的金屬。
在一些實施例中,蝕刻包括曝露於含金屬及鹵素的前驅物(例如,WCl6 ),亦稱為金屬鹵化物前驅物。金屬鹵化物前驅物可與氧化的第一膜130反應。
在一些實施例中,曝露於金屬鹵化物前驅物導致與氧化的第一膜130的放熱反應,並且在基板處理區域中不存在電漿。根據一或更多個實施例,在進入基板處理區域之前無電漿激發金屬鹵化物前驅物。
在示例的非限制製程中,第一膜120包括鎢並且藉由與氧反應而膨脹以形成氧化的第一膜130氧化鎢,其可採用WO3 之形式。在不受任何特定操作之理論的侷限,據信將WO3 曝露於WCl6 (或可能的WCl5 )形成揮發性WOCl4 及/或WO2 Cl2 ,其離開表面直到所有的氧化鎢被移除。一旦氧化鎢部分(或通常的金屬氧化物部分)被移除,反應可自發地停止。可重複該製程整數個循環。每個循環可移除原始鎢膜之可選擇量(例如,1或2個單層)。
在一些實施例中,金屬鹵化物前驅物包含兩種或更多種或僅兩種不同元素,包含金屬元素及鹵素元素。金屬鹵化物前驅物可包含僅金屬元素之單個原子但相同鹵素元素之多個原子(如WCl6 及WCl5 的情況)。在實施例中,金屬鹵化物之金屬元素可包含鈦、鉿、鋯、釩、鈮、鉭、鉻、鉬、鎢、錳、錸、鎝、鐵、鋁及鎵中之一或更多者。在一些實施例中,金屬鹵化物之金屬元素的原子序為22、23、24、40、41、42、72、73或74。在一或更多個實施例中,金屬元素包括元素週期表之第4族、第5族或第6族之元素或可為過渡金屬。根據一或更多個實施例,鹵素元素可為F及Cl中之一者。在一些實施例中,鹵素元素可為F、Cl、Br及/或I中之一或更多者。在一些實施例中,含金屬及鹵素的前驅物不含氟。適合的金屬鹵化物前驅物之一些實例包含但不限於五鹵化釩、五鹵化鉭、六鹵化鉻、五鹵化鉬、六鹵化鉬、五鹵化鈮、五鹵化鎢、六鹵化鎢及四鹵化錳。在一些實施例中,金屬鹵化物前驅物包含但不限於釩鹵化物、鉭鹵化物、鉻鹵化物、鉬鹵化物、鈮鹵化物、鎢鹵化物及/或錳鹵化物,其中金屬元素之氧化態可為任何適合的氧化態。
在一些實施例中,在蝕刻製程中使用很少或無局部電漿來使蝕刻製程更具選擇性、精細的及等向性。本文將使用用語「無電漿」來描述在對基板處理區域無電漿功率或本質上無電漿功率之應用期間的基板處理區域。所描述的蝕刻劑(含金屬及鹵素的前驅物)具有能量上有利的蝕刻反應路徑,該等蝕刻反應路徑能夠在蝕刻本文的含金屬材料之操作期間使基板處理區域無電漿。換句話說,根據一或更多個實施例,基板處理區域中電子溫度可小於0.5 eV、小於0.45 eV、小於0.4 eV或小於0.35 eV。此外,在實施例中,在進入基板處理區域之前,含金屬及鹵素的前驅物可並未在任何遠端電漿中被激發。舉例而言,若遠端電漿區域或單獨的腔室區域存在並且用於將含鹵素的前驅物傳導向基板處理區域,則單獨的腔室區域或遠端電漿區域可如本文所界定的為無電漿的。
根據一或更多個實施例,基板處理區域及含金屬及鹵素的前驅物所通過的任何遠端區域可為「無氫的」。氫及-OH基團在實施例中可將材料添加至金屬及金屬氮化物而使得蝕刻製程受到阻礙。金屬膜或金屬氮化物膜可變得更厚而不是更薄。在基板處理區域中氫之存在可減少有效的蝕刻選擇性。
在一些實施例中,以以下的流動速率供應含金屬及鹵素的前驅物(例如,WCl6 )與載氣:於約5 sccm至約500 sccm的範圍中、於約10 sccm至約300 sccm的範圍中、於約25 sccm至約200 sccm的範圍中、於約50 sccm至約150 sccm的範圍中或於約75 sccm至約125 sccm的範圍中。
根據一或更多個實施例,反應可僅由基板本身之溫度激發而以熱之方式進行。在使用基板之溫度來進行蝕刻反應的實施例中,用語「無電漿」在本文中可用以描述在使用無電漿功率或本質上無電漿功率的應用期間的基板處理區域。亦可將電漿功率保持低於小閥值量以使適當的反應能進行。施加至基板處理區域的電漿功率可低於100瓦、低於50瓦、低於30瓦、低於10瓦並且在各種實施例中可為0瓦。在實施例中,基板處理區域內的壓力可於約0.1托至約50托的範圍中、於約0.2托至約30托的範圍中、於約0.5托至約20托的範圍中、於約1托至約10托的範圍中。
在基板表面105已被化學平坦化之後,如第1F圖所示,保留在特徵110中的第一膜120經膨脹以於該特徵中生長第一柱,該第一柱從特徵110與基板表面105呈正交方式延伸。一些實施例之使第一膜120膨脹包括氧化或氮化該第一膜。舉例而言,第一膜可藉由曝露於氧化劑或氧化條件而被氧化,以將金屬或含金屬膜轉化為金屬氧化物膜。氧化轉化導致原始膜之體積膨脹。膜之膨脹可於約10%至約1000%的範圍中,或於約50%至約800%的範圍中,或於約100%至約700%的範圍中。在一些實施例中,第一膜120膨脹了大於或等於約150%、200%、250%、300%或350%的量。在一些實施例中,第一膜120膨脹了於約300%至約400%的範圍中的量。
氧化劑可為任何適合的氧化劑,包含但不限於,O2 、O3 、N2 O、H2 O、H2 O2 、CO、CO2 、NH3 、N2 /Ar、N2 /He、N2 /Ar/He及上述之組合。在一些實施例中,氧化條件包括熱氧化、電漿增強氧化、遠端電漿氧化、微波及射頻(例如,ICP、CCP)。
藉由膜之氧化引起的體積膨脹可在任何適合的溫度下發生,這取決於舉例而言膜及氧化劑之組成物。在一些實施例中,氧化於約25ºC至約1100ºC的範圍中的溫度下發生。在一些實施例中,氧化在大於或等於約250ºC、300ºC、350ºC、400ºC、450ºC、500ºC或550ºC的溫度下發生。
在膨脹期間,在特徵之頂部上維持特徵形狀之保真度,使得膜從特徵110直線向上生長而形成柱140。在此方面所使用的,「直線向上」意謂膜形成鄰近特徵側壁114的表面,該表面與側壁114實質上共面。當在側壁114與表面之接合面處所形成的角度為±10º時表面與側壁114共面。換句話說,膨脹的側壁與基板表面105形成於約80º至約100º的範圍中的角度。
根據一或更多個實施例,在形成層之前及/或之後將基板進行處理。該處理可在相同的腔室中或在一或更多個單獨的處理腔室中實行。在一些實施例中,將基板從第一腔室移動至單獨的第二腔室用於進一步處理。可將基板從第一腔室直接移動至單獨的處理腔室,或可將基板從第一腔室移動至一或更多個移送腔室,且隨後將基板移動至單獨的處理腔室。因此,處理設備可包括與移送站通訊的多個腔室。這種設備可稱作「群集工具」或「群集系統」及類似者。
一般而言,群集工具為包括多個腔室的模組化系統,該等腔室實行各種功能,包含基板中心定位及定向、脫氣、退火、沉積及/或蝕刻。根據一或更多個實施例,群集工具包含至少第一腔室及中心移送腔室。中心移送腔室可容納機器人,該機器人可在處理腔室及裝載閘(load lock)腔室之間梭送基板。移送腔室通常維持於真空狀態並且提供用於將基板從一個腔室梭送至另一個腔室及/或至位於群集工具之前端的裝載閘腔室的中間階段。可適用於本發明的兩種熟知的群集工具為皆可從加利福尼亞州聖克拉拉市之應用材料公司獲得的Centura®及Endura®。然而,為了實行如本文所述的製程之特定步驟之目的,可改變腔室之確切佈置及組合。可使用的其他處理腔室包含但不限於,循環層沉積(CLD)、原子層沉積(ALD)、化學氣相沉積(CVD)、物理氣相沉積(PVD)、蝕刻、預清洗、化學清洗、熱處理例如RTP、電漿氮化、脫氣、定向、羥基化及其他基板製程。藉由在群集工具上的腔室中執行製程,在沉積接續的膜之前無氧化的情況下可避免大氣雜質對基板之表面污染。
根據一或更多個實施例,基板連續處於真空或「裝載鎖定(load lock)」狀態,並且當從一個腔室移動至下一個腔室時不曝露於周圍空氣。因此移送腔室處於真空狀態並在真空壓力下「抽氣」。惰性氣體可存在於處理腔室或移送腔室中。在一些實施例中,使用惰性氣體作為淨化氣體以移除一些或全部反應物。根據一或更多個實施例,在沉積腔室之出口處注入淨化氣體以防止反應物從沉積腔室移動至移送腔室及/或另外的處理腔室。因此,惰性氣體之流動在腔室之出口處形成氣幕。
可在單一基板沉積腔室中處理基板,其中在處理另一個基板之前加載、處理及卸載單一基板。類似於輸送系統,亦可以連續方式處理基板,其中多個基板個別裝載至腔室之第一部分中、移動通過腔室並且從腔室之第二部分卸載。腔室及相關輸送系統之形狀可形成直線路徑或彎曲路徑。此外,處理腔室可為旋轉料架(carousel),其中多個基板圍繞中心軸移動並且在整個旋轉料架路徑上曝露於沉積、蝕刻、退火、清洗等製程。
在處理期間,可將基板加熱或冷卻。該加熱或冷卻可藉由任何適合的手段來達成,包含但不限於,改變基板支撐件之溫度及使加熱或冷卻的氣體流向基板表面。在一些實施例中,基板支撐件包含加熱器/冷卻器,該加熱器/冷卻器可經控制以傳導方式改變基板溫度。在一或更多個實施例中,將所採用的氣體(反應氣體或是惰性氣體)加熱或冷卻以局部地改變基板溫度。在一些實施例中,加熱器/冷卻器位於腔室內靠近基板表面以對流方式改變基板溫度。
在處理期間基板亦可為靜止的或旋轉的。旋轉的基板可連續地或以離散的步驟旋轉。舉例而言,基板可在整個製程中旋轉,或基板可在曝露於不同的反應氣體或淨化氣體之間少量地旋轉。在處理期間(連續地或以離散的步驟)旋轉基板可藉由使例如氣流幾何形狀的局部可變性之效應最小化來幫助產生更均勻的沉積或蝕刻。
貫穿本說明書對「一個實施例」、「某些實施例」、「一或更多個實施例」或「實施例」的參照意謂結合該實施例所述的特定特徵、結構、材料或特性被包含於本發明之至少一個實施例中。因此,貫穿本說明書各處出現的詞語例如「在一或更多個實施例中」、「在某些實施例中」、「在一個實施例中」或「在實施例中」未必指稱發明之相同的實施例。此外,特定的特徵、結構、材料或特性可以任何適合的方式在一或更多個實施例中組合。
儘管已參照特定實施例描述了本發明,但應理解,這些實施例僅為本發明之原理及應用的說明。對於本領域熟知技術者而言將為顯而易見的是,在不脫離本發明之精神及範疇的情況下可對本發明之方法及設備作各種修改及變化。因此,預期本發明包含在申請專利範圍及其均等物之範疇內的修改及變化。
100‧‧‧基板
105‧‧‧基板表面
110‧‧‧特徵
112‧‧‧底部
114‧‧‧側壁
120‧‧‧第一膜
125‧‧‧第一膜之頂部
130‧‧‧氧化的第一膜
140‧‧‧柱
可藉由參照實施例,該等實施例中之一些實施例繪示於附圖中,可得到以上簡要總結的本發明之更特定敘述,如此可得到詳細地瞭解本發明之上述特徵的方式。然而,應注意到,附圖僅繪示本發明之典型實施例,且因此不應被視為限制本發明之範疇,因為本發明可容許其他等效實施例。
第1A圖~第1F圖圖示根據本揭示案之一或更多個實施例處理程序之剖面圖。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無

Claims (20)

  1. 一種處理方法,包括以下步驟: 提供一基板,該基板具有一基板表面,該基板表面具有從該基板表面延伸進入該基板的至少一個特徵,該特徵具有一底部及數個側壁;於該基板表面上沉積一第一膜,使得該第一膜覆蓋該基板表面並且填充該特徵;藉由依序地氧化該第一膜之一頂部以在該第一膜之頂部上形成一氧化的第一膜並且蝕刻該氧化的第一膜以移除該氧化的第一膜,使該基板表面化學平坦化,重複依序的氧化及蝕刻以從該基板表面移除該第一膜而留下該特徵中的該第一膜;及使該第一膜膨脹以在該特徵中生長一第一柱,該第一柱從該特徵與該基板表面呈正交方式延伸。
  2. 如請求項1所述之方法,其中該第一膜包括金屬。
  3. 如請求項2所述之方法,其中該金屬包括鎢。
  4. 如請求項1所述之方法,其中沉積該第一膜及使該基板表面化學平坦化的步驟於相同的處理腔室中發生。
  5. 如請求項1所述之方法,其中使該第一膜膨脹以生長該第一柱的步驟包括氧化或氮化該第一膜之步驟中之一或更多者。
  6. 如請求項5所述之方法,其中藉由曝露於O2 、O3 、H2 O、H2 O2 、H2 O4 或N2 O中之一或更多者來生長該第一柱。
  7. 如請求項1所述之方法,其中移除該第一膜以曝露該基板表面的步驟包括實質上無機械平坦化。
  8. 如請求項1所述之方法,其中蝕刻該氧化的第一膜的步驟包括以下步驟:將該第一膜曝露於一金屬鹵化物化合物。
  9. 如請求項8所述之方法,其中該金屬鹵化物化合物及該第一膜具有相同的金屬。
  10. 如請求項1所述之方法,其中沉積該第一膜的步驟包括一原子層沉積製程。
  11. 如請求項10所述之方法,其中沉積該第一膜的步驟包括依序曝露於一金屬鹵化物前驅物及一反應物以沉積一金屬膜。
  12. 如請求項11所述之方法,其中使該第一膜化學平坦化的步驟包括將該氧化的第一膜曝露於相同的金屬鹵化物前驅物。
  13. 如請求項12所述之方法,其中該金屬鹵化物前驅物包括一鹵化鎢。
  14. 如請求項13所述之方法,其中該鹵化鎢包括WCl5 或WCl6 中之一或更多者。
  15. 一種處理方法,包括以下步驟: 提供一基板,該基板具有一基板表面,該基板表面具有從該基板表面延伸進入該基板的至少一個特徵,該特徵具有一底部及數個側壁;於該基板表面上沉積包括一金屬的一第一膜,使得該第一膜覆蓋該基板表面並且填充該特徵;藉由依序地氧化該第一膜之一頂部以在該第一膜之頂部上形成一氧化的第一膜並且蝕刻該氧化的第一膜以移除該氧化的第一膜,使該基板表面化學平坦化,重複依序的氧化及蝕刻以從該基板表面移除該第一膜而留下該特徵中的該第一膜;及使該第一膜膨脹以在該特徵中生長一第一柱,該第一柱從該特徵與該基板表面呈正交方式延伸。
  16. 如請求項15所述之方法,其中沉積該第一膜及使該基板表面化學平坦化的步驟於相同的處理腔室中發生。
  17. 如請求項15所述之方法,其中使該第一膜膨脹以生長該第一柱的步驟包括氧化或氮化該第一膜之步驟中之一或更多者。
  18. 如請求項15所述之方法,其中該金屬包括鎢。
  19. 如請求項18所述之方法,其中沉積該第一膜的步驟包括將該基板表面依序曝露於一金屬鹵化物前驅物及一反應物以沉積一金屬膜,以及使該第一膜化學平坦化的步驟包括將該氧化的第一膜曝露於相同的金屬鹵化物前驅物。
  20. 一種處理方法,包括以下步驟: 提供一基板,該基板具有一基板表面,該基板表面具有從該基板表面延伸進入該基板的至少一個特徵,該特徵具有一底部及數個側壁;藉由依序地曝露於一金屬前驅物及一反應物來於該基板表面上沉積一第一膜以形成一第一膜,該第一膜覆蓋該基板表面並且填充該特徵,該第一膜包括鎢金屬且該金屬前驅物包括鹵化鎢;藉由依序地氧化該第一膜之一頂部以在該第一膜之頂部上形成一氧化的第一膜並且藉由曝露於與該金屬前驅物相同的鹵化鎢化合物來蝕刻該氧化的第一膜以移除該氧化的第一膜,使該基板表面化學平坦化,重複依序的氧化及蝕刻以從該基板表面移除該第一膜而留下該特徵中的該第一膜;及藉由氧化或氮化該第一膜之步驟中之一或更多者,使該第一膜膨脹以在該特徵中生長一第一柱,該第一柱從該特徵與該基板表面呈正交方式延伸,其中沉積該第一膜的步驟及使該基板表面化學平坦化的步驟於相同的處理腔室中發生。
TW106138014A 2016-11-08 2017-11-03 自對準圖案化之方法 TW201833991A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201662419235P 2016-11-08 2016-11-08
US62/419,235 2016-11-08

Publications (1)

Publication Number Publication Date
TW201833991A true TW201833991A (zh) 2018-09-16

Family

ID=62064812

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106138014A TW201833991A (zh) 2016-11-08 2017-11-03 自對準圖案化之方法

Country Status (7)

Country Link
US (2) US10319604B2 (zh)
EP (1) EP3539147A4 (zh)
JP (1) JP2019534573A (zh)
KR (1) KR20190067942A (zh)
CN (1) CN109923642A (zh)
TW (1) TW201833991A (zh)
WO (1) WO2018089350A1 (zh)

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI729457B (zh) 2016-06-14 2021-06-01 美商應用材料股份有限公司 金屬及含金屬化合物之氧化體積膨脹
TWI719262B (zh) 2016-11-03 2021-02-21 美商應用材料股份有限公司 用於圖案化之薄膜的沉積與處理
JP2020501344A (ja) 2016-11-08 2020-01-16 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated パターニング用途のためのボトムアップ柱状体の形状制御
US10770349B2 (en) 2017-02-22 2020-09-08 Applied Materials, Inc. Critical dimension control for self-aligned contact patterning
US10804370B2 (en) * 2017-03-15 2020-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device, method, and tool of manufacture
WO2018200212A1 (en) 2017-04-25 2018-11-01 Applied Materials, Inc. Selective deposition of tungsten for simplified process flow of tungsten oxide pillar formation
US10840186B2 (en) 2017-06-10 2020-11-17 Applied Materials, Inc. Methods of forming self-aligned vias and air gaps
TW201906035A (zh) 2017-06-24 2019-02-01 美商微材料有限責任公司 生產完全自我對準的介層窗及觸點之方法
US10510602B2 (en) 2017-08-31 2019-12-17 Mirocmaterials LLC Methods of producing self-aligned vias
WO2019046402A1 (en) 2017-08-31 2019-03-07 Micromaterials Llc METHODS FOR GENERATING SELF-ALIGNED INTERCONNECTION HOLES
TWI778118B (zh) * 2017-09-05 2022-09-21 美商應用材料股份有限公司 來自次氧化物的自對準結構
WO2019050735A1 (en) 2017-09-06 2019-03-14 Micromaterials Llc METHODS FOR PRODUCING SELF-ALIGNED INTERCONNECTION HOLES
JP2019106538A (ja) 2017-12-07 2019-06-27 マイクロマテリアルズ エルエルシー 制御可能な金属およびバリアライナー凹部のための方法
JP7366019B2 (ja) 2017-12-14 2023-10-20 アプライド マテリアルズ インコーポレイテッド エッチング残留物の少ない金属酸化物のエッチング方法
EP3499557A1 (en) 2017-12-15 2019-06-19 Micromaterials LLC Selectively etched self-aligned via processes
KR20190104902A (ko) 2018-03-02 2019-09-11 마이크로머티어리얼즈 엘엘씨 금속 산화물들을 제거하기 위한 방법들
TW201946113A (zh) * 2018-04-27 2019-12-01 日商東京威力科創股份有限公司 用於先進接觸件中之覆蓋層形成的區域選擇性沉積
US10790191B2 (en) 2018-05-08 2020-09-29 Micromaterials Llc Selective removal process to create high aspect ratio fully self-aligned via
TW202011547A (zh) 2018-05-16 2020-03-16 美商微材料有限責任公司 用於產生完全自對準的通孔的方法
US10699953B2 (en) 2018-06-08 2020-06-30 Micromaterials Llc Method for creating a fully self-aligned via
US20200048762A1 (en) * 2018-08-10 2020-02-13 Applied Materials, Inc. Methods for selective deposition using self assembled monolayers
US11387112B2 (en) * 2018-10-04 2022-07-12 Tokyo Electron Limited Surface processing method and processing system
US11164938B2 (en) 2019-03-26 2021-11-02 Micromaterials Llc DRAM capacitor module

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6613664B2 (en) * 2000-12-28 2003-09-02 Infineon Technologies Ag Barbed vias for electrical and mechanical connection between conductive layers in semiconductor devices
JP2002252281A (ja) 2001-02-27 2002-09-06 Sony Corp 半導体装置およびその製造方法
US7279119B2 (en) * 2001-06-14 2007-10-09 Ppg Industries Ohio, Inc. Silica and silica-based slurry
US7521378B2 (en) 2004-07-01 2009-04-21 Micron Technology, Inc. Low temperature process for polysilazane oxidation/densification
US8324660B2 (en) * 2005-05-17 2012-12-04 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
JP2008108757A (ja) 2006-10-23 2008-05-08 Matsushita Electric Works Ltd 化合物半導体発光素子およびそれを用いる照明装置ならびに化合物半導体素子の製造方法
KR101477661B1 (ko) * 2008-07-17 2014-12-31 삼성전자주식회사 텅스텐 재성장을 통한 심 없는 텅스텐 패턴 및 그 패턴형성 방법
KR101534678B1 (ko) * 2009-02-12 2015-07-08 삼성전자주식회사 텅스텐 콘택 플러그를 산소 분위기에서 rta 처리하고, rto 처리된 텅스텐 플러그를 수소 분위기에서 환원시키는 반도체 소자의 제조방법
US8575753B2 (en) 2009-05-27 2013-11-05 Samsung Electronics Co., Ltd. Semiconductor device having a conductive structure including oxide and non oxide portions
JP5775288B2 (ja) * 2009-11-17 2015-09-09 三星電子株式会社Samsung Electronics Co.,Ltd. 半導体装置
KR101583516B1 (ko) * 2010-02-25 2016-01-11 삼성전자주식회사 전극 구조체를 구비하는 캐패시터, 이의 제조 방법 및 전극 구조체를 포함하는 반도체 장치
US8946082B2 (en) 2011-09-16 2015-02-03 GlobalFoundries, Inc. Methods for forming semiconductor devices
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
JP6297884B2 (ja) * 2014-03-28 2018-03-20 東京エレクトロン株式会社 タングステン膜の成膜方法
US20150348840A1 (en) * 2014-05-31 2015-12-03 Lam Research Corporation Methods of filling high aspect ratio features with fluorine free tungsten
US9449843B1 (en) * 2015-06-09 2016-09-20 Applied Materials, Inc. Selectively etching metals and metal nitrides conformally
US10648087B2 (en) * 2015-11-10 2020-05-12 L'Air Liquide, SociétéAnonyme pour l'Exploitation et l'Etude des Procédés Georges Claude Etching reactants and plasma-free etching processes using the same
US10083834B2 (en) * 2016-09-30 2018-09-25 Applied Materials, Inc. Methods of forming self-aligned vias

Also Published As

Publication number Publication date
KR20190067942A (ko) 2019-06-17
US10319604B2 (en) 2019-06-11
WO2018089350A1 (en) 2018-05-17
EP3539147A1 (en) 2019-09-18
US20190252206A1 (en) 2019-08-15
EP3539147A4 (en) 2020-06-17
US20180130671A1 (en) 2018-05-10
JP2019534573A (ja) 2019-11-28
CN109923642A (zh) 2019-06-21

Similar Documents

Publication Publication Date Title
US10319604B2 (en) Methods for self-aligned patterning
US11094544B2 (en) Methods of forming self-aligned vias
TWI687978B (zh) 用於圖案化應用之由下而上的柱體之幾何控制
TW201810530A (zh) 金屬及含金屬化合物之氧化體積膨脹
KR102444063B1 (ko) 자체 정렬된 고종횡비 구조물들 및 제작 방법들
US10770349B2 (en) Critical dimension control for self-aligned contact patterning
TWI760481B (zh) 用於氧化鎢柱形成之簡化製程流程的鎢選擇性沉積
JP2023029868A (ja) タングステン柱を形成する方法