TWI777310B - 用於一微影設備內之膜及包括此膜之微影設備 - Google Patents

用於一微影設備內之膜及包括此膜之微影設備 Download PDF

Info

Publication number
TWI777310B
TWI777310B TW109141389A TW109141389A TWI777310B TW I777310 B TWI777310 B TW I777310B TW 109141389 A TW109141389 A TW 109141389A TW 109141389 A TW109141389 A TW 109141389A TW I777310 B TWI777310 B TW I777310B
Authority
TW
Taiwan
Prior art keywords
film
euv
layer
radiation
emissivity
Prior art date
Application number
TW109141389A
Other languages
English (en)
Other versions
TW202111437A (zh
Inventor
安得列 亞歷山卓維克 倪祺佩洛
凡丁 葉弗真葉米希 白尼
喬茲夫 佩勒斯 韓瑞卡 班斯洽普
亞詹 伯嘉德
佛羅利恩 笛狄爾 雅賓 答禮安
艾利希 瑟吉維其 庫茲尼斯夫
瑪麗亞 彼德
路奇 史卡巴羅茲
德 贊登 威廉 裘 汎
柔 彼德 珍 凡
安卓 米克哈洛維奇 亞庫寧
Original Assignee
荷蘭商Asml荷蘭公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 荷蘭商Asml荷蘭公司 filed Critical 荷蘭商Asml荷蘭公司
Publication of TW202111437A publication Critical patent/TW202111437A/zh
Application granted granted Critical
Publication of TWI777310B publication Critical patent/TWI777310B/zh

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/62Pellicles, e.g. pellicle assemblies, e.g. having membrane on support frame; Preparation thereof
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B5/00Optical elements other than lenses
    • G02B5/18Diffraction gratings
    • G02B5/1838Diffraction gratings for use with ultraviolet radiation or X-rays
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B5/00Optical elements other than lenses
    • G02B5/20Filters
    • G02B5/208Filters for use with infrared or ultraviolet radiation, e.g. for separating visible light from infrared and/or ultraviolet radiation
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • G03F1/24Reflection masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70191Optical correction elements, filters or phase plates for controlling intensity, wavelength, polarisation, phase or the like
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70316Details of optical elements, e.g. of Bragg reflectors, extreme ultraviolet [EUV] multilayer or bilayer mirrors or diffractive optical elements
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/7055Exposure light control in all parts of the microlithographic apparatus, e.g. pulse length control or light interruption
    • G03F7/70575Wavelength control, e.g. control of bandwidth, multiple wavelength, selection of wavelength or matching of optical components to wavelength
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70858Environment aspects, e.g. pressure of beam-path gas, temperature
    • G03F7/70883Environment aspects, e.g. pressure of beam-path gas, temperature of optical system
    • G03F7/70891Temperature
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70908Hygiene, e.g. preventing apparatus pollution, mitigating effect of pollution or removing pollutants from apparatus
    • G03F7/70916Pollution mitigation, i.e. mitigating effect of contamination or debris, e.g. foil traps
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/7095Materials, e.g. materials for housing, stage or other support having particular properties, e.g. weight, strength, conductivity, thermal expansion coefficient
    • G03F7/70958Optical materials or coatings, e.g. with particular transmittance, reflectance or anti-reflection properties
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70983Optical system protection, e.g. pellicles or removable covers for protection of mask

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Engineering & Computer Science (AREA)
  • Environmental & Geological Engineering (AREA)
  • Atmospheric Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Toxicology (AREA)
  • Optics & Photonics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Separation Using Semi-Permeable Membranes (AREA)
  • Optical Filters (AREA)

Abstract

本發明揭示一種對極紫外線(EUV)輻射透射之膜,該膜可用作一微影設備中之一護膜或光譜濾光器。該膜包括:一或多個高摻雜區,其中該膜係以大於1017 cm- 3 之一摻雜劑濃度而摻雜;及具有低摻雜(或不具有摻雜)之一或多個區。該膜可具有具低摻雜之一主基板以及一或多個額外層,其中該等高摻雜區包括於該等額外層中之一些或全部內。

Description

用於一微影設備內之膜及包括此膜之微影設備
本發明係關於用於微影設備內之膜,且更具體言之,係關於可形成設備內之護膜或光學濾光器組件之部分的極紫外線(EUV)透射膜,及一種包括此膜之微影設備。
微影設備為將所要圖案施加至基板上(通常施加至基板之目標部分上)之機器。微影設備可用於(例如)積體電路(IC)製造中。在彼情況下,圖案化器件(其替代地被稱作光罩或比例光罩)可用以產生待形成於IC之個別層上之電路圖案。可將此圖案轉印至基板(例如,矽晶圓)上之目標部分(例如,包括晶粒之部分、一個晶粒或若干晶粒)上。通常經由成像至提供於基板上之輻射敏感材料(抗蝕劑)層上而進行圖案之轉印。一般而言,單一基板將含有經順次地圖案化之鄰近目標部分之網路。 微影被廣泛地認為是在IC以及其他器件及/或結構之製造中之關鍵步驟中的一者。然而,隨著使用微影所製造之特徵之尺寸變得愈來愈小,微影正變為用於使能夠製造小型IC或其他器件及/或結構之更具決定性因素。 圖案印刷極限之理論估計可藉由瑞立(Rayleigh)解析度準則給出,如方程式(1)所展示:
Figure 02_image001
(1) 其中λ為所使用輻射之波長,NA為用以印刷圖案之投影系統之數值孔徑,k1 為程序相依調整因數(亦被稱為瑞立常數),且CD為經印刷特徵之特徵大小(或臨界尺寸)。自方程式(1)可見,可以三種方式來獲得特徵之最小可印刷大小之縮減:藉由縮短曝光波長λ、藉由增加數值孔徑NA,或藉由減低k1 之值。 為了縮短曝光波長且因此縮減最小可印刷大小,已提議使用極紫外線(EUV)輻射源。EUV輻射為具有在5奈米至20奈米之範圍內(例如,在13奈米至14奈米之範圍內)之波長之電磁輻射。已進一步提議可使用具有小於10奈米(例如,在5奈米至10奈米之範圍內,諸如,6.7奈米或6.8奈米)之波長之EUV輻射。此輻射被稱為極紫外線輻射或軟X射線輻射。舉例而言,可能之源包含雷射產生電漿源、放電電漿源,或基於由電子儲存環提供之同步加速器輻射或基於自由電子雷射之源。 出於多個原因,在EUV微影設備中常常需要薄透射EUV膜。一個此類原因可為保護(例如)光罩及/或微影組件免受粒子(具有在奈米至微米之範圍內的顆粒大小)污染。另一原因可為自所產生EUV輻射光譜地濾出非想要輻射波長。 需要透射EUV膜(或簡言之EUV膜)對EUV輻射高度地透明,且因此需要透射EUV膜極薄。為了最小化EUV輻射之吸收,典型EUV膜具有10奈米至100奈米之厚度。 EUV膜可包括自由懸浮(亦即,自立式)膜(薄膜),其包括藉由矽晶圓之蝕刻產生之材料,諸如,多晶矽(多晶Si)。EUV膜亦可包括一個或兩個表面上之保護塗層之一或多個層(例如,保護罩蓋層)以防止EUV誘發性電漿蝕刻(例如,由氫(H、H+ 、H2 + 及/或H3 + )誘發)。 儘管由EUV膜進行之EUV輻射之吸收可為低的,但實務上其仍不為零,且殘餘EUV輻射之吸收引起EUV膜之溫度增加。因為護膜係在真空中,所以用於護膜冷卻之主程序為輻射熱轉移。若EUV膜之溫度超過損害臨限值(例如,約500℃至700℃),則可發生對EUV膜之損害。當在EUV膜內存在大溫度梯度時,亦可發生或放大損害。在此損害嚴重的情況下,EUV膜可斷裂,從而導致微影設備之未受保護光罩或其他元件(諸如,鏡面,或曝光至不當非EUV波長輻射之光阻)之損害/污染,從而導致相當大製造程序停工時間。 應顯而易見,將EUV膜之溫度維持低於損害臨限值以及最小化溫度梯度可增加EUV膜壽命。 護膜可歸因於熱負荷而出故障之原因在於:其並不極良好地吸收/發射IR輻射,尤其是針對高功率EUV輻射源,諸如,125瓦特之源及超出125瓦特之源。因為在IR波長區中發射熱輻射,所以高光譜(IR)半球形發射率實現針對EUV膜之相當大熱損耗。因此,需要製造具有高光譜發射率之EUV護膜。又,若風行的EUV輻射量(諸如,90%或更多)待透射通過EUV膜,則需要使EUV護膜極薄。
需要改良EUV膜之熱特性,諸如,改良型冷卻及/或EUV膜內之溫度梯度之最小化。在本文中EUV膜意謂對EUV輻射實質上透射之膜且亦被稱作EUV護膜。在本文中對EUV輻射實質上透射(或簡單地透射)意謂對至少65%EUV輻射透射、較佳對至少75%EUV輻射透射、更佳對至少85%EUV輻射透射、且最佳對至少90%EUV輻射透射,以便在曝光期間提供足夠EUV劑量。 為了在EUV透射仍相當大時增加對IR輻射之EUV護膜發射率,在本文中提議: a)運用雜質摻雜EUV護膜;及/或 運用用於改良型IR發射率之罩蓋層(例如,運用金屬罩蓋層)塗佈EUV護膜,該罩蓋層包括為對IR輻射之良好吸收器但在EUV輻射體系中透明之材料。此罩蓋層較佳地亦將保護護膜免於氧化或其他環境危害。EUV護膜可經選擇為對給定EUV輻射波長(諸如,13.5奈米或6.8奈米(或任何其他EUV輻射波長)) 的90%或多於90%透射。 在本文中根據本發明之EUV膜或EUV膜總成之改良型(增加型、增強型、最佳) IR發射率意謂IR發射率多於0.1,諸如,多於0.15且較佳多於0.2。較佳地,EUV膜之IR發射率針對給定溫度增加至少2倍。 若EUV膜(亦即,EUV護膜)係由一核心層(亦被稱作主基板層)及一或多個罩蓋層(亦在本文中被稱作覆蓋層,其通常為具有特定功能性之層,諸如,保護罩蓋層) (來自該一或多個罩蓋層之至少一個罩蓋層具有改良型IR發射率之功能)形成,則用於改良型IR發射率之罩蓋層意謂IR發射率經選擇為使得EUV膜之IR發射率大於核心層之IR發射率的罩蓋層。舉例而言,若核心層之EUV發射率為約0.1,則用於改良型IR發射率之罩蓋層之材料及厚度經選擇為使得在相同條件下判定之EUV膜之總IR發射率多於0.15。儘管罩蓋層在本文中主要被稱作可提供於核心層之頂部上之塗層,但在本文中應理解,罩蓋層亦可為兩個核心層之間的層,或核心層與另一(第二)罩蓋層之間的層,或具有相同或不同功能性(例如,保護(諸如,抗氧化劑層)、抗擴散,或用於改良型IR發射率)之兩個罩蓋層之間的層。 本文中之核心層或主基板層通常被理解為較厚層、多層堆疊,或亦提供用於EUV膜之大多數機械強度之高降服強度材料層。舉例而言,為了耐受可在曝光期間歸因於高熱負荷而發生之大應力,核心層可需要具有為至少50 MPa、較佳至少100 MPa、甚至更佳至少150 MPa之降服強度。通常,在50 MPa至1000 MPa之範圍內之降服強度可取決於材料向EUV膜提供足夠機械強度(例如,p-Si具有約180 MPa之降服強度且SiNx具有約500 MPa之降服強度)。通常,核心層之厚度可大於用於改良型發射率之罩蓋層之厚度。當核心層係由多層堆疊形成時,該堆疊之總厚度可大於用於改良型發射率之罩蓋層之厚度,即使多層堆疊中之個別層之厚度可比得上用於改良型發射率之罩蓋層之厚度。然而,取決於核心層及罩蓋層之材料,EUV膜亦可經設計為具有可比得上厚度或甚至使用於改良型發射率之罩蓋層稍微厚於核心層,只要符合對EUV透射、DUV抑制及/或IR發射率之所要要求即可。 若EUV膜(EUV護膜)經摻雜以增加其發射率,則EUV膜之改良型IR發射率意謂為經摻雜EUV膜之IR發射率在相同條件下大於相同材料及厚度的非摻雜EUV膜之IR發射率。 在改良型發射率之一替代定義中,溫度亦可被視為定義參數。舉例而言,改良EUV膜之IR發射率亦可被定義為增加對於波長(例如,1微米至10微米)之EUV膜之熱發射率,使得當EUV膜之溫度的範圍為100℃至約1000℃且更尤其處於中等溫度(小於500℃)時由EUV膜吸收之能量的多於65% (較佳多於85%)被輻射出。 本文中之發射率大體上意謂半球形發射率(基於半球形IR輻射吸收),除非另有陳述。 在本發明之一態樣中,提供一種對EUV輻射透射之膜,該膜被摻雜有供體及/或受體雜質以便增加EUV護膜之IR發射率。已發現,為了使電漿諧振與普朗克光譜中之峰值匹配以便最大化IR發射率所需的摻雜範圍(亦即,普朗克發射率峰值對應於電漿諧振)。舉例而言,藉由摻雜(多晶)矽,在IR中產生處於大約1微米至10微米之電漿,其與峰值普朗克黑體輻射重合。容易自導體之數目判定電漿頻率。原子之體積密度對於固體粗略為1022 n/cm3 。在金屬之狀況下,每一原子對導電帶中之電子做貢獻,從而引起約1022 載流子/立方公分。金屬具有為大約150奈米之典型電漿波長。 電漿頻率ωp 係與ωp =√ne 成比例,其中ne 為自由電荷載流子。若需要大10倍波長(亦即,頻率低10倍),則需要對應於1020 個載流子之低100倍的自由電荷載流子密度。因此,若(多晶)矽係以摻雜劑之0.1%至10%原子濃度而摻雜,則可在IR輻射光譜中產生電漿諧振。此電漿耦合至普朗克黑體光譜且產生額外IR吸收。 若電漿諧振頻率比普朗克頻率(在300 K下為10微米)高得多,則EUV護膜可變得較反射。(亦即,類金屬)。若電漿諧振頻率比普朗克頻率低得多,則EUV護膜變得較透射(亦即,類介電質)。EUV護膜之所要行為為半金屬行為,其中電漿波長在1微米與10微米之間。 自理論演算已發現,在具有至少約(2至3)×1020 n/cm3 供體原子之EUV護膜材料之N型摻雜的情況下獲得60奈米厚的多晶矽護膜之最佳IR發射率。護膜溫度愈高,摻雜濃度歸因於在較高溫度下之普朗克光譜之移位應愈高。在EUV護膜材料之P型摻雜之狀況下的最佳摻雜被發現為至少4×1020 n/cm3 受體原子。P型摻雜引起比N型摻雜稍微高(約10%)的IR發射率。相比於60奈米厚的多晶矽護膜,較薄護膜將具有較高最佳摻雜濃度(例如,20奈米厚的護膜具有為大約1e21 之最佳摻雜),且較厚護膜將具有較低最佳摻雜濃度(200奈米厚的Si護膜具有為大約1e20 之最佳摻雜)。通常,對於具有在10奈米與250奈米之間的厚度之EUV護膜,最佳摻雜劑濃度在自5×1019 n/cm3 原子至1×1021 n/cm3 原子的範圍內。 在本發明之一態樣中,提供一種對EUV輻射透射之膜,其包括:一或多個高摻雜區,其中該膜係以一高摻雜劑濃度而摻雜;及一或多個低摻雜區,其中該膜不具有摻雜或具有一低摻雜劑濃度;其中一高摻雜劑濃度被定義為大於1017 cm- 3 、較佳大於1020 cm- 3 之摻雜劑濃度;且一低摻雜劑濃度被定義為小於1017 cm- 3 、較佳小於1020 cm- 3 之一摻雜劑濃度。 在本發明之另一態樣中,提供一種對EUV輻射透射之膜(EUV護膜),該膜具有選自如下各者之一(核心)材料:(多晶)Si、Si3 N4 、SiC、ZrN、ZrB2 、ZrC、MoB2 、MoC、RuB2 、LaB2 、LaC、TiB2 、TiC、(多)晶釔、(多)晶Zr、Be、C、B及B4 C及複合物或自其多層之組合。諸如ZrB2 或ZrC之半金屬可縮減該EUV護膜之靜電充電。該EUV護膜較佳具有為60奈米或小於60奈米之一厚度以允許足夠EUV透射。 在本發明之另一態樣中,提供一種用於一微影設備之膜,該膜具有為至少0.1之IR輻射發射率且對具有6.7奈米波長之EUV輻射實質上透射,該膜包括來自包括硼之一材料的一核心層,其中該核心層具有自20奈米至150奈米之一厚度。 在本發明之另一態樣中,提供一種用於一微影設備之膜,該膜具有為至少0.1之IR輻射發射率且對EUV輻射實質上透射,該膜包括來自包括Ru之一材料的一核心層,其中該核心層具有自20奈米至30奈米之一厚度。 在本發明之另一態樣中,提供一種用於一微影設備之膜總成,該膜總成具有為至少0.1之IR輻射發射率且對EUV輻射實質上透射,該膜總成包括用於改良型IR發射率之至少兩個獨立金屬層,該等金屬層包括吸收IR輻射且具有為20奈米或小於20奈米之一層厚度的一金屬,使得其對EUV實質上透明,其中用於改良型IR發射率之該等金屬層分離達具有為10微米或小於10微米之厚度D的一間隙。可運用提供機械強度之一支撐層支撐該等金屬層。 在本發明之另一態樣中,提供一種微影設備,其包括根據該等以上實施例之一或多個EUV膜。
圖1示意性地描繪根據本發明之一實施例的包含源模組SO之微影設備100。該設備包括: -  照明系統(照明器) IL,其經組態以調節輻射光束B (例如,EUV輻射); -  支撐結構(例如,光罩台) MT,其經建構以支撐圖案化器件(例如,光罩或比例光罩) MA,且連接至經組態以準確地定位該圖案化器件之第一***PM; -  基板台(例如,晶圓台) WT,其經建構以固持基板(例如,抗蝕劑塗佈晶圓) W,且連接至經組態以準確地定位該基板之第二***PW;及 -  投影系統(例如,反射投影系統) PS,其經組態以將由圖案化器件MA賦予至輻射光束B之圖案投影至基板W之目標部分C (例如,包括一或多個晶粒)上。 照明系統可包含用於導向、塑形或控制輻射的各種類型之光學組件,諸如,折射、反射、磁性、電磁、靜電或其他類型之光學組件,或其任何組合。 支撐結構MT以取決於圖案化器件MA之定向、微影設備之設計及其他條件(諸如,該圖案化器件是否被固持於真空環境中)的方式來固持該圖案化器件。支撐結構可使用機械、真空、靜電或其他夾持技術以固持圖案化器件。支撐結構可為(例如)框架或台,其可根據需要而固定或可移動。支撐結構可確保圖案化器件(例如)相對於投影系統處於所要位置。 術語「圖案化器件」應被廣泛地解譯為係指可用以在輻射光束之橫截面中向輻射光束賦予圖案以便在基板之目標部分中產生圖案的任何器件。被賦予至輻射光束之圖案可對應於目標部分中所產生之器件(諸如,積體電路)中之特定功能層。 圖案化器件可為透射的或反射的。圖案化器件之實例包含光罩、可程式化鏡面陣列,及可程式化LCD面板。光罩在微影中為吾人所熟知,且包含諸如二元、交變相移及衰減相移之光罩類型,以及各種混合式光罩類型。可程式化鏡面陣列之一實例使用小鏡面之矩陣配置,該等小鏡面中每一者可個別地傾斜,以便在不同方向上反射入射輻射光束。傾斜鏡面在由鏡面矩陣反射之輻射光束中賦予圖案。 類似於照明系統,投影系統可包含適於所使用之曝光輻射或適於諸如真空之使用之其他因素的各種類型之光學組件,諸如,折射、反射、磁性、電磁、靜電或其他類型之光學組件,或其任何組合。可需要將真空用於EUV輻射,此係因為其他氣體可吸收過多輻射。因此,可憑藉真空壁及真空泵而將真空環境提供至整個光束路徑。 如此處所描繪,設備屬於反射類型(例如,使用反射光罩)。 微影設備可屬於具有兩個(雙載物台)或兩個以上基板台(及/或兩個或兩個以上光罩台)之類型。在此等「多載物台」機器中,可並行地使用額外台,或可對一或多個台進行預備步驟,同時將一或多個其他台用於曝光。 參看圖1,照明器IL自源模組SO接收極紫外線輻射光束。用以產生EUV光之方法包含但未必限於運用在EUV範圍內之一或多種發射譜線而將具有至少一元素(例如,氙、鋰或錫)之材料轉換成電漿狀態。在一種此類方法(常常被稱為雷射產生電漿「LPP」)中,可藉由運用雷射光束來輻照燃料(諸如,具有所需譜線發射元素之材料小滴、串流或叢集)而產生所需電漿。源模組SO可為包含雷射(圖1中未繪示)之EUV輻射系統之部件,該雷射用於提供激發燃料之雷射光束。所得電漿發射輸出輻射,例如,EUV輻射,該輻射係使用安置於源模組中之輻射收集器予以收集。舉例而言,當使用CO2 雷射以提供用於燃料激發之雷射光束時,雷射與源模組可為分離實體。 在此等狀況下,不認為雷射形成微影設備之部件,且輻射光束係憑藉包括(例如)合適導向鏡面及/或光束擴展器之光束遞送系統而自雷射傳遞至源模組。在其他狀況下,舉例而言,當源為放電產生電漿EUV產生器(常常被稱為DPP源)時,源可為源模組之整體部件。 照明器IL可包括用於調整輻射光束之角強度分佈之調整器。通常,可調整照明器之光瞳平面中之強度分佈的至少外部徑向範圍及/或內部徑向範圍(通常分別被稱作σ外部及σ內部)。另外,照明器IL可包括各種其他組件,諸如,琢面化場鏡面器件及琢面化光瞳鏡面器件。照明器可用以調節輻射光束,以在其橫截面中具有所要均一性及強度分佈。 輻射光束B入射於被固持於支撐結構(例如,光罩台) MT上之圖案化器件(例如,光罩) MA上,且係由該圖案化器件而圖案化。在自圖案化器件(例如,光罩) MA反射之後,輻射光束B傳遞通過投影系統PS,投影系統PS將該光束聚焦至基板W之目標部分C上。憑藉第二***PW及位置感測器PS2 (例如,干涉量測器件、線性編碼器或電容性感測器),可準確地移動基板台WT,例如,以便使不同目標部分C定位於輻射光束B之路徑中。相似地,第一***PM及另一位置感測器PS1可用以相對於輻射光束B之路徑來準確地定位圖案化器件(例如,光罩) MA。可使用光罩對準標記M1、M2及基板對準標記P1、P2來對準圖案化器件(例如,光罩) MA及基板W。 提供EUV膜(例如,EUV護膜PE)以防止圖案化器件受到系統內之粒子污染。此等護膜可提供於所展示之部位處及/或其他部位處。可提供另一EUV膜SPF作為光譜純度濾光器,其可操作以濾出非想要輻射波長(例如,DUV)。此等非想要波長可以不理想方式影響晶圓W上之光阻。SPF亦可視情況幫助防止投影系統PS內之投影光學件受到在除氣期間釋放之粒子污染(或替代地,為進行此操作可提供護膜來代替SPF)。此等EUV膜中之任一者可包括本文所揭示之EUV膜中之任一者。 圖2更詳細地展示微影設備之一實施例,其包含輻射系統42、照明系統IL及投影系統PS。如圖2所展示之輻射系統42屬於使用雷射產生電漿作為輻射源之類型。可由氣體或蒸汽(例如,Xe氣體、Li蒸汽或Sn蒸汽)產生EUV輻射,其中產生極熱電漿以發射在電磁光譜之EUV範圍內之輻射。藉由(例如)使用CO2 雷射光之光學激發而造成至少部分離子化電漿來產生極熱電漿。為了輻射之有效率產生,可需要為(例如) 10帕斯卡之分壓之Xe、Li、Sn蒸汽或任何其他合適氣體或蒸汽。在一實施例中,使用Sn以產生電漿,以便發射在EUV範圍內之輻射。 輻射系統42體現圖1之設備中之源SO的功能。輻射系統42包括源腔室47,在此實施例中,源腔室47不僅實質上圍封EUV輻射源,而且圍封收集器50,在圖2之實例中,收集器50為正入射收集器,例如,多層鏡面。 作為LPP輻射源之部分,雷射系統61經建構及經配置以提供雷射光束63,雷射光束63係由光束遞送系統65遞送通過提供於收集器50中之孔隙67。又,輻射系統包含由標靶材料供應件71供應之標靶材料69,諸如,Sn或Xe。在此實施例中,光束遞送系統65經配置以建立實質上聚焦至所要電漿形成位置73上之光束路徑。 在操作中,由標靶材料供應件71以小滴之形式供應標靶材料69,其亦可被稱作燃料。截留器72提供於源腔室47之相對側上,以捕捉不管出於任何原因未變成電漿之燃料。當標靶材料69之此小滴到達電漿形成位置73時,雷射光束63照射於該小滴上,且EUV輻射發射電漿形成於源腔室47內部。在脈衝式雷射之狀況下,此情形涉及對雷射輻射之脈衝進行定時以與小滴通過位置73之傳遞重合。如所提及,燃料可為(例如)氙(Xe)、錫(Sn)或鋰(Li)。此等燃料產生具有若干105 K之電子溫度之高度離子化電漿。可運用其他燃料材料(例如,Tb及Gd)來產生較高能量EUV輻射。在此等離子之去激發及再結合期間產生之高能輻射包含在位置73處自電漿發射之想要EUV。電漿形成位置73及孔隙52分別位於收集器50之第一焦點及第二焦點處,且EUV輻射係由正入射收集器鏡面50聚焦至中間焦點IF上。 自源腔室47發出之輻射光束經由所謂正入射反射器53、54而橫穿照明系統IL,如在圖2中由輻射光束56所指示。正入射反射器將光束56經由護膜PE而導向至定位於支撐件(例如,比例光罩或光罩台) MT上之圖案化器件(例如,比例光罩或光罩)上。形成經圖案化光束57,其係由投影系統PS經由反射元件58、59而成像至由晶圓載物台或基板台WT承載之基板上。比所展示元件更多之元件通常可存在於照明系統IL及投影系統PS中。舉例而言,可存在比圖2所展示之兩個元件58及59多一個、兩個、三個、四個或甚至更多的反射元件。相似於輻射收集器50之輻射收集器自先前技術為吾人所知。 如熟習此項技術者將知道,可定義參考軸線X、Y及Z以量測及描述設備、其各種組件及輻射光束55、56、57之幾何形狀及行為。在設備之每一部分處,可定義X軸、Y軸及Z軸之局域參考座標系。Z軸在系統中之給定點處與光軸O之方向大致重合,且大體上垂直於圖案化器件(光罩) MA之平面及垂直於基板W之平面。在源模組(設備) 42中,X軸與燃料串流(69,下文所描述)之方向大致重合,而Y軸正交於該方向,其自頁面中指出,如所指示。另一方面,在固持光罩MA之支撐結構MT附近,X軸大體上橫向於與Y軸對準之掃描方向。出於方便起見,在示意圖圖2之此區域中,X軸自頁面中指出,再次如所標記。此等指定在此項技術中係習知的,且將在本文中出於方便起見而被採用。原則上,可選擇任何參考座標系以描述設備及其行為。 除了產生想要的EUV輻射以外,電漿亦產生其他波長之輻射,例如,在可見光範圍、UV範圍及DUV範圍內。亦存在來自雷射光束63之紅外線(IR)輻射。在照明系統IL及投影系統PS中並不想要非EUV波長,且可部署各種措施以阻擋非EUV輻射。如圖2示意性地所描繪,呈光譜純度濾光器SPF之形式的EUV膜濾光器(亦即,SPF膜)可應用於虛擬源點IF上游,以用於IR、DUV及/或其他非想要波長。在圖2所展示之特定實例中,描繪兩個光譜純度濾光器,一個光譜純度濾光器在源腔室47內且一個光譜純度濾光器在投影系統PS之輸出處。在一項實施例中,僅提供一個光譜純度濾光器SPF膜,其可在此等部位中之任一者中或在電漿形成位置73與晶圓W之間的別處,諸如,在光罩位階處。 然而,大DUV抑制在光罩位階處係困難的,此係因為在彼部位處,帶外輻射之背向反射係不當的(此係因為其可影響光罩形狀)。因此,在光罩位階處,用以運用EUV膜(例如,EUV護膜)抑制DUV及IR之較佳機制僅為吸收。 在另一實施例中,第一EUV膜可用於光罩位階處以抑制粒子碎屑沈積於光罩上,且第二EUV膜可作為SPF膜用於投影系統PS之輸出處(亦即,晶圓與投影系統PS之最後鏡面之間)。SPF膜為作為用於阻擋非想要輻射波長之光譜濾光器而操作的EUV膜。可添加SPF膜以便抑制帶外IR及DUV輻射,此係因為在晶圓附近,反射及吸收兩者可用以抑制非想要輻射。 揭示用於EUV輻射之透射的EUV膜,其相比於目前EUV膜具有改良型熱特性。此等EUV膜可包括(例如) (多晶) Si EUV膜。該等膜可包括於光譜純度濾光器(SPF)或護膜內。SPF及/或護膜可提供於微影系統內之許多部位處,如已經描述。 在使用期間吸收輻射時,EUV膜變熱。若其溫度增加得過高或膜內之溫度梯度過大,則EUV膜可受到損害。因此,需要最小化EUV膜內之溫度及溫度梯度。因為EUV膜將用於極低壓力(真空)環境中,所以冷卻之僅有方式為輻射。因此,需要在EUV膜之溫度的範圍為約100℃至約1000℃、更佳為幾百(例如,至少200℃)至約1000℃且更尤其處於中等溫度(小於500℃,諸如,自100℃至500℃)時針對大多數能量被輻射所處之波長(例如,1微米至10微米)增加EUV膜之熱發射率(亦即,改良IR發射率)。在此等條件下,舉例而言,(多晶)矽材料之純(亦即,塊體)層呈現低熱發射率,此係因為所有自由電荷載流子仍被束縛。 已進行基於供演算半球形紅外線吸收(其係關於發射率)之多層菲涅耳反射係數及普朗克定律之模擬,以理解薄膜依據其厚度而變化的IR吸收(熱發射率)之改變。此等模擬已展示:諸如SiC及Si之介電材料之彼等薄膜在其變得較薄時將變得吸收較少IR輻射。因此,來自介電材料之EUV膜(為提供相當大EUV透射需要其為薄的)通常將獨自具有極小IR吸收/發射。 為了增加包括半導體材料之EUV膜中之發射率,EUV膜材料可經摻雜以增加該材料內自由電荷載流子之數目。此情形增加經摻雜膜之輻射吸收係數,此情形導致發射率增加。熟習此項技術者將知道,半導體材料與供體及/或受體之摻雜調整在中等溫度下之自由電荷載流子濃度(電子及/或電洞)。 待摻雜至半導體膜中之雜質之濃度出於顯著效應應高於1017 cm- 3 。濃度可較佳高於1018 cm- 3 、1019 cm- 3 或1020 cm- 3 。可展示:吸收係數可在大於1.2微米之輻射波長下在摻雜劑濃度自1017 cm- 3 增加至1020 cm- 3 時增加1000倍。此情形同樣應用於摻雜p摻雜劑及n摻雜劑。 然而,添加摻雜劑傾向於縮減諸如多晶矽之半導體材料之強度。此歸因於EUV膜需要特別薄以便以最小損耗量透射EUV輻射而特別為來自EUV膜的問題。因此,提議數個解決方案以處理此問題。 圖3為定位於光罩MA之經圖案化區域前端的EUV膜300之示意圖。EUV膜300在此處被展示為形成護膜之部分,其經設計以保持粒子D偏離光罩MA之經圖案化區域,同時允許透射EUV輻射光束305。在此實例中,EUV膜300可包括護膜框架(圖中未繪示)內之EUV膜。EUV膜300可進一步包括(例如)用於將護膜框架附接至光罩之緊固元件(圖中未繪示)。EUV膜300可置放於焦平面外,其與光罩MA相隔一些距離,使得污染物未成像至晶圓上。 在其他實施例中,EUV膜可形成護膜之部分以用於微影設備內之另一部位,或形成SPF。 EUV膜300可包括數個層。此等層可包含主基板層310、覆蓋層311、312及中間層313、314,該等中間層可為(例如)抗擴散層313、314。主基板層310可為(例如) (多晶)Si層。此配置係僅作為實例被展示,且所展示層之其他組合係可能的。舉例而言,EUV膜300可包括覆蓋層311、312,而不具有任何中間層。在另一例示性替代例中,在主基板層之恰好一個表面上可存在僅一個覆蓋層(在覆蓋層與基板層之間具有或不具有中間層)。在主基板層之一個或兩個表面上亦可存在兩個以上層。 通常,覆蓋層311、312係由(惰性)材料製成以抵抗可損害主基板層310之任何蝕刻或反應劑,例如,O及H自由基、H2 及EUV。此材料之實例包含MoSi2 、Si3 N4 、C3 N4 、ZrN、SiC。此等材料通常具有寬禁用能量區帶,且在屬性方面相似於陶瓷。因此,此等材料甚至在中等溫度下(例如,小於500℃)具有高發射率。此外,此等材料係自具有低EUV吸收的元素產生,該EUV吸收可比得上純Si吸收。因此,倘若覆蓋層311、312具有比主基板層310小得多的厚度,則其並不顯著增加EUV膜300之總EUV吸收。覆蓋層311、312亦不應將過大應力置放於主基板層310上,以便保留其機械屬性。 可提供中間層313、314以縮減應力。舉例而言,中間層313、314可包括在主基板層310與覆蓋層311、312之間具有中間晶格大小的材料。類似於覆蓋層311、312,中間層313、314應對EUV高度地透明。 在一實施例中,覆蓋層311、312及/或中間層313、314(若存在)可經摻雜以增加自由電荷載流子之濃度,如已經描述。以此方式,覆蓋層311、312及/或中間層313、314形成膜內之高摻雜區。主基板層310可形成為低摻雜區以維持強度。其他層311、312、313、314中之一或多者之摻雜顯著增加EUV膜300之發射率,如已經描述。 高摻雜區具有為至少1017 cm- 3 之摻雜劑濃度,而低摻雜區具有小於之1017 cm- 3 之摻雜劑濃度。高摻雜區之摻雜等級可為上文關於半導體膜之摻雜所描述的彼等摻雜等級中之任一者,且因而可(例如)高於1018 cm- 3 、高於1019 cm- 3 ,或高於1020 cm- 3 。諸如主基板層(亦即,核心層)之低摻雜區之摻雜等級可(例如)小於1016 cm- 3 、小於1015 cm- 3 或小於1014 cm- 3 。低摻雜區可未摻雜且因此不具有(有意)額外摻雜劑。 圖4展示一替代實施例,其展示EUV膜400具有相同於EUV膜300的層結構,而且包括置放於覆蓋層311、312上之額外覆蓋層411、412,如圖4所展示。代替覆蓋層311、312 (或除了覆蓋層311、312以外),此等額外覆蓋層411、412亦可為高摻雜區。額外覆蓋層411、412之摻雜濃度可為先前段中所提及之摻雜濃度中的任一者。 藉由僅摻雜覆蓋層311、312、411、412或中間層313、314而非主基板層310,減輕摻雜之弱化效應且結果總EUV膜300更強。 圖5說明另一實施例。其展示EUV膜500,EUV膜500可僅包括單一主基板層,或替代地可包括覆蓋層/中間層,諸如,層311、312、313、314且可能亦包括層411、412。在此實施例中,主基板層及(在存在的情況下)覆蓋層/中間層中之一或多者包括摻雜(其可處於已經描述之濃度),但其中高摻雜區僅限於所摻雜層之中心區510。此摻雜層之周邊520為低摻雜區,其中其可由框架固持。此情形增加EUV膜500在其周邊處之強度,該EUV膜500之周邊歸因於由框架固持而經受較大應力。應瞭解,周邊區域520幾乎不透射或不透射EUV,此係因為此EUV主要或完全透射通過中心區510。因此,周邊區域520經受極小加熱且其熱特性較不重要。 視情況,摻雜可被分級,使得摻雜朝向中心增加。在此等配置中,可遍及EUV膜之全半徑或其層發生梯度(亦即,摻雜在膜邊緣處開始且朝向中心增加)。替代地,摻雜可僅在中心區510之邊緣處開始且朝向中心增加,其中周邊區520不具有摻雜。或可僅針對不具有摻雜之周邊區與具有高摻雜之中心區之間的中間區段發生摻雜分級。 在使用相似於先前段中所描述之原理的原理的情況下,可將摻雜以光點摻雜之形式引入至任何層。光點摻雜包括由無摻雜或低摻雜(且因此具有較大強度)之區分離的複數個高摻雜(高發射率)區。又,此概念可應用於僅包括單一主基板層之EUV膜500,或應用於包括額外層(諸如,覆蓋層及/或中間層)之EUV膜500,在此種狀況下可將摻雜引入至此等層中之任一或多者。在一實例中,高摻雜區可彼此分離達大約1微米至5微米。應瞭解,至高摻雜區之熱流通量係藉由具有可比得上或甚至長於此波長的波長之聲子進行。藉由兩種機制轉移熱:輻射(光子)及熱傳導(晶格內之原子之振盪:聲子)。當在功率經沈積的情況下(未摻雜區)與在功率經移除的情況下(高摻雜區)之間的距離封閉時,顯著更快地轉移功率;封閉可被定義為可比得上具有典型能量之聲子之波長(由溫度界定,此波長為大約幾微米)。 當然,先前段中所描述之概念可組合使得光點摻雜僅限於EUV膜之中心區510或其層,其中在周邊區520中不具有摻雜。且摻雜濃度可被分級,使得較接近周邊之高摻雜區相比於較接近中心之高摻雜區被較低程度地摻雜。此情形可幫助控制熱誘發性應力及冷卻速率(其兩者皆依據摻雜劑濃度而變化)。此情形亦可幫助控制變形,諸如,所形成之皺折或褶皺。當EUV膜之溫度增加時,EUV膜包括之材料擴展。為EUV膜之標稱形狀之扁平平面不能容納該經擴展材料,且形成褶皺或皺折。在EUV輻射以一角度越過EUV膜時由褶皺進行之EUV輻射吸收較高,且因此,有效吸收路徑較長。褶皺可具有為約10微米或大於10微米(寬)之橫向尺度,且將成像於晶圓上。在使用光點摻雜的情況下,褶皺之典型尺度係由歸因於溫度量變曲線控制及機械屬性控制之組合效應的高摻雜區及低摻雜區之幾何形狀及尺度界定。在溫度增加的情況下,光點摻雜膜中之褶皺之角度相同,但橫向大小減低,且因此,此等褶皺不再成像。 先前研究已展示:舉例而言,光子穿隧及表面極化可在輻射物件之間的分離距離小於主熱波長時在近場輻射能量轉移中起關鍵作用。舉例而言,由B. Liu等人之研究(Phys. Rev. B 87,115403,(2013年))已示範:一些材料之近場輻射熱轉移可歸因於經由消散波之能量轉移而超過黑體輻射極限達少數數量級。所研究材料支援IR區中之表面極化(例如,摻Si材料、SiC、BN或可能用作覆蓋層510及514之候選材料之任何合適材料)。 亦可由B. Liu等人找到比較依據距離d而變化的由SiC及金製成之兩個半無限板之間的近場輻射熱轉移之曲線圖(圖1)。距離d表示兩個板之間的真空間隙大小。如在B.Liu等人的圖1中可看到,由SiC及金製成之板之間的近場輻射熱轉移比兩個SiC板之間的熱轉移小三個數量級。 因此,為了進一步改良沿著護膜之橫向輻射熱轉移,在一實施例中,提議將複數個額外特徵提供於EUV膜表面中之一者上。此等額外特徵可在蝕刻程序期間生長或形成。額外特徵可呈任何合適形狀。在一項實例中,額外特徵包括自EUV膜表面垂直延伸之週期性或非週期性導線或薄壁或肋片。額外特徵可包括摻Si或以Si為基礎之材料或任何合適覆蓋層材料,諸如,具有摻雜劑濃度及本文所揭示之配置中之任一者之材料中的任一者。每一額外特徵之特徵大小應顯著小於由該等特徵界限之區域之大小。可展示出:若額外特徵之間的距離≤1微米,則預期輻射熱轉移比黑體極限高10倍至10000倍。 圖6展示包括複數個額外特徵620 (例如,由週期性或非週期性壁或導線結構620形成)之EUV膜600。額外特徵620可位於EUV膜600之下部側上(該側曝光至EUV輻射)。EUV膜之面對光罩之側可扁平以維持純度。垂直箭頭630象徵輻射熱轉移。水平箭頭640象徵由額外特徵620產生之橫向輻射熱轉移。應注意,照明EUV輻射(圖中未繪示)幾乎垂直於護膜P而傳播。因此,額外特徵620 (呈此處所展示之形式,亦即,導線或肋片)在光罩MA及/或晶圓W上投射最小陰影。 據信EUV膜中之橫向溫度梯度造成與高溫自身對膜造成之損害一樣多的損害。雖然本文所描述之所有實施例顯著縮減在曝光至EUV輻射期間之EUV膜中之溫度梯度,但圖6中所描繪之實施例特別有效,此係因為相比於扁平膜狀況(其中溫度僅由聲子轉移)藉由添加另一機制:輻射熱轉移而增加橫向熱傳導。據信自EUV膜至額外特徵620之熱轉移並非限制性的,此係因為典型尺度小。有效率橫向熱轉移將最小化此等溫度梯度且延伸護膜之壽命。 圖7為預期溫度分佈相對於橫越EUV膜之距離L的曲線圖。線PEUV 表示橫越護膜之EUV輻射功率分佈。線TA 表示扁平EUV膜之溫度分佈。線TB 表示橫越圖6中所描繪之EUV膜之溫度分佈。如自圖7可看到,相比於扁平EUV膜,關於圖6之實例之橫越EUV膜之溫度梯度被縮減。 圖8展示EUV膜800之另一實施例,EUV膜800包括對圖6所描繪之實施例的改進。在此實施例中,額外特徵820包括模仿小階梯光柵之形狀及/或形成的形狀及/或形成。在該特定實例中,額外特徵包括導線或肋片820之重複群組,其中每一群組之個別導線/肋片820在高度方面逐漸遞減(或增加),如所展示。結果為小階梯光柵之近似,其係由點線說明。小階梯類光柵結構幫助將源自由每一導線/肋片820個別地地進行之EUV輻射之散射的非想要輻射830在圖案自光罩MA至晶圓之轉印期間導向遠離EUV輻射840之階(例如,0階及1階)。 圖9說明60奈米厚度之摻雜EUV多晶矽護膜的發射率(圖9中之左側曲線圖),及針對純質多晶矽護膜相對於摻雜護膜之積分發射率相對於以K為單位之溫度(圖9中之右側曲線圖)。為了將發射率增加為高於0.1,以至少5×1019 cm- 3 摻雜60奈米多晶矽護膜。 在所有以上實施例中,為了強度及可靠度,摻雜材料可限於對EUV透明且具有與Si晶格之最小失配之材料(例如,碳、硼及氮)。在其他實施例中,可使用對13.5奈米不透明但對其他EUV/BUV波長透明之摻雜劑,其中波長適於微影系統。此等摻雜劑材料可包含:S、Te、As、O、Al、Sn、Sb、In、Ga、Br、Cl、I、C、B、N。 儘管上文已將多晶矽視為EUV護膜核心層材料之主實例(此係因為其在13.5奈米之EUV輻射下為最透明材料),但可針對任何半導體進行為了增加之發射率之EUV護膜材料與雜質的摻雜。可使用在EUV體系中為透明材料兩者的B或P進行摻雜。若矽被摻雜有B或P,則EUV損耗亦為可忽略的。 為了在EUV透射仍相當大的同時增加對IR輻射之EUV護膜發射率,本文中替代摻雜或除了摻雜以外,亦提議運用用於改良型IR發射率之罩蓋層(例如,運用金屬罩蓋層)塗佈EUV護膜,該罩蓋層包括為對IR輻射之良好吸收器但在EUV輻射體系中透明之材料。此罩蓋層可另外保護護膜免於氧化或其他環境危害。 金屬罩蓋層應為封閉薄膜,亦即,金屬島狀物通常不較佳,此係因為電阻率可上升10,000倍,且消除杜德吸收項。此等非均質薄膜可變得透明,且因此提供不足吸收。 EUV護膜可經選擇為對給定EUV輻射波長(諸如,13.5奈米或6.8奈米(或任何其他EUV輻射波長))的90%或多於90%透射。作為一實例,以3奈米Si3 N4 塗佈於兩個側上之具有45奈米厚度的多晶矽護膜具有約85%的EUV透射比,將具有不良(幾乎不具有)IR發射率(亦即,其可變得極熱),其反射存在於輻射光譜中之許多DUV輻射(其並非出於成像目的所要)且幾乎不將透射任何DUV輻射(此情形不會引起經由護膜檢測執行以偵測微粒碎屑的選項)。 在本發明之一態樣中,提供對EUV輻射透射之膜(亦即,EUV護膜),其具有選自如下各者之核心層材料:(多晶)Si、Si3 N4 、SiC、ZrN、ZrB2 、ZrC、MoB2 、MoC、RuB2 、LaB2 、LaC、TiB2 、TiC、(多)結晶釔、(多)結晶Zr、Be、C、B及B4 C及複合物或自其多層之組合。諸如ZrB2 、ZrC之半金屬可縮減EUV護膜之靜電充電。氮化矽Si3 N4 (亦被稱作SiNx )在本文中係指非晶矽氮化物且併有化學計量(3:4比率,x=1.33)及非化學計量SiNx 合金(0 < x < 1.6)兩者。 EUV護膜較佳具有60奈米或更小之厚度以允許足夠EUV透射(較佳至少90%的EUV輻射透射)。為了將足夠強度提供至EUV膜,可需要使核心層具有為至少5奈米之最小厚度、較佳至少10奈米且更佳至少15奈米之最小厚度。 可在一側或兩個側上用來自具有適合於增加IR發射率之厚度的材料之金屬層或另一罩蓋層(亦被稱作覆蓋層)罩蓋EUV護膜核心層(亦被稱作主基板層)。具有良好EUV透射比之合適罩蓋層金屬之實例為Ru、Ti、Nd、Pr、Mo、Nb、La、Zr、B、Y及Be。此等及其他金屬亦可以相似方式用以塗佈EUV護膜(更尤其是核心層)且可提供改良型IR發射率。舉例而言,具有B或Be核心層且用Ru、Mo層或其他金屬(複合)罩蓋層罩蓋之護膜可提供實質上改良型IR發射率。 金屬薄層具有受到電漿頻率強烈影響之發射率。較不導電之諸如Ru之金屬具有較少自由電荷載流子且因此具有較低電漿頻率,因此其相比於諸如Au或Ag之較導電金屬為對於改良型IR發射率之較佳選擇。用於電漿頻率之最高值針對Al為大約10 eV。Au薄膜取決於薄膜品質具有自7 eV至9 eV變化的電漿頻率。 罩蓋層亦可為包括金屬及EUV透明雜質之複合材料。藉由添加非金屬或不良導電之EUV透明雜質,可將電漿頻率調諧至較低值,在此種狀況下,許多金屬變成作為具有改良型IR發射率之EUV護膜罩蓋層的良好候選者。不良導電雜質之實例為硼、氮化物、碳、矽、鍶、鈣及磷。藉由添加雜質及降低電漿波長,可增加金屬層厚度。在此狀況下之雜質濃度較佳小於10%原子%。 為了保護護膜之膜堆疊中(亦即,包括一或多個核心(多)層及用於改良型IR發射率之至少一個罩蓋層的多層堆疊中)之金屬罩蓋層,可在用於改良型IR發射率之金屬罩蓋層之頂部上包含一額外保護罩蓋層。此保護罩蓋層可減小在EUV電漿環境中之氧化及蝕刻之效應。適合於此保護罩蓋層之材料之實例可為以下材料之氧化物、碳化物或氮化物:Zr、Ti、Hf、Si、Rh或Ru (例如,ZrO2 、ZrN、ZrC等等)。此等保護罩蓋層之厚度較佳為大約1奈米至3奈米。 已發現,通常反射IR輻射之金屬層在其厚度小於集膚深度時變得較有吸收性。薄達1奈米之金屬層可具有接近為0.5之理論極限的幾乎扁平光譜回應及發射率。在層厚度減低的情況下吸收增加之原因可為用於金屬之大吸收係數以及歸因於在金屬-真空界面及金屬-介電質界面處之破壞性干涉之反射相消。 在本發明之一態樣中,提供對EUV輻射透射之膜,該膜經塗佈有出於改良型IR發射率之罩蓋層,該罩蓋層包括厚度<在IR輻射中金屬之集膚深度的金屬罩蓋層。用於IR輻射之金屬罩蓋層之集膚深度厚度通常可<10奈米,但存在具有比10奈米大一點的厚度的根據本發明仍可工作之金屬,諸如,釔(Y)。集膚深度在本文中意謂使光丟失其63%的強度(或具有強度1/e)之厚度。集膚深度取決於光波長。大多數金屬在IR輻射中通常具有大約10奈米之集膚深度(亦即,穿透10奈米金屬層之IR輻射將丟失其63%的強度)。 薄金屬罩蓋層基本上充當IR吸收器,而EUV輻射之透射可實質上相同。舉例而言,已判定(多晶)矽護膜(其具有為58奈米厚度之核心及該護膜之每一側上之為1奈米的一Ru層(此係因為Ru具有良好EUV透明度))針對13.5奈米之EUV輻射具有為0.878之透射比(相比於針對具有60奈米厚度之(多晶)矽護膜為0.9之透射比)。然而,當在一側或每一側上(例如)用1奈米至2奈米之Ru罩蓋層塗佈EUV護膜時,(多晶)矽護膜之發射率可上升10倍或10倍以上。(多晶)Si膜上之Ru或其他金屬可將EUV膜之發射率自<0.01增強高達0.4或更多。然而,應當心,因為Ru或Mo具有接近EUV輻射之1/4波長之厚度,所以其可反射大約1%的EUV輻射,此可對CD均一性有害。演算已展示出:EUV膜上之具有接近1奈米之厚度的Ru罩蓋層可具有縮減之EUV反射且仍具有一些IR發射率。具有一半波長之厚度(例如,針對13.5奈米之EUV輻射具有6.7奈米之厚度)的Ru亦可充當抗反射(AR)塗層(不具有EUV反射);然而,當Ru罩蓋層厚度在此狀況下為大約1/4 EUV波長時,EUV反射具有最高值。 作為用以縮減經塗佈有出於改良型IR發射率或任何其他功能之單一金屬罩蓋層之EUV膜的EUV反射(EUVR)之策略,本文中提議使金屬層之厚度D為用於微影曝光之EUV輻射(例如,13.5奈米、6.7奈米或4.37奈米之EUV輻射)之一半波長λ的倍數: D = nλ/2        (1) 其中n為整數= 3, 4, 5, 6或更大。較佳地,n具有一值使得金屬罩蓋層具有小於在IR輻射中之金屬集膚深度的厚度。 用於低EUVR之其他AR策略可為採取為2奈米或更小之低金屬罩蓋層厚度,諸如在1奈米與2奈米之間(亦即,使IR發射率增強罩蓋層足夠薄,因此EUV反射較低),或具有粗略的非尖銳擴散邊界。 在出於改良型發射率之偶數個金屬罩蓋層(諸如,兩個金屬罩蓋層)之狀況下,個別金屬層之反射率遵循與針對一個金屬層之規則相同的規則。本文中提議呈抗反射組態之EUV膜,其中兩個金屬罩蓋層係由具有為用於微影曝光之EUV輻射波長大約一半λ/2的厚度之另一核心層分離,使得發生EUV輻射之破壞性干涉,從而使彼此相消,且藉此淨(所得) EUV反射為零。 舉例而言,若為2奈米之Ru或Mo之兩個層係由具有選自8.4奈米、15.1奈米、21.9奈米、28.6奈米、35.4奈米、41.5奈米、48.7奈米及55.7奈米之厚度的(多晶)Si層分離(亦即,在粗略6.7奈米之步驟中),則在此狀況下,第二Ru罩蓋層誘發性反射破壞性地干涉第一Ru罩蓋層之反射,且將不存在EUV輻射反射。據提及,多晶矽核心層之厚度並非確切地為4.37奈米或6.7奈米或13.5奈米之EUV輻射波長的一半,此係因為其亦可受到金屬罩蓋層之厚度影響。因此,針對經覆蓋有一或多對金屬罩蓋層之核心層之任何組合為了避免EUV反射用於層厚度之一般條件係使得在該等金屬層之間發生完全破壞性干涉使得不反射EUV輻射。 在用於縮減EUV反射之所有以上替代例中,歸因於金屬罩蓋層仍可維持高發射率,而最小化EUV反射(亦即,最小化對成像之影響),從而使EUV護膜能夠具有高IR發射率同時維持低EUV反射率。 自身地,甚至僅僅為50奈米之(多晶)Si之核心層可已經將DUV輻射抑制100倍或更多。(多晶)Si在預期DUV輻射之100奈米至400奈米之範圍內幾乎不具有透射。然而,(多晶)Si護膜在IR輻射範圍內透明。已發現,可藉由將諸如Ru或Mo之金屬罩蓋層添加至50奈米之(多晶)Si核心層來將通過該核心層之IR透射抑制20倍。此外,可有利的是將抗擴散障壁層(諸如,來自B4 C或SiNx )用於金屬罩蓋層使得金屬反射及吸收並未歸因於擴散至核心層中(例如,Ru或Mo擴散於(多晶)Si中)而損耗。 儘管給定材料可適合於多個目的(諸如,適合於核心層、罩蓋層或甚至抗擴散障壁層),但EUV膜中之層厚度及位置可提供界定此層之功能之有用準則。舉例而言,相互擴散層之厚度大體上為1奈米或更小。 舉例而言,具有為1奈米或更小之厚度且位於核心層與鄰近罩蓋層之間的B或B4 C層可用作抗擴散層,而具有為4奈米至11奈米之厚度的具有相同材料之層在其相比於其他層提供高抗張強度的情況下可用作核心層。在(例如)10奈米B - (5奈米至10奈米) Mo -10奈米B之類夾層組態中,兩個B層將形成核心層,且兩個B層之間的Mo形成用於改良型IR發射率之罩蓋層,其受保護免於蝕刻。 以相同方式,EUV膜之頂部上或包夾於其他(核心)層之間的B或B4 C層可用作具有給定功能之罩蓋層。此外,作為總堆疊具有高良率強度>50 MPa的薄層之多層堆疊亦可形成核心層。舉例而言,硼之間的高達20對石墨烯層(石墨層) (諸如,10奈米B/3奈米石墨烯/10奈米B)可提供有利多層EUV膜,此係因為預期B在EUV及/或H2 氛圍下耐化學性且石墨將提供改良型發射率及機械強度。多層EUV膜之另一實例包括SiNx層或其他膜罩蓋層之頂部上之若干(高達20)石墨烯層(或石墨類型層),其用以提供機械強度、改良發射率,且增加在EUV及/或H2 氛圍下之EUV膜之壽命。舉例而言,包括2奈米石墨烯層(亦即,為了達成為2奈米之厚度之石墨烯之多層或多個薄片)/10奈米SiNx 層/2奈米石墨烯層的多層EUV膜可以相似方式形成有利EUV膜。熟習此項技術者知道如何區分核心層與罩蓋層。 為了使IR抑制起作用,原則上金屬罩蓋層沈積於何處並不重要。金屬罩蓋層可在EUV膜多層堆疊(諸如,夾層結構)之頂部上、底部上或中間。 因為(多晶)Si可在EUV環境中蝕刻,所以作為替代EUV膜,在上文中提議兩個硼罩蓋層之間的鉬罩蓋層之夾層膜結構(B-Mo-B) (此係因為Ru比Mo對EUV輻射多3倍吸收性;且因為Mo可在曝光至環境時氧化)。硼+金屬之組合可具有與(多晶) Si+金屬相等的IR抑制,然而,DUV抑制針對(多晶)Si較小(因數7+而非因數100+)。 EUV透明金屬為(例如) Ru、Mo、La、Rh、Be、Y、Zr、Ce、Nb及Pr。硼、B4 C、Si3 N4 、ZrO2 、Ru或MoSi2 之罩蓋層或其他替代罩蓋件可有利於(多晶) Si SPF膜。 為至少1奈米(在一些條件下超過5奈米)之金屬厚度可為良好IR吸收所需。過薄金屬將具有相當不同於塊體之光學回應。因此,若金屬層變得過薄,則可極大地減小由金屬之IR反射。 一般而言,根據本發明,具有改良型IR發射率的任何薄金屬罩蓋層(其中厚度<彼金屬在IR輻射中之集膚深度)適合於EUV膜。然而,若EUV膜係用作SPF膜,則有利的是金屬罩蓋層厚度>5奈米使得其亦相當有反射性,使得施加於IR透射核心層上之金屬罩蓋層將把IR透射縮減達一數量級或更多。儘管較厚金屬罩蓋層之缺點為較多EUV輻射損耗(高達10%至15%),但對於(多晶)Si或B核心層與5奈米至10奈米金屬罩蓋層仍存在在濾光IR及DUV輻射(例如,100倍或更多DUV (100奈米至400奈米)抑制及20倍IR (10.6微米)抑制)方面之相當大增益。 若EUV膜係用作位於投影系統PS與晶圓之間的SPF膜,則亦可有利的是具有經組態成在微影設備之掃描方向上成小角度定向,使得反射帶外IR及DUV輻射未返回反射至微影設備之投影系統PS中之膜組態。又,可在投影系統PS之EUV鏡面中之一或多者上需要吸收螢幕以便保護該等EUV鏡面免於額外DUV及IR吸收以及背反射。 用於最佳IR吸收(亦即,改良型IR發射率)之罩蓋層之厚度可取決於罩蓋層材料而在與上文針對Ru例示之範圍不同的範圍內。然而,為了允許相當大EUV透射,通常有利的是將罩蓋層之厚度保持儘可能地小。堆疊於EUV護膜上之所有罩蓋層之厚度應較佳為90奈米或更小、較佳為50奈米或更小、更佳為20奈米或更小、甚至更佳為10奈米或更小(為約在IR輻射中之金屬集膚深度)、且最佳為5奈米或更小。 表1展示針對具有改良型IR發射率之罩蓋層之上文所列出材料的厚度(以奈米為單位)之實例,針對該層厚度,理論13.5奈米之EUV透射率為約90%。
Figure 02_image003
表1 若使用用於改良型IR發射率之兩個罩蓋層(例如,針對EUV護膜之每一側使用一個罩蓋層),則每一罩蓋層之厚度可被視為最佳罩蓋層厚度的一半以便仍保持良好EUV透射。以相似方式,若使用用於改良型IR發射率之若干(三個或三個以上)罩蓋層,則該等罩蓋層之個別及總厚度將必須經調整使得仍保持良好EUV透射。上文參考罩蓋層,然而,表1中所列出之材料亦可形成EUV護膜之核心層,只要可達成合適機械強度以製造自立式護膜。 氧化亦為此等罩蓋層材料中之許多罩蓋層材料所關心問題。使用氮化物(例如,ZrN (13奈米)或LaN (10奈米))可幫助反對氧化,但氮化物可引入較多EUV損耗。因此,各自具有在0.5奈米至5奈米之範圍內、較佳在1奈米至3奈米之範圍內、更佳在1奈米至2奈米之範圍內之厚度的Ru塗層為為了改良在IR輻射中之EUV護膜發射率之較佳選項中的一者。 本文中作為一實例給出Ru,此係因為Ru具有良好抗氧化屬性(對於保護罩蓋層)及良好EUV透射比。本文中提議用於Ru之作為IR發射率增強罩蓋層之材料之新功能。然而,可運用任何金屬罩蓋層(例如,亦為金或銀)獲得IR發射率增強,但EUV透射比可變得較差。本發明人已找到本文所例示之實質上EUV透明且具有電傳導之杜德行為兩種情況的若干材料(其中電子充當自較重的相對不動正離子彈開及重新彈開之自由電荷載流子)。 EUV護膜之另一實例為用於具有(例如) 4奈米至7奈米之厚度之護膜核心的以碳為基礎之材料。本文中之以碳為基礎之材料意謂呈各種同素異形體形式之任何碳結構,其亦包含呈球、管路(圓柱)或薄片之形式之碳奈米結構。以碳為基礎之材料之實例為碳奈米管、石墨烯、石墨、類金剛石碳(DLC)、(巴克敏斯特)富勒烯或其他碳結構。本文中為了簡單起見,以碳為基礎之材料亦被稱作碳。 具有來自以碳為基礎之材料之核心層之EUV護膜亦可針對具有為4.37奈米波長之EUV輻射良好地運行。此等EUV護膜可具有相對低IR發射率。運用諸如Ru、Pd、Ag、Ti、Mo、Zr或Nb層之薄金屬罩蓋層塗佈護膜核心將不會很大程度地妨礙EUV透射,但此將顯著增強IR發射率。 具有運用2奈米Ru罩蓋層罩蓋之SiNx 核心(11奈米至12奈米)之護膜產生約90%的透射且可耐受高EUV功率。在SiNx 護膜之兩個側上之Ru塗佈可導致約4%的額外損耗。此等膜展示在VIS及NIR範圍內之顯著吸收。舉例而言,對於在運用為2奈米之一Ru層覆蓋於每一側上的為13奈米厚Si3 N4 膜之1平方公分面積上運用脈衝式90瓦特(λ=355奈米)及60瓦特(λ=810奈米)雷射進行的熱負荷測試(該膜具有大約85%的EUV透射),熱負荷測試結果展示:此膜可針對200.000以上雷射發射使為170瓦特之熱負荷存活,而不具有EUV透射(EUVT)之顯著改變。 具有運用2奈米Ru罩蓋層罩蓋之B4 C或硼(B)核心(20奈米)之護膜產生約90%的EUV透射。硼基EUV護膜(核心)具有自限性氧化物(此係因為氧化物在硼中並未極容易地漫射)。硼亦極具抗蝕刻性,且吾人亦可運用僅一個釕(Ru)層來工作。相反地,作為用於改良型IR發射率之罩蓋層之Ru層亦可嵌入於兩個B核心層之間。 一般言之,當IR發射率自低於0.1增加(以任何方式)至約0.5時,護膜溫度可自約攝氏800度減低至攝氏400度。此情形將降低護膜核心膜中之熱誘發性應力,且因此增加在較高EUV源功率下之護膜之壽命。此等措施之優點可為以下各者中之一或多者:針對護膜之高至少10倍發射率/輻射冷卻、在曝光期間之冷得多的護膜、及使較高熱負荷存活(亦即,較高EUV源功率)之護膜。 圖10比較EUV護膜功率吸收及最大溫度相對於EUV源功率。當(多晶) Si膜可能使大約40 W源功率存活時,具有用於改良型IR發射率之Ru塗層之(多晶)Si護膜可將功率吸收增強至500 W源功率使得EUV護膜保持完好。圖10展示針對為60奈米Si、25奈米SiC、12奈米Si3 N4 、40奈米Si+3奈米Ru、19奈米ZrB2 及20奈米ZrC之EUV護膜之所吸收功率及平衡溫度(以℃為單位)。 圖11展示針對等效於為250 W之EUV源功率的50毫焦/平方公分功率之平衡溫度相對於EUV輻射透射(EUVT)及發射率。其中250瓦特之源及具有90%透射之護膜可吸收約1 Wcm- 2 EUV輻射,該EUV輻射在平衡溫度下被重新發射。在多晶矽薄膜之狀況下之低於1%的發射率引起高於1000℃之溫度且引起護膜故障。發射率為0.4的經Ru塗佈之護膜可將此溫度縮減(例如)至大約600℃之較可管理溫度。 矽化物罩蓋層在增加IR發射率方面亦可有效,諸如,作為IR發射之罩蓋層的ZrSi2 或NbSi2 。可分別運用ZrO2 及Nb2 O5 之保護罩蓋層覆蓋ZrSi2 或NbSi2 。關於EUV輻射之透射,矽化物可甚至佳於Ru。舉例而言,ZrSi2 /ZrO2 罩蓋層之組合相比於NbSi2 /Nb2 O5 罩蓋層之堆疊可具有對EUV輻射之較高透射。 在13.5奈米EUV輻射下用於耐高溫護膜之合適材料之實例為ZrB2 、ZrC、MoB2 、MoC、RuB2 及SiC。 在6.7奈米EUV輻射下用於耐高溫護膜之合適材料之實例為ZrB2 、ZrC、LaB2 、LaC、TiB2 、TiC、MoB2 及MoC。對於4.37奈米EUV輻射,合適材料為(例如) TiC。 若用於改良型IR發射率之罩蓋層位於核心層之頂部上,使得其與外部降級因素(例如,H自由基、EUV輻射等等)直接接觸,則可歸因於在EUV曝光期間之高護膜溫度而出現罩蓋層/EUV護膜之相對快速故障。在一實施例中,提議將用於改良型IR發射率之罩蓋層包夾於兩個耐化學性核心層之間(諸如,兩個硼層、碳層或B4 C層之間)以避免降級。罩蓋層較佳為金屬層。經調諧以用於在硼或B4 C (5奈米至10奈米)-金屬(1奈米至10奈米)-硼或B4 C (5奈米至10奈米)組態中之至少90%透射之組態(及合適厚度範圍)的實例為: ● 硼(B4 C) 11奈米-Mo 5奈米-硼(B4 C) 11奈米; ● 硼(B4 C) 11奈米-Y 10奈米-硼(B4 C) 11奈米;及 ● 硼(B4 C) 10奈米-Ru 3奈米-硼(B4 C) 10奈米。 當EUV護膜夾層結構中之核心層為硼或B4 C時,針對為6.7奈米之EUV波長之用於改良型(增強型)IR發射率的合適金屬罩蓋層材料為(例如)Nb、Mo、La、Zr、In、Ti、Ru、Te、Bi、Ce、Pd、Ag及Y。 當夾層結構中之核心層為碳或以碳為基礎之材料(例如,碳-金屬-碳)時,針對為4.37奈米之EUV波長之用於改良型(增強型) IR發射率的合適金屬罩蓋層材料為(例如) Be、La、Te、Ti、Pr、Rh、Eu、In、Ru、V、Pd、Al、Ru及Ag。 引起關注地,亦已發現,可將具有硼核心層之EUV護膜製造成比經調諧以用於為6.7奈米之EUV波長之護膜厚得多。舉例而言,140奈米厚的硼核心層自身提供大約90%的EUV透射,而無需用於改良型IR發射率之另外罩蓋層。 已經由適當材料及膜厚度選擇而調諧以具有良好EUV透射(≥85%)的以(多晶)Si為基礎之護膜可具有額外缺點:其反射潛在地存在於曝光輻射光譜中之許多DUV輻射且不透射DUV (亦即,其具有高DUV反射比及不良DUV透射比)。其亦可遭受不良IR發射率,但後者可如上文所描述(例如)藉由將用於改良型IR發射率之罩蓋層(諸如,1奈米至2奈米之Ru罩蓋層)添加於護膜核心層之頂部上而減輕。然而,此Ru罩蓋層並不改良DUV反射比及透射比(或使DUV反射比及透射比惡化)。 除了降低較佳成像所要之DUV反射比以外,較高DUV透射比亦可幫助進一步降低在EUV曝光期間在晶圓位階處之DUV輻射影響,同時亦允許DUV光罩檢測。 可運用如下文所展示之一系列材料而減輕EUV護膜之經由護膜檢測及高護膜DUV反射,該等材料在為用於已知光罩檢測工具之合適波長的157奈米、193奈米或248奈米下減輕DUV反射且同時增強DUV透射。 下文中例示允許ArF、KrF及F2 光罩檢測工具及晶圓處之較小影像降級DUV的若干材料: ● 結晶釔具有良好的193奈米透射比及低DUV反射比。 ● (多)晶Zr (例如,ZrN及ZrC)及(多)晶Y皆具有低DUV反射比。 ● 以非晶及石墨碳為基礎之護膜可具有良好157奈米及193奈米透射比及低DUV反射比。 ● Si3 N4 護膜可允許在仍低DUV反射比下之248奈米光罩檢測。 所有以上EUV護膜亦具有多於0.2的良好IR發射率。 已判定,結晶釔具有處於193奈米之透射峰值,且亦具有高IR發射率。舉例而言,覆蓋於具有1奈米Ru罩蓋層之兩個側上的20奈米厚釔核心EUV護膜具有(在方括號中,給出與Si+Ru等效護膜之比較): ● 為67%之DUV 193奈米透射比(雙通過43%) (相對於用於Si+Ru為0%) ● DUV反射比100奈米至250奈米<12% (相對於用於Si+Ru為20%至50%) ● DUV反射比250奈米至400奈米<25% (相對於用於Si+Ru為>60%) ● 為92.5%之EUV透射比(相對於用於Si+Ru為85%) 釔護膜可針對90%EUV透射比高達50奈米厚。施加於EUV護膜之兩個側上之Ru罩蓋層將把此上限厚度限於約36奈米。釔核心愈厚,193奈米DUV輻射損耗愈多。 應注意,到目前為止尚未找到具有此高13.5奈米及193奈米透射的其他材料,諸如,結晶釔,其就此而言為唯一材料。 多晶釔不具有高193奈米透射。然而,以Zr為基礎之EUV護膜及以Y為基礎之EUV護膜兩者具有比(多晶) Si低得多的DUV反射。事實上,若出於任何原因,低DUV反射為要求,則以(多晶) Si為基礎之護膜可並不有利。若無需193奈米透射比及經由護膜檢測,則具有Ru罩蓋層的以多晶鋯或釔為基礎之護膜亦可將DUV反射比降低至比用於(多晶) Si低得多的值。 ZrN及ZrC亦可具有比(多晶) Si低的DUV反射比。然而,ZrN及ZrC之DUV透射比用於Zr或Y之DUV透射小,從而使得經由護膜檢測較困難。 結晶、非晶及石墨碳或以碳為基礎之材料具有處於157之DUV透射峰值,且亦具有高IR發射率。石墨碳相似於多層石墨烯。 MoSi多層鏡面之反射率最高大約200奈米至300奈米。在此範圍內,DUV被最佳反射至晶圓(幾乎與EUV一樣良好)。本文所描述之所有EUV護膜顯著縮減在此波長範圍內之反射。另一方面,以(多晶) Si、SiC及(多晶) Si+Ru為基礎之護膜為用於DUV反射及透射之較差選擇。若SiNx 核心足夠薄(例如,13奈米或更小),則以SiNx 為基礎之護膜可具有高於200奈米之較佳反射率。 圖12比較具有Ru罩蓋之Si3 N4 護膜相對於具有Ru罩蓋之Si護膜之回應(參見圖12,其展示吸收率相對於波長,其中比較理論資料(虛線)與實驗結果(實線))。關於FTIR之實驗展示:具有3% EUV損耗的恰好2奈米之Ru層可將發射率增強400倍,自約0.001增強至0.4。因此,幾奈米Ru厚的層可將SiNx 或Si膜之吸收率/發射率增強超過100倍。Si3 N4 護膜(22奈米)比Si護膜(60奈米)薄得多以確保足夠EUV透射比。已發現,以Si3 N4 為基礎之護膜具有低得多的DUV反射及為大約250奈米之良好DUV透射。具有1奈米至2奈米Ru罩蓋層之Si3 N4 護膜亦比Si+Ru護膜反射少得多的DUV輻射,因此已發現,基於Si3 N4 +Ru罩蓋層之護膜可允許經由護膜248奈米KRF光罩檢測。 為增強針對Zr及Y或石墨/非晶碳之發射率,原則上無需Ru或其他金屬塗層。然而,Ru或其他金屬塗層可用作保護罩蓋層以防止(例如)氧化。可防止Zr及Y之氧化的任何其他合適(非金屬)保護罩蓋層亦可良好地工作。出於增強IR發射率之目的,在Si3 N4 及(多晶) Si之狀況下較佳施加Ru或其他金屬罩蓋層。 下文中存在藉由針對具有EUV (13.5奈米)、IR及DUV輻射之發射率、透射率及吸收之良好平衡的若干護膜給出之模擬而獲得的一些特性:實例 1 Ru 塗佈之結晶釔為基礎之護膜 ● 具有高達35奈米厚之90% EUV透射比 ● 具有70% 193奈米透射比(193奈米檢測為一種可能性) ● 具有比Si低2倍至5倍之DUV反射 ● 具有接近於0.25之發射率實例 2 Ru 塗佈之多晶釔或鋯為基礎之護膜 ● 具有高達25奈米厚(Zr)及35奈米厚(Y)之90% EUV透射比 ● 具有10% 193奈米透射比(對Zr或Y護膜之193奈米檢測係不可能的) ● 具有40% 248奈米透射比(248奈米檢測可在Zr之狀況下工作) ● 具有比Si低2倍至3倍之DUV反射 ● 具有接近於0.25之發射率 ● 以ZrC及ZrN為基礎之護膜亦可將DUV反射縮減高達2倍至8倍實例 3 Ru 塗佈之 Si3 N4 ( SiNx ) 護膜 (10奈米Si3 N4 (SiNx )+2奈米Ru): ● 具有高達10奈米厚之90% EUV透射比(2奈米Ru) ● 具有25% 193奈米透射比 ● 具有70% 248奈米透射比(248奈米檢測可能工作) ● 具有低高達10倍的DUV反射比,其在範圍200奈米至400奈米內 ● 具有高達0.5之發射率實例 4 非晶及石墨碳 ( 或多層石墨烯 ) ● 具有高達16奈米厚之90% EUV透射比 ● 具有60%至80% 157奈米透射比(157奈米檢測為一種可能性) ● 具有40%至70% 193奈米透射比(193奈米檢測為一種可能性) ● 具有低2倍至10倍的DUV反射比 ● 具有發射率0.15至0.4 圖13示意性地展示根據本發明之為對EUV輻射透射之兩個膜之膜總成的另一實施例,該兩個膜被分離達具有厚度D之間隙,該膜總成亦被稱作雙膜或雙EUV護膜。此雙護膜包括具有如本文所例示之用於改良型IR發射率的材料之兩個或兩個以上EUV膜,例如,具有小於IR輻射之金屬表層厚度之厚度的兩個金屬層。在此雙EUV護膜中,每一個別金屬層較佳經選擇為使得不存在EUV輻射反射,此係因為針對大距離不能容易地控制破壞性干涉。 圖13展示用於改良型IR發射率之層為具有為1奈米至2奈米之層厚度D的Ru層或Mo層之實施例。該雙護膜之改良型IR發射率層可視情況各自由另一支撐層(諸如,Si支撐層)支撐,以提供較多機械強度。EUV膜被分離達1微米至10微米之間隙D,較佳D為約1微米至2微米之間隙。包括交替金屬層及在所需厚度範圍內之對應間隙之多膜亦係可能的。在EUV膜(例如,厚度<金屬表層厚度的金屬層)之間具有具上文所提及之厚度D之間隙的優點為在該等EUV膜之間誘發IR諧振模式,其進一步增強膜總成之IR發射率。雙EUV護膜之另一實例為分離達2微米之間隙的2×10奈米之ZrC或ZrB2 。相比於1×20奈米之ZrC護膜,發射率將自0.45增加至0.7 (接近於理論極限1)。 通常,藉由摻雜(多晶)矽或另一半導體材料而獲得的護膜之最佳發射率相似於經塗佈有極薄金屬層之護膜之最佳發射率。兩種狀況皆可給出IR發射率之高達約10倍增強。舉例而言,在摻雜之狀況下,所獲得之最大光譜積分IR發射率被發現為約0.4,而在施加為1奈米厚度之Ru罩蓋層的狀況下,所獲得之最大光譜積分IR發射率被發現為約0.5。 總之,本發明提供用於增加EUV膜效能且因此增加EUV護膜及SPF之效能的簡單且穩固之實例。EUV膜溫度及橫越EUV膜之溫度梯度被縮減。因此,EUV膜之壽命及對EUV輻射功率之容許度被改良。另外,在並不減低EUV輻射強度(使製造系統效能劣化)的情況下達成高EUV膜穩固性。 儘管在本文中可特定地參考微影設備在IC製造中之使用,但應理解,本文所描述之微影設備可具有其他應用,諸如,製造整合式光學系統、用於磁疇記憶體之導引及偵測圖案、平板顯示器、液晶顯示器(LCD)、薄膜磁頭,等等。熟習此項技術者應瞭解,在此等替代應用之內容背景中,可認為本文對術語「晶圓」或「晶粒」之任何使用分別與更一般術語「基板」或「目標部分」同義。可在曝光之前或之後在(例如)塗佈顯影系統(通常將抗蝕劑層施加至基板且顯影經曝光抗蝕劑之工具)、度量衡工具及/或檢測工具中處理本文所提及之基板。適用時,可將本文中之揭示內容應用於此等及其他基板處理工具。另外,可將基板處理一次以上,(例如)以便產生多層IC,使得本文中所使用之術語「基板」亦可指代已經含有多個經處理層之基板。 術語「透鏡」在內容背景允許時可指各種類型之光學組件中任一者或其組合,包含折射、反射、磁性、電磁及靜電光學組件。 雖然上文已描述本發明之特定實施例,但應瞭解,可以與所描述之方式不同的其他方式來實踐本發明。以上描述意欲為說明性而非限制性的。因此,對於熟習此項技術者將顯而易見,可在不脫離下文所闡明之申請專利範圍及條項之範疇的情況下對所描述之本發明進行修改。 條項 1.  一種用於一微影設備之膜,該膜具有為至少0.1之IR輻射發射率且對EUV輻射實質上透射,該膜包括: 具有厚度60奈米或小於60奈米之一核心層,該核心層包括對EUV輻射實質上透明的選自來自如下各者之清單之一材料:(多晶)Si、Si3 N4 、SiC、ZrN、ZrB2 、ZrC、MoB2 、MoC、RuB2 、LaB2 、LaC、TiB2 、TiC、(多)晶釔、(多)晶Zr、Be、C、B及B4 C;及 用於改良型IR發射率之一罩蓋層,其包括吸收IR輻射且具有為20奈米或小於20奈米之一層厚度的一材料。 2.  如條項1之膜,其中該膜具有一罩蓋層-核心層-罩蓋層類夾層組態。 3.  如條項1之膜,其中該膜具有一核心層-罩蓋層-核心層類夾層組態。 4.  如條項1至3中任一項之膜,其進一步包括一或多個其他中間層或罩蓋層。 5.  如條項1至4中任一項之膜,其中該核心層為包括(多晶)Si、Si3 N4 、SiC、ZrN、ZrB2 、ZrC、MoB2 、MoC、RuB2 、LaB2 、LaC、TiB2 、TiC、(多)晶釔、(多)晶Zr、Be、C、B及B4 C之一或多個層的一多層堆疊。 6.  如條項1至4中任一項之膜,其中該核心層材料為包括一金屬及分散於其中之非金屬EUV透明雜質之一複合材料。 7.  如條項1至6中任一項之膜,其中用於改良型IR發射率之該罩蓋層為一金屬層。 8.  如條項7之膜,其中金屬罩蓋層具有小於在IR輻射中該金屬之集膚深度的一厚度。 9.  如條項8之膜,其中金屬罩蓋層具有一厚度D = nλ/2,其中n為等於3或更大之一整數,且λ為用於微影曝光之該EUV輻射之一波長。 10.    如條項1之膜,其中該EUV膜包括由一核心層分離的用於改良型IR發射率之兩個金屬罩蓋層,該等罩蓋層及該核心層經配置使得在該兩個金屬罩蓋層上發生EUV輻射之破壞性干涉且藉此所得EUV反射為零。 11.    如條項10之膜,其中該每一金屬罩蓋層包括一2奈米厚的Ru或Mo層,且其中該核心層包括具有選自8.4奈米、15.1奈米、21.9奈米、28.6奈米、35.4奈米、41.5奈米、48.7奈米及55.7奈米之厚度之一(多晶)矽層。 12.    如條項8至11中任一項之膜,其中在IR輻射中該金屬之該集膚深度為約10奈米。 13.    如條項1至11中任一項之膜,其中用於改良型IR發射率之該罩蓋層之該材料包括選自Ru、Ti、Nd、Pr、Mo、Nb、La、Zr、B、Y及Be之一金屬,其中該罩蓋層具有不同於該核心層的一材料。 14.    如條項1至11中任一項之膜,其中用於改良型IR發射率之該罩蓋層之該材料包括B4 C、SiNx 、ZrO2 或MoSi2 且具有不同於該核心層的一材料。 15.    如條項1至11中任一項之膜,其中用於改良型IR發射率之該罩蓋層之該材料為不同於該核心層之一矽化物,諸如,ZrSi2 或NbSi2 。 16.    如條項3至15中任一項之膜,其中該核心層包括(多晶)Si,且用於改良型IR發射率之該罩蓋層為具有厚度5奈米或小於5奈米的一Mo或Ru層。 17.    如條項1至12中任一項之膜,其中該核心層包括(多晶)Si,且用於改良型IR發射率之該罩蓋層包括Ti、Nd、Pr、Nb、La、Zr、B、Y、Be、ZrO2 、MoSi2 、ZrSi2 及NbSi2 中之至少一者。 18.    如條項1至15中任一項之膜,其中該核心層包括B、B4 C或Be,且具有為25奈米或小於25奈米之一厚度。 19.    如條項18之膜,其中用於改良型發射率之該罩蓋層為具有厚度1奈米至10奈米之一金屬層。 20.    如條項1之膜,其中該核心層為包括高達20對B或B4 C及石墨烯之一多層核心,其中該層厚度比率為10奈米B或B4 C/3奈米石墨烯。 21.    如條項1之膜,其中該核心層為包括高達20對SiNx 及石墨烯之一多層核心,其中該層厚度比率為10奈米SiNx /2奈米石墨烯。 22.    如條項18或19之膜,其中該核心層為具有厚度5奈米至15奈米之一B或B4 C層,且其中用於改良型IR發射率之該罩蓋層為具有厚度1奈米至3奈米之一(多)晶Y、Ru或Mo層。 23.    如條項1至15中任一項之膜,其中該核心層具有為16奈米或小於16奈米之一厚度,且包括一以碳為基礎之材料。 24.    如條項23之膜,其中該以碳為基礎之材料為一結晶、非晶或石墨碳層。 25.    如條項23或24之膜,其中該罩蓋層包括選自Be、La、Te、Ti、Pr、Rh、Eu、In、Ru、V、Pd、Al、Mo、Zr、Nb及Ag之一金屬。 26.    如條項1至15中任一項之膜,其中Ru, Ti, Nd, Pr, Mo, Nb核心層包括氮化矽,且具有為15奈米或小於15奈米之一厚度。 27.    如條項26之膜,其中用於改良型IR發射率之該罩蓋層為具有厚度3奈米或小於3奈米之一Ru或Mo層。 28.    如條項1至15中任一項之膜,其中該核心層包括(多)晶釔,且具有為50奈米或小於50奈米、較佳35奈米或小於35奈米之一厚度。 29.    如條項1至15中任一項之膜,其中該核心層包括多晶Zr,且具有為25奈米或小於25奈米之一厚度。 30.    如條項28或29之膜,其中用於改良型IR發射率之該罩蓋層為一Ru層。 31.    如條項1至30中任一項之膜,其中用於改良型IR發射率之該罩蓋層受到一保護罩蓋層保護,該保護罩蓋層來自保護免於氧化及/或蝕刻之一材料,該材料選自以下材料之氧化物、碳化物或氮化物:Zr、Ti、Hf、Si、Rh及Ru。 32.    如條項31之膜,其中該保護罩蓋層具有自1奈米至3奈米之一厚度。 33.    如條項1至15中任一項之膜,其中該膜對具有為13.5奈米之波長之EUV輻射透射,且其中該核心層包括ZrB2 、ZrC、MoB2 、MoC、RuB2 或SiC中之至少一者。 34.    如條項1至15中任一項之膜,其中該膜對具有為6.7奈米之波長之EUV輻射透射,且其中該核心層包括ZrB2 、ZrC、LaB2 、LaC、TiB2 、TiC、MoB2 或MoC中之至少一者。 35.    如條項1至15中任一項之膜,其中該膜對具有為4.37奈米之波長之EUV輻射透射,且其中該核心層包括TiC。 36.    一種用於一微影設備之膜,該膜具有為至少0.1之IR輻射發射率且對6.7奈米波長之EUV輻射實質上透射,該膜包括來自包括硼之一材料之一核心層,其中該核心層具有自20奈米至150奈米之一厚度。 37.    一種用於一微影設備之膜,該膜具有為至少0.1之IR輻射發射率且對EUV輻射實質上透射,該膜包括來自包括Ru之一材料之一核心層,其中該核心層具有自20奈米至30奈米之一厚度。 38.    一種用於一微影設備之膜總成,該膜總成具有為至少0.1之IR輻射發射率且對EUV輻射實質上透射,該膜總成包括用於改良型IR發射率之至少兩個金屬罩蓋層,該等金屬罩蓋層包括吸收IR輻射且具有為20奈米或小於20奈米之一層厚度的一金屬,其中用於改良型IR發射率之該等金屬罩蓋層被分離達厚度為10微米或小於10微米的一間隙。 39.    如條項38之膜總成,其中該等金屬罩蓋層各自係以提供另外機械強度之一支撐層支撐。 40.    一種包括一微影光罩及耦接至該光罩之一框架之光罩總成,該框架經配置以支撐一如前述條項中任一項之膜或膜總成。 41.    一種微影設備,其包括一如條項1至40中任一項之膜或膜總成。 42.    如條項41之微影設備,其中該等膜中之至少一者作為保護一組件免於污染之一護膜而操作。 43.    如條項42之微影設備,其進一步包括一支撐件,該支撐件經建構以支撐一圖案化器件,該圖案化器件能夠在一輻射光束之橫截面中向該輻射光束賦予一圖案以形成一經圖案化輻射光束;其中該等膜中之至少一者作為保護該圖案化器件免於污染之一護膜而操作。 44.    如條項42或43之微影設備,其進一步包括一投影系統,該投影系統可操作以將一經圖案化輻射光束投影至一晶圓上,其中該等膜中之至少一者作為保護該投影系統內之光學組件免於污染之一護膜而操作。 45.    如條項41至44中任一項之微影設備,其中該等膜中之至少一者作為用於阻擋非想要輻射波長之一光譜濾光器膜而操作。 46.    如條項45之微影設備,其中該光譜濾光器膜係在該微影設備之一掃描方向上以一角度而配置,使得由該膜反射之一輻射並未返回反射至該投影系統中。 47.    如條項45或46之微影設備,其中用於阻擋非想要輻射波長之該光譜濾光器膜包括具有小於用於IR輻射之該集膚深度且多於5奈米之一厚度的一金屬層。 48.    如條項47之微影設備,其中該光譜濾光器膜包括對EUV輻射實質上透明的選自Ru、Mo、La、Rh、Be、Y、Zr、Ce、Nb及Pr之一金屬。 49.    如條項48之微影設備,其中該光譜濾光器膜包括一(多晶)Si核心層及具有自5.5奈米至10奈米之一Ru或Mo罩蓋層。
42:輻射系統 47:源腔室 50:輻射收集器/正入射收集器鏡面 52:孔隙 53:正入射反射器 54:正入射反射器 55:輻射光束 56:輻射光束 57:經圖案化光束/輻射光束 58:反射元件 59:反射元件 61:雷射系統 63:雷射光束 65:光束遞送系統 67:孔隙 69:標靶材料/燃料串流 71:標靶材料供應件 72:截留器 73:電漿形成位置 100:微影設備 300:極紫外線(EUV)膜 305:極紫外線(EUV)輻射光束 310:主基板層 311:覆蓋層 312:覆蓋層 313:中間層/抗擴散層 314:中間層/抗擴散層 400:極紫外線(EUV)膜 411:覆蓋層 412:覆蓋層 500:極紫外線(EUV)膜 510:中心區 520:周邊/周邊區域/周邊區 600:極紫外線(EUV)膜 620:額外特徵/週期性或非週期性壁或導線結構 630:輻射熱轉移 640:橫向輻射熱轉移 800:極紫外線(EUV)膜 820:額外特徵/導線/肋片 830:非想要輻射 840:極紫外線(EUV)輻射 B:輻射光束 C:目標部分 D:粒子 IF:中間焦點/虛擬源點 IL:照明系統/照明器 M1:光罩對準標記 M2:光罩對準標記 MA:圖案化器件/光罩 MT:支撐結構/支撐件 O:光軸 P1:基板對準標記 P2:基板對準標記 PE:極紫外線(EUV)護膜 PEUV :橫越護膜之極紫外線(EUV)輻射功率分佈 PM:第一*** PS:投影系統 PS1:位置感測器 PS2:位置感測器 PW:第二*** SO:源模組/源 SPF:極紫外線(EUV)膜/光譜純度濾光器 TA :扁平極紫外線(EUV)膜之溫度分佈 TB :橫越極紫外線(EUV)膜之溫度分佈 W:基板/晶圓 WT:基板台
併入本文中且形成本說明書之部分的隨附圖式說明本發明,且連同[實施方式]一起進一步用以解釋本發明之原理且使熟習相關技術者能夠進行及使用本發明。參看隨附圖式而僅作為實例來描述本發明之實施例,在該等圖式中: 圖1示意性地描繪具有反射投影光學件之微影設備; 圖2為圖1之設備的更詳細視圖; 圖3說明根據本發明之第一實施例的用作用於光罩之護膜之EUV膜; 圖4說明根據本發明之第二實施例之EUV膜; 圖5說明根據本發明之第三實施例之EUV膜; 圖6說明根據本發明之第四實施例之EUV膜; 圖7為針對扁平EUV膜及針對圖6所描繪之EUV膜之預期溫度分佈相對於橫越EUV膜之距離L的曲線圖;及 圖8說明根據本發明之第五實施例之EUV膜。 圖9說明針對不同摻雜濃度之依據溫度而變化的多晶Si EUV膜之發射率。 圖10說明EUV膜功率吸收及最大溫度相對於EUV源功率的比較。 圖11展示IR發射率對EUV膜溫度之效應。 圖12展示用於改良型IR發射率之Ru罩蓋層相比於(多晶)Si EUV膜之效應。 圖13說明歸因於諧振吸收而增強IR發射率的雙EUV護膜(亦即,膜總成)。 本發明之特徵及優點將自下文結合圖式所闡述之[實施方式]而變得更顯而易見。

Claims (19)

  1. 一種用於一微影設備之自立式膜(self-standing membrane),該膜包括一核心層(core layer)及一或多個罩蓋層(cap layer),其中該膜具有粗略(rough)、非尖銳擴散邊界且對至少65%EUV輻射透射,其中來自該一或多個罩蓋層之一罩蓋層為用於經改良IR發射率(improved IR emissivity)之一罩蓋層,其包括吸收IR輻射之一材料。
  2. 如請求項1之膜,其中該膜具有為至少0.1之IR輻射發射率(emissivity)。
  3. 如請求項1或2之膜,其中該核心層之厚度係60奈米或小於60奈米。
  4. 如請求項1之膜,其中用於經改良IR發射率之該罩蓋層包括一金屬罩蓋層。
  5. 如請求項1之膜,其中用於經改良IR發射率之該罩蓋層具有20奈米或小於20奈米之一層厚度。
  6. 如請求項1之膜,其中該金屬罩蓋層的一厚度為小於在IR輻射中一金屬之一集膚深度(skin depth)。
  7. 如請求項1或2之膜,其中該核心層包括對EUV輻射實質上透明並選自來自如下各者之清單之一材料:(多晶)Si、Si3N4、SiC、ZrN、ZrB2、ZrC、MoB2、MoC、RuB2、LaB2、LaC、TiB2、TiC、(多)晶釔、(多)晶Zr、Be、C、B及B4C。
  8. 如請求項1或2之膜,其中該膜具有一罩蓋層-核心層-罩蓋層類夾層(sandwich-like)組態。
  9. 如請求項1或2之膜,其中該一或多個罩蓋層包括其他中間層或罩蓋層。
  10. 如請求項1或2之膜,其中該核心層材料為包括一金屬及分散於其中之非金屬EUV透明摻雜(impurities)之一複合材料。
  11. 如請求項1或2之膜,其中用於經改良IR發射率之該罩蓋層之該材料包括選自Ru、Ti、Nd、Pr、Mo、Nb、La、Zr、B、Y及Be之一金屬,其中該罩蓋層具有不同於該核心層的一材料。
  12. 如請求項1或2之膜,其中用於經改良IR發射率之該罩蓋層之該材料為不同於該核心層之一矽化物。
  13. 如請求項1或2之膜,其中該核心層包括(多晶)Si,且用於經改良IR發射率之該罩蓋層為具有厚度5奈米或小於5奈米的一Mo或Ru層。
  14. 如請求項1或2之膜,其中該核心層具有16奈米或小於16奈米之一厚度,且包括一以碳為基礎之材料。
  15. 如請求項14之膜,其中該以碳為基礎之材料為一結晶、非晶或石墨碳層。
  16. 如請求項14之膜,其中該罩蓋層包括選自Be、La、Te、Ti、Pr、Rh、Eu、In、Ru、V、Pd、Al、Mo、Zr、Nb及Ag之一金屬。
  17. 如請求項1或2之膜,其中用於經改良IR發射率之該罩蓋層受到一保護罩蓋層保護,該保護罩蓋層來自保護免於氧化及/或蝕刻之一材料,該材料選自以下材料之氧化物、碳化物或氮化物:Zr、Ti、Hf、Si、Rh及Ru。
  18. 如請求項17之膜,其中該保護罩蓋層具有自1奈米至3奈米之一厚度。
  19. 一種光罩總成(mask assembly),其包括一微影光罩及耦接至該光罩之一框架,該框架經配置以支撐如請求項1-18中任一項之膜。
TW109141389A 2014-07-04 2015-07-03 用於一微影設備內之膜及包括此膜之微影設備 TWI777310B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
EP14175835 2014-07-04
EP14175835.9 2014-07-04
EP15169657 2015-05-28
EP15169657.2 2015-05-28

Publications (2)

Publication Number Publication Date
TW202111437A TW202111437A (zh) 2021-03-16
TWI777310B true TWI777310B (zh) 2022-09-11

Family

ID=53540734

Family Applications (5)

Application Number Title Priority Date Filing Date
TW111131253A TWI825930B (zh) 2014-07-04 2015-07-03 用於一微影設備內之膜及包括此膜之微影設備
TW108124827A TWI715104B (zh) 2014-07-04 2015-07-03 用於一微影設備內之膜及包括此膜之微影設備
TW109141389A TWI777310B (zh) 2014-07-04 2015-07-03 用於一微影設備內之膜及包括此膜之微影設備
TW112144602A TW202411767A (zh) 2014-07-04 2015-07-03 用於一微影設備內之膜及包括此膜之微影設備
TW104121757A TWI667546B (zh) 2014-07-04 2015-07-03 用於一微影設備內之膜及包括此膜之微影設備

Family Applications Before (2)

Application Number Title Priority Date Filing Date
TW111131253A TWI825930B (zh) 2014-07-04 2015-07-03 用於一微影設備內之膜及包括此膜之微影設備
TW108124827A TWI715104B (zh) 2014-07-04 2015-07-03 用於一微影設備內之膜及包括此膜之微影設備

Family Applications After (2)

Application Number Title Priority Date Filing Date
TW112144602A TW202411767A (zh) 2014-07-04 2015-07-03 用於一微影設備內之膜及包括此膜之微影設備
TW104121757A TWI667546B (zh) 2014-07-04 2015-07-03 用於一微影設備內之膜及包括此膜之微影設備

Country Status (8)

Country Link
US (2) US10228615B2 (zh)
EP (1) EP3164764B1 (zh)
JP (2) JP6741600B2 (zh)
KR (5) KR102604554B1 (zh)
CN (3) CN106489084B (zh)
CA (3) CA2954307C (zh)
TW (5) TWI825930B (zh)
WO (1) WO2016001351A1 (zh)

Families Citing this family (59)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170146902A1 (en) * 2014-01-27 2017-05-25 Luxel Corporation Monolithic euv transparent membrane and support mesh and method of manufacturing same
KR102604554B1 (ko) * 2014-07-04 2023-11-22 에이에스엠엘 네델란즈 비.브이. 리소그래피 장치 내에서 사용하는 멤브레인 및 이러한멤브레인을 포함한 리소그래피 장치
EP3326032A1 (en) 2015-07-17 2018-05-30 ASML Netherlands B.V. Method for manufacturing a membrane assembly
NL2017272A (en) * 2015-08-25 2017-03-01 Asml Netherlands Bv Suppression filter, radiation collector and radiation source for a lithographic apparatus; method of determining a separation distance between at least two reflective surface levels of a suppression filter
DE102015216443A1 (de) * 2015-08-27 2017-03-02 Carl Zeiss Smt Gmbh Anordnung einer Vorrichtung zum Schutz eines in einer Objektebene anzuordnenden Retikels gegen Verschmutzung
EP3391138A1 (en) * 2015-12-14 2018-10-24 ASML Netherlands B.V. A membrane for euv lithography
EP3391140A1 (en) 2015-12-14 2018-10-24 ASML Netherlands B.V. A membrane assembly
US9759997B2 (en) * 2015-12-17 2017-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Pellicle assembly and method for advanced lithography
KR102186010B1 (ko) * 2016-01-26 2020-12-04 한양대학교 산학협력단 Euv 펠리클 구조체, 및 그 제조 방법
US10908496B2 (en) * 2016-04-25 2021-02-02 Asml Netherlands B.V. Membrane for EUV lithography
US10001701B1 (en) * 2016-12-15 2018-06-19 Taiwan Semiconductor Manufacturing Co., Ltd. Pellicle structures and methods of fabricating thereof
JP6518801B2 (ja) 2017-03-10 2019-05-22 エスアンドエス テック カンパニー リミテッド 極紫外線リソグラフィ用ペリクル及びその製造方法
US10048199B1 (en) * 2017-03-20 2018-08-14 Asml Netherlands B.V. Metrology system for an extreme ultraviolet light source
KR102310124B1 (ko) * 2017-03-28 2021-10-08 삼성전자주식회사 극자외선 노광용 펠리클, 포토마스크 조립체 및 펠리클의 제조 방법
KR102264378B1 (ko) * 2017-06-13 2021-06-15 삼성디스플레이 주식회사 표시 장치
NL2021084B1 (en) * 2017-06-15 2019-03-27 Asml Netherlands Bv Pellicle and Pellicle Assembly
NL2021155B1 (en) * 2017-07-21 2019-05-20 Asml Netherlands Bv Optical Membrane
JP2020530589A (ja) * 2017-08-08 2020-10-22 ジャイスワル、スプリヤ リソグラフィ及び他の用途において極端紫外線と共に使用するための材料、コンポーネント、及び方法
US10880981B2 (en) * 2017-09-29 2020-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Collector pellicle
JP2019070745A (ja) * 2017-10-10 2019-05-09 信越化学工業株式会社 ペリクルフレーム及びペリクル
KR20200077527A (ko) 2017-11-06 2020-06-30 에이에스엠엘 네델란즈 비.브이. 응력 감소를 위한 금속 실리사이드 질화
KR102574161B1 (ko) * 2018-02-06 2023-09-06 삼성전자주식회사 펠리클 및 이를 포함하는 레티클
EP3533900A1 (en) 2018-03-02 2019-09-04 Stichting Nederlandse Wetenschappelijk Onderzoek Instituten Method and apparatus for forming a patterned layer of carbon
KR102209853B1 (ko) * 2018-03-08 2021-02-02 주식회사 나노프레임 반도체 제조용 막 및 이에 대한 제조 방법
KR102100029B1 (ko) * 2018-03-28 2020-04-10 한양대학교 에리카산학협력단 펠리클 구조체 및 이를 이용한 리소그래피용 마스크의 결함 검사 방법
WO2019186921A1 (ja) 2018-03-29 2019-10-03 ギガフォトン株式会社 極端紫外光生成装置及び電子デバイスの製造方法
NL2021848A (en) * 2018-04-09 2018-11-06 Stichting Vu Holographic metrology apparatus.
US11143951B2 (en) * 2018-04-30 2021-10-12 Taiwan Semiconductor Manufacturing Co., Ltd. Pellicle for an EUV lithography mask and a method of manufacturing thereof
NL2023213A (en) * 2018-07-05 2020-01-09 Asml Netherlands Bv A lithographic apparatus and cooling apparatus
EP3594665A1 (en) * 2018-07-13 2020-01-15 Nederlandse Organisatie voor toegepast- natuurwetenschappelijk onderzoek TNO Optical inspection device and method
US11016383B2 (en) * 2018-08-31 2021-05-25 Taiwan Semiconductor Manufacturing Co., Ltd. Pellicle for an EUV lithography mask and a method of manufacturing thereof
SG11202103911SA (en) * 2018-10-17 2021-05-28 Astrileux Corp Photomask having reflective layer with non-reflective regions
US11703762B2 (en) 2018-10-31 2023-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Method of reducing undesired light influence in extreme ultraviolet exposure
CN113196168A (zh) * 2018-12-20 2021-07-30 Asml荷兰有限公司 制造隔膜组件的方法
CN113454399A (zh) 2018-12-27 2021-09-28 天酷***股份有限公司 冷却面板***
EP3674797B1 (en) 2018-12-28 2021-05-05 IMEC vzw An euvl scanner
WO2020214989A1 (en) 2019-04-17 2020-10-22 SkyCool Systems, Inc. Radiative cooling systems
KR20210004544A (ko) 2019-07-05 2021-01-13 삼성전자주식회사 극자외선 리소그래피 장치
KR102242356B1 (ko) * 2019-08-05 2021-04-20 주식회사 에프에스티 일체화된 프레임과 멤브레인을 포함하는 펠리클, 그 제조방법, 펠리클을 포함하는 노광장치 및 펠리클의 제조장치
KR102242341B1 (ko) * 2019-08-05 2021-04-20 주식회사 에프에스티 펠리클 프레임의 제조방법 및 펠리클의 제조장치
KR102301568B1 (ko) * 2019-09-10 2021-09-14 주식회사 에프에스티 탄화규소 층을 포함하는 극자외선용 펠리클의 제조방법
KR102273266B1 (ko) * 2019-10-23 2021-07-06 주식회사 에프에스티 일체화된 프레임과 멤브레인을 포함하는 펠리클의 제조방법
KR102285721B1 (ko) * 2019-12-05 2021-08-05 주식회사 에스앤에스텍 극자외선 파장 간격으로 주기적 형상화된 금속 박막을 구비하는 극자외선 리소그래피용 펠리클 및 그 제조방법
NL2027098B1 (en) * 2020-01-16 2021-10-14 Asml Netherlands Bv Pellicle membrane for a lithographic apparatus
US11657323B2 (en) 2020-03-10 2023-05-23 International Business Machines Corporation Machine learning model accuracy fairness
KR20220154184A (ko) * 2020-04-17 2022-11-21 미쯔이가가꾸가부시끼가이샤 노광용 펠리클막, 펠리클, 노광 원판, 노광 장치 및 노광용 펠리클막의 제조 방법
KR20220017137A (ko) * 2020-08-04 2022-02-11 주식회사 에스앤에스텍 극자외선 리소그래피용 펠리클 및 그 제조방법
KR102440483B1 (ko) * 2020-08-04 2022-09-07 주식회사 에스앤에스텍 2층 구조의 중심층을 구비한 극자외선 리소그래피용 펠리클
KR20220062799A (ko) 2020-11-09 2022-05-17 한국전자기술연구원 극자외선 노광용 펠리클
KR102375433B1 (ko) * 2020-12-02 2022-03-18 한국전자기술연구원 3성분계의 코어층을 포함하는 극자외선 노광용 펠리클
KR102278843B1 (ko) * 2020-12-15 2021-07-20 한국전자기술연구원 다성분계의 코어층을 포함하는 극자외선 노광용 펠리클
KR20220141378A (ko) * 2021-04-12 2022-10-20 한국전자기술연구원 이트륨계 기반의 극자외선 노광용 펠리클
CN118140178A (zh) * 2021-08-25 2024-06-04 信越化学工业株式会社 能够高速地进行气压调整的曝光用防护膜
WO2023067739A1 (ja) 2021-10-20 2023-04-27 日本碍子株式会社 Euv透過膜
CA3235933A1 (en) * 2021-10-22 2023-04-27 Inci DONMEZ NOYAN Pellicle membrane for a lithographic apparatus
EP4231097A1 (en) * 2022-02-22 2023-08-23 ASML Netherlands B.V. Inspection tool and barrier for use therein
WO2023160896A1 (en) * 2022-02-24 2023-08-31 Universiteit Twente Pellicles and membranes for use in a lithographic apparatus
EP4318128A1 (en) * 2022-07-28 2024-02-07 S&S Tech Co., Ltd. Pellicle for euv lithography
EP4365676A1 (en) * 2022-09-15 2024-05-08 NGK Insulators, Ltd. Euv-transmissive film, method for using same, and exposure method

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201428437A (zh) * 2010-06-25 2014-07-16 Asml荷蘭公司 微影裝置及方法

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5110373A (en) 1988-09-13 1992-05-05 Nanostructures, Inc. Silicon membrane with controlled stress
US5051326A (en) 1989-05-26 1991-09-24 At&T Bell Laboratories X-Ray lithography mask and devices made therewith
US6300017B1 (en) 1998-08-20 2001-10-09 Micron Technology, Inc. Stencil masks and methods of manufacturing stencil masks
US6316150B1 (en) 1998-08-24 2001-11-13 Euv Llc Low thermal distortion extreme-UV lithography reticle
JP2004519868A (ja) 2001-04-17 2004-07-02 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ Euvに透明な境界構造
US7232631B2 (en) * 2003-05-08 2007-06-19 Dai Nippon Prinitng Co., Ltd. Mask for charged particle beam exposure, and method of forming the same
US7456932B2 (en) * 2003-07-25 2008-11-25 Asml Netherlands B.V. Filter window, lithographic projection apparatus, filter window manufacturing method, device manufacturing method and device manufactured thereby
JP4928494B2 (ja) 2008-05-02 2012-05-09 信越化学工業株式会社 ペリクルおよびペリクルの製造方法
EP2326990B1 (en) * 2008-07-11 2013-03-13 ASML Netherlands BV Spectral purity filter, radiation source, lithographic apparatus, and device manufacturing method
CN102099747B (zh) * 2008-08-14 2013-06-19 Asml荷兰有限公司 辐射源、光刻设备以及器件制造方法
NL2003396A (en) 2008-09-26 2010-03-29 Asml Netherlands Bv Spectral purity filter, lithographic apparatus, and method for manufacturing a spectral purity filter.
US9082521B2 (en) * 2009-02-13 2015-07-14 Asml Netherlands B.V. EUV multilayer mirror with interlayer and lithographic apparatus using the mirror
JP4907696B2 (ja) 2009-06-09 2012-04-04 株式会社沖データ 画像形成装置及びネットワークシステム
WO2011000622A1 (en) 2009-06-30 2011-01-06 Asml Netherlands B.V. Spectral purity filter, lithographic apparatus, and method for manufacturing a spectral purity filter
NL2004787A (en) 2009-06-30 2011-01-04 Asml Netherlands Bv Spectral purity filter, lithographic apparatus, and method for manufacturing a spectral purity filter.
NL2005460A (en) 2009-11-20 2011-05-23 Asml Netherlands Bv Multilayer mirror, lithographic apparatus, and methods for manufacturing a multilayer mirror and a product.
JP5717765B2 (ja) * 2010-02-12 2015-05-13 エーエスエムエル ネザーランズ ビー.ブイ. スペクトル純度フィルタ
JP5727590B2 (ja) * 2010-04-27 2015-06-03 エーエスエムエル ネザーランズ ビー.ブイ. スペクトル純度フィルタ
WO2012119672A1 (en) * 2011-03-04 2012-09-13 Asml Netherlands B.V. Lithograpic apparatus, spectral purity filter and device manufacturing method
US8778448B2 (en) * 2011-07-21 2014-07-15 International Business Machines Corporation Method of stabilizing hydrogenated amorphous silicon and amorphous hydrogenated silicon alloys
JP5867046B2 (ja) * 2011-12-12 2016-02-24 富士通株式会社 極紫外露光マスク用防塵装置及び極紫外露光装置
WO2013152921A1 (en) * 2012-04-12 2013-10-17 Asml Netherlands B.V. Pellicle, reticle assembly and lithographic apparatus
JP6253641B2 (ja) * 2012-05-21 2017-12-27 エーエスエムエル ネザーランズ ビー.ブイ. リフレクタ、ペリクル、リソグラフィマスク、膜、スペクトル純度フィルタ、および、装置
NL2011237A (en) * 2012-08-03 2014-02-04 Asml Netherlands Bv Lithographic apparatus and method.
WO2014188710A1 (ja) 2013-05-24 2014-11-27 三井化学株式会社 ペリクル、及びこれらを含むeuv露光装置
KR102604554B1 (ko) * 2014-07-04 2023-11-22 에이에스엠엘 네델란즈 비.브이. 리소그래피 장치 내에서 사용하는 멤브레인 및 이러한멤브레인을 포함한 리소그래피 장치

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201428437A (zh) * 2010-06-25 2014-07-16 Asml荷蘭公司 微影裝置及方法

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
期刊 1、 Yashesh A. Shroff,_ Michael Leeson, and Pei-Yang YanHigh transmission pellicles for extreme ultraviolet lithography reticle protection Journal of vacuum science & technology November 2010 ResearchGate November 2010 1~6 *

Also Published As

Publication number Publication date
JP2017522590A (ja) 2017-08-10
KR20220123482A (ko) 2022-09-06
CA3165053A1 (en) 2016-01-07
TW202248768A (zh) 2022-12-16
KR102560643B1 (ko) 2023-07-27
US20190146332A1 (en) 2019-05-16
WO2016001351A1 (en) 2016-01-07
CN106489084A (zh) 2017-03-08
KR20170029556A (ko) 2017-03-15
CA2954307C (en) 2022-08-30
TWI667546B (zh) 2019-08-01
EP3164764B1 (en) 2021-02-24
JP6858817B2 (ja) 2021-04-14
CA3165048A1 (en) 2016-01-07
KR20230160962A (ko) 2023-11-24
KR102650131B1 (ko) 2024-03-21
TWI825930B (zh) 2023-12-11
TW201940980A (zh) 2019-10-16
TW201606439A (zh) 2016-02-16
JP2019204132A (ja) 2019-11-28
US10228615B2 (en) 2019-03-12
CN106489084B (zh) 2020-10-30
JP6741600B2 (ja) 2020-08-19
US10698312B2 (en) 2020-06-30
KR102438464B1 (ko) 2022-09-01
EP3164764A1 (en) 2017-05-10
CN114035254A (zh) 2022-02-11
TWI715104B (zh) 2021-01-01
TW202111437A (zh) 2021-03-16
KR20240038172A (ko) 2024-03-22
CA2954307A1 (en) 2016-01-07
US20170205704A1 (en) 2017-07-20
KR102604554B1 (ko) 2023-11-22
TW202411767A (zh) 2024-03-16
KR20230116956A (ko) 2023-08-04
CN110501769B (zh) 2021-11-23
CN110501769A (zh) 2019-11-26

Similar Documents

Publication Publication Date Title
TWI777310B (zh) 用於一微影設備內之膜及包括此膜之微影設備
US11762281B2 (en) Membrane for EUV lithography
US9897930B2 (en) Optical element comprising oriented carbon nanotube sheet and lithographic apparatus comprising such optical element
CN118311702A (zh) 用于光刻设备内的膜和包括这种膜的光刻设备
NL2013557A (en) Membranes for use within a lithographic apparatus and a lithographic apparatus comprising such a membrane.

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent