TWI774742B - 矽氮化物之原子層蝕刻 - Google Patents

矽氮化物之原子層蝕刻 Download PDF

Info

Publication number
TWI774742B
TWI774742B TW107109188A TW107109188A TWI774742B TW I774742 B TWI774742 B TW I774742B TW 107109188 A TW107109188 A TW 107109188A TW 107109188 A TW107109188 A TW 107109188A TW I774742 B TWI774742 B TW I774742B
Authority
TW
Taiwan
Prior art keywords
gas
processing chamber
plasma processing
sio
sige
Prior art date
Application number
TW107109188A
Other languages
English (en)
Other versions
TW201843701A (zh
Inventor
丹尼爾 李
傑瑞多 戴加迪諾
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW201843701A publication Critical patent/TW201843701A/zh
Application granted granted Critical
Publication of TWI774742B publication Critical patent/TWI774742B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Drying Of Semiconductors (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)

Abstract

提供一種相對於一結構的SiO或SiGe或Si選擇性地蝕刻SiN的方法,該方法包含提供原子層蝕刻的複數循環。每一循環包含氟化聚合物沉積階段及活化階段,該氟化聚合物沉積階段包含:使氟化聚合物沉積氣體流入電漿處理腔室內,該氟化聚合物沉積氣體包含氫氟碳化物氣體;使氟化聚合物沉積氣體形成為電漿,其在結構上沉積氫氟碳化物聚合物層;及使氟化聚合物沉積氣體停止流入電漿處理腔室內;該活化階段包含:使活化氣體流入電漿處理腔室內,該活化氣體包含NH3 或H2 的其中至少一者;使活化氣體形成為電漿,其中來自NH3 或H2 的電漿成分造成SiN相對於SiO或SiGe或Si選擇性地受到蝕刻;及使活化氣體停止流入電漿處理腔室內。

Description

矽氮化物之原子層蝕刻
本揭示內容關於在半導體晶圓上形成半導體元件的方法。更具體而言,本揭示內容關於在形成半導體元件的過程中蝕刻矽氮化物。
在形成半導體元件的過程中,半導體元件可使用蝕刻矽氮化物的製程形成。
為實現上述內容且根據本揭示內容之目的,提供一種在電漿處理腔室中相對於一結構的SiO或SiGe或Si選擇性地蝕刻SiN的方法,該方法包含提供原子層蝕刻的複數循環。每一循環包含氟化聚合物沉積階段及活化階段,該氟化聚合物沉積階段包含:使氟化聚合物沉積氣體流入電漿處理腔室內,該氟化聚合物沉積氣體包含氫氟碳化物氣體;使氟化聚合物沉積氣體形成為電漿,其在結構上沉積氫氟碳化物聚合物層;及使氟化聚合物沉積氣體停止流入電漿處理腔室內;該活化階段包含:使活化氣體流入電漿處理腔室內,該活化氣體包含NH3 或H2 的其中至少一者;使活化氣體形成為電漿,其中來自NH3 或H2 的電漿成分造成SiN相對於SiO或SiGe或Si選擇性地受到蝕刻;及使活化氣體停止流入電漿處理腔室內。
本揭示內容的這些及其他特徵將以詳細敘述結合下列圖式更詳細地描述於下。
本揭示內容現將參照如隨附圖式中所說明的幾個較佳實施例詳細描述。在以下說明中,為了提供本揭示內容的透徹理解,說明許多具體細節。然而,顯然地,對於熟習本項技術之人士而言,本揭示內容可在不具有這些具體細節的某些或全部者之情況下實施。另一方面,未詳細說明眾所周知的製程步驟及/或結構,以免不必要地模糊本揭示內容。
圖1係一實施例的高階流程圖。在此實施例中,將具有一結構(諸如堆疊)的基板置放在電漿處理腔室中(步驟104)。提供使用循環式原子層蝕刻之SiN的選擇性蝕刻(步驟108)。原子層蝕刻製程的每一循環包含氟化聚合物沉積階段(步驟112)、活化階段(步驟116)、及閃蒸(flashing)階段(步驟120)。將具有該結構的基板自電漿處理腔室移除(步驟124)。圖2係氟化聚合物沉積階段(步驟112)之更詳細的流程圖。使氟化聚合物沉積氣體流入電漿處理腔室內(步驟204)。使氟化聚合物沉積氣體形成為電漿,其將氫氟碳化物聚合物沉積在結構上(步驟208)。停止氟化聚合物沉積氣體的流動(步驟212)。圖3係活化階段(步驟116)之更詳細的流程圖。使活化氣體流入電漿處理腔室內,其中活化氣體包含NH3 或H2 的其中至少一者(步驟304)。使活化氣體形成為電漿,其相對於SiO或SiGe或Si選擇性地蝕刻SiN(步驟308)。在說明書及申請專利範圍中,SiO、SiGe、及Si包含摻雜的與未摻雜的SiO、SiGe、及Si。停止活化氣體的流動(步驟312)。 示例
在示例中,將具有一結構的基板置放在電漿處理腔室中(步驟104)。圖4A係結構400(諸如堆疊)的示意橫剖面圖,該結構400具有在矽層408下方的矽基板404,該矽層408在介電層412下方,該介電層412包含具有特徵部416的SiO。SiN層420係沉積在介電層412上,該SiN層420縮窄特徵部416的寬度。結構400係置放在電漿處理腔室中。
圖5係可在實施例中使用之電漿處理腔室的示意圖。在一或更多實施例中,電漿處理系統500包含處理腔室549內由腔室壁550包圍之提供氣體入口的氣體分布板506及靜電卡盤(ESC)508。在處理腔室549之內,基板404配置在ESC 508的頂部。ESC 508可提供來自ESC源548的偏壓。氣體源510透過分布板506連接至電漿處理腔室549。在此實施例中,氣體源510包含H2 氣體源509、閃蒸氣體源516、及沉積氣體源518。氣體源510可具有額外的氣體源。每一氣體源可包含多個氣體源。ESC溫度控制器551連接至ESC 508,並提供ESC 508的溫度控制。在此示例中,第一連接件513將電力提供至內部加熱器511以供加熱ESC 508的內部區域,而第二連接件514將電力提供至外部加熱器512以供加熱ESC 508的外部區域。RF源530將RF電力提供至下電極534及上電極,在此實施例中,上電極係氣體分布板506。在較佳的實施例中,2 MHz、60 MHz、及選用性的27 MHz之電源構成RF源530及ESC源548。在此實施例中,針對每一頻率設置一產生器。在其他實施例中,產生器可在獨立的RF源中、或獨立的RF產生器可連接至不同的電極。舉例而言,上電極可具有連接至不同RF源的內部電極及外部電極。RF源及電極的其他配置可在其他實施例中使用,諸如在另一實施例中,上電極可為接地的。控制器535係可控制地連接至RF源530、ESC源548、排氣幫浦520、及蝕刻氣體源510。如此電漿處理腔室的示例係由Lam Research Corporation of Fremont, CA製造的Exelan FlexTM 蝕刻系統。處理腔室可為CCP(電容耦合電漿)反應器或ICP(感應耦合電漿)反應器。
圖6係顯示電腦系統600的高階方塊圖,此電腦系統600適合用於實現在實施例中使用的控制器535。該電腦系統可具有從積體電路、印刷電路板、及小型手持裝置上至大型超級電腦的許多實體形式。電腦系統600包含一或更多處理器602,且進一步可包含電子顯示裝置604(用於顯示圖形、文字、及其他資料)、主記憶體606(例如隨機存取記憶體(RAM))、儲存裝置608(例如硬磁碟驅動機)、可移除式儲存裝置610(例如光碟驅動機)、使用者介面裝置612(例如鍵盤、觸控螢幕、小鍵盤(keypads)、滑鼠或其他指向裝置等)、及通訊介面614(例如無線網路介面)。通訊介面614允許軟體及資料經由一連結而在電腦系統600與外部裝置之間傳輸。該系統亦可包含通訊設施616(例如通訊匯流排、交越條(cross-over bar)、或網路),上述裝置/模組連接至該通訊設施616。
經由通訊介面614傳輸的資訊可為呈訊號的形式,諸如能夠經由通訊連結而被通訊介面614接收的電子、電磁、光學、或其他訊號,該通訊連結攜帶訊號且可使用電線或電纜、光纖、電話線、行動電話連結、射頻連結、及/或其他通訊通道實現。在使用如此通訊介面的情況下,吾人設想在執行上述方法步驟期間,一或更多處理器602可從網路接收資訊、或可將資訊輸出至網路。此外,方法實施例可僅在處理器上執行、或可在諸如網際網路的網路上與遠端處理器(其分擔一部分的處理)結合而執行。
術語「非暫態電腦可讀媒體」通常用以意指媒體,諸如主記憶體、輔助記憶體、可移除式儲存裝置及儲存裝置(諸如硬碟、快閃記憶體、磁碟機記憶體、CD-ROM、及其他形式的永久記憶體),且不應被理解為涵蓋諸如載波或訊號的暫時性標的。電腦碼的示例包含機器碼(諸如藉由編譯器產生者)及包含利用解譯器由電腦執行之較高階碼的檔案。電腦可讀媒體亦可為由電腦資料訊號傳送的電腦碼,該電腦碼體現在載波中且代表可由處理器執行之指令的序列。
在具有基板404的結構400已被置放進電漿處理腔室549之後,提供循環式原子層蝕刻(步驟108)。此實施例中的原子層蝕刻製程之每一循環包含氟化聚合物沉積階段(步驟112)、活化階段(步驟116)、及閃蒸階段(步驟120)。圖2係氟化聚合物沉積階段(步驟112)之更詳細的流程圖。使氟化聚合物沉積氣體流入電漿處理腔室內(步驟204)。在此實施例中,使氟化聚合物沉積氣體流動的步驟使10至100 sccm之間的CH3 F、50至400 sccm之間的H2 、及0至800 sccm之間的Ar流動。使氟化聚合物沉積氣體形成為電漿,其將氫氟碳化物聚合物沉積在結構上(步驟208)。在此實施例中,在27 MHz下提供30-200瓦的RF功率,並在60 MHz下提供30-300瓦的RF功率。提供範圍從-30 V至-200 V伏特的偏壓以使至結構400的電漿成分加速而提供沉積。在2至6秒之後,停止氟化聚合物沉積氣體的流動(步驟212)。
圖4B係在氟化聚合物沉積階段完成之後,結構400的示意橫剖面圖。氟化聚合物的薄層或塗層424沉積在結構400上。氟化聚合物的塗層424未依比例描繪,而是描繪得較厚以更佳地促進理解。在此實施例中,此階段的主要目的並非蝕刻,而是沉積氟化聚合物的塗層424,在一些情況下,可在沉積階段期間觀察到基板的蝕刻。
圖3係活化階段(步驟116)之更詳細的流程圖。使活化氣體流入電漿處理腔室內,其中活化氣體包含NH3 或H2 的其中至少一者(步驟304)。在此示例中,活化氣體係10-800 sccm的H2 。使活化氣體形成為電漿,其致使SiN相對於SiGe或Si或SiO選擇性地受到蝕刻(步驟308)。在此實施例中,在27 MHz下提供50-400瓦的RF功率,並在60 MHz下提供50-400瓦的RF功率。提供-30至-300伏特的偏壓以使至結構400的電漿成分加速而造成活化。在2至8秒之後,停止活化氣體的流動(步驟312)。
圖4C係在活化階段完成之後,結構400的示意橫剖面圖。自活化氣體產生的電漿定向性地或非等向性地蝕刻SiN層420。SiN層420的層顯示為被蝕刻掉。SiN層的定向性蝕刻相對於垂直表面選擇性地蝕刻水平表面。
圖7係閃蒸階段之更詳細的流程圖(步驟120)。使閃蒸氣體流入電漿處理腔室內,其中閃蒸氣體包含H2 和O2 或CO2 的其中至少一者(步驟704)。在此示例中,閃蒸氣體係100-800 sccm的H2 及1-10 sccm的O2 。使閃蒸氣體形成為電漿(步驟708)。在此實施例中,在27 MHz下提供50-400瓦的RF功率,並在60 MHz下提供60-400瓦的RF功率。提供-30至-300伏特的偏壓以使至結構400的電漿成分加速而造成閃蒸,其移除任何殘餘的聚合物殘留物。在2至8秒之後,停止閃蒸氣體的流動(步驟712)。
將循環式製程重複許多次。在此示例中,製程重複從5至20次。圖4D係原子層蝕刻已執行超過10循環之後,結構400的示意橫剖面圖。原子層蝕刻相對於介電層412選擇性地蝕刻SiN層420。在此示例中,SiN層420的水平表面已相對於SiO的介電層412及SiN層420的垂直表面選擇性地受到蝕刻。將SiN層420蝕刻穿至下面的矽層408以形成具有降低之CD的接點。該接點下方的矽層408可為摻雜的矽以形成源極或汲極、或可包含金屬接點。
在其他實施例中,氟化聚合物沉積氣體係CH3 F、CH2 F2 、或CHF3 的氫氟碳化物,且可進一步包含CH4 、H2 、或Ar的其中至少一者。除了氫氟碳化物之外,氟化聚合物沉積氣體可進一步包含CO、O2 、CO2 、及/或諸如Ar的惰性氣體。較佳是,活化氣體無氟且無惰性轟擊氣體。更佳是,活化氣體實質上由H2 或NH3 所組成。最佳是,活化氣體實質上由H2 所組成。較佳是,氟化聚合物沉積階段與活化階段期間的偏壓電壓具有在30至300伏特之間的大小。更佳是,氟化聚合物沉積階段與活化階段期間的偏壓電壓具有在50至150伏特之間的大小。此意味著偏壓電壓的絕對值在30至300伏特之間,且較佳是在50至150伏特之間。
氟化聚合物的量或厚度提供活化階段期間蝕刻量的自限制性。在說明書及申請專利範圍中,原子層蝕刻係定義為自限制蝕刻製程,例如:起因於氟化聚合物的有限存在之活化製程的自限制性。
在此實施例中,SiN層降低經蝕刻之特徵部的CD。較佳是,以至少5:1的比率相對於SiO和SiGe和Si選擇性地蝕刻SiN。更佳是,以至少10:1的比率相對於SiGe和Si和SiO選擇性地蝕刻SiN。在諸多實施例中,摻雜的Si可為摻雜碳的矽、或P摻雜的Si,且摻雜的SiGe可摻雜硼。
圖8A係在另一實施例中使用之結構800(諸如堆疊)的示意橫剖面圖,該結構800具有在SiO層808下方的矽基板804,該SiO層808在SiN層812下方,該SiN層812在SiO遮罩820下方,該SiO遮罩820具有特徵部816。SiN層812的部分可為凹陷的,因為在SiO遮罩820的圖案化期間,SiN層812的部分可能受到蝕刻。一或更多中間層可配置在結構800的諸多層之間。將具有結構800的基板804置放在電漿處理腔室中(步驟104)。提供循環式原子層蝕刻(步驟108)。原子層蝕刻製程的每一循環包含氟化聚合物沉積階段(步驟112)及活化階段(步驟116)。在此實施例中,原子層蝕刻製程不包含閃蒸階段。圖2係氟化聚合物沉積階段(步驟112)之更詳細的流程圖。使氟化聚合物沉積氣體流入電漿處理腔室內(步驟204)。使氟化聚合物沉積氣體形成為電漿,其將氟碳化物聚合物沉積在結構上(步驟208)。停止氟化聚合物沉積氣體的流動(步驟212)。圖3係活化階段(步驟116)之更詳細的流程圖。使活化氣體流入電漿處理腔室內,其中活化氣體包含NH3 或H2 的其中至少一者(步驟304)。使活化氣體形成為電漿,其相對於SiO選擇性地蝕刻SiN(步驟308)。停止活化氣體的流動(步驟312)。在原子層蝕刻的幾個循環之後,自電漿處理腔室移除具有結構800的基板804(步驟124)。
圖8B係在SiN層812已受到蝕刻之後,結構800的示意橫剖面圖。原子層蝕刻能夠在無或最少SiO遮罩820及SiO層808之蝕刻的情況下選擇性地蝕刻SiN層812。如此實施例提供具有小於20 nm的CD及大於10:1之高度對寬度之深寬比比率的特徵部。
在不受理論限制的情況下,吾人相信實質上由H2 構成的活化氣體形成氫離子。將氫離子加速至結構800。因為氫離子係輕的,所以轟擊造成最小的蝕刻。吾人相信由氫離子導致的化學反應在最小或沒有SiO、Si、及SiGe之蝕刻的情況下提供大部分的SiN蝕刻。
雖然本揭示內容已由幾個較佳的實施例描述,但仍存在變更、變化、置換、及諸多替代等同物,其皆落入本揭示內容的範疇之內。亦應注意有許多替代的方式實施本揭示內容的方法及設備。因此,下列隨附申請專利範圍意欲被解釋為包含落入本揭示內容的真實精神及範圍內的所有這些變更、變化、置換及諸多替代等同物。
104‧‧‧步驟108‧‧‧步驟112‧‧‧步驟116‧‧‧步驟120‧‧‧步驟124‧‧‧步驟204‧‧‧步驟208‧‧‧步驟212‧‧‧步驟304‧‧‧步驟308‧‧‧步驟312‧‧‧步驟400‧‧‧結構404‧‧‧基板408‧‧‧矽層412‧‧‧介電層416‧‧‧特徵部420‧‧‧SiN層424‧‧‧氟化聚合物的薄層或塗層500‧‧‧電漿處理系統506‧‧‧分布板508‧‧‧靜電卡盤(ESC)509‧‧‧H2氣體源510‧‧‧氣體源511‧‧‧內部加熱器512‧‧‧外部加熱器513‧‧‧第一連接件514‧‧‧第二連接件516‧‧‧閃蒸氣體源518‧‧‧沉積氣體源520‧‧‧排氣幫浦530‧‧‧RF源534‧‧‧下電極535‧‧‧控制器548‧‧‧ESC源549‧‧‧處理腔室550‧‧‧腔室壁551‧‧‧ESC溫度控制器600‧‧‧電腦系統602‧‧‧處理器604‧‧‧電子顯示裝置606‧‧‧主記憶體608‧‧‧儲存裝置610‧‧‧可移除式儲存裝置612‧‧‧使用者介面裝置614‧‧‧通訊介面616‧‧‧通訊設施704‧‧‧步驟708‧‧‧步驟712‧‧‧步驟800‧‧‧結構804‧‧‧基板808‧‧‧SiO層812‧‧‧SiN層816‧‧‧特徵部820‧‧‧SiO遮罩
在隨附圖式的圖中,本揭示內容經由示例且非經由限制而加以說明,且其中類似的參考數字係關於相似的元件,且其中:
圖1係一實施例的高階流程圖。
圖2係氟化聚合物沉積階段之更詳細的流程圖。
圖3係活化階段之更詳細的流程圖。
圖4A-D係根據一實施例處理之一結構的示意橫剖面圖。
圖5係可在一實施例中使用之電漿處理腔室的示意圖。
圖6係可在實施一實施例中使用之電腦系統的示意圖。
圖7係閃蒸(flashing)階段之更詳細的流程圖。
圖8A-B係根據另一實施例處理之一結構的示意橫剖面圖。
104‧‧‧步驟
108‧‧‧步驟
112‧‧‧步驟
116‧‧‧步驟
120‧‧‧步驟
124‧‧‧步驟

Claims (16)

  1. 一種在電漿處理腔室中相對於一結構的SiO或SiGe或Si選擇性地蝕刻SiN的方法,該方法包含提供原子層蝕刻的複數循環,其中每一循環包含:氟化聚合物沉積階段,包含:使氟化聚合物沉積氣體流入該電漿處理腔室內,該氟化聚合物沉積氣體包含氫氟碳化物氣體;及使該氟化聚合物沉積氣體形成為電漿,其在該結構中之SiN層上沉積氫氟碳化物聚合物層;及活化階段,包含:使一活化氣體流入該電漿處理腔室內,該活化氣體包含NH3或H2的其中至少一者;及使該活化氣體形成為電漿,其中來自NH3或H2的電漿成分造成SiN相對於SiO或SiGe或Si選擇性地受到蝕刻。
  2. 如申請專利範圍第1項之在電漿處理腔室中相對於一結構的SiO或SiGe或Si選擇性地蝕刻SiN的方法,其中,該活化氣體包含H2
  3. 如申請專利範圍第2項之在電漿處理腔室中相對於一結構的SiO或SiGe或Si選擇性地蝕刻SiN的方法,其中,該活化氣體無氟且無惰性轟擊氣體。
  4. 如申請專利範圍第3項之在電漿處理腔室中相對於一結構的SiO或SiGe或Si選擇性地蝕刻SiN的方法,在該活化階段之後更包含閃蒸階段,該閃蒸階段包含:使閃蒸氣體流入該電漿處理腔室內,該閃蒸氣體包含H2及O2或CO2的其中至少一者;使該閃蒸氣體形成為電漿,其中該電漿剝離聚合物;及停止該閃蒸氣體的流動。
  5. 如申請專利範圍第3項之在電漿處理腔室中相對於一結構的SiO或SiGe或Si選擇性地蝕刻SiN的方法,其中,該氟化聚合物沉積階段提供具有30至300伏特之間之大小的偏壓電壓,且該活化階段提供具有30至300伏特之間之大小的偏壓電壓。
  6. 如申請專利範圍第5項之在電漿處理腔室中相對於一結構的SiO或SiGe或Si選擇性地蝕刻SiN的方法,其中,該氫氟碳化物氣體包含CH3F、CH2F2、或CHF3的其中至少一者。
  7. 如申請專利範圍第6項之在電漿處理腔室中相對於一結構的SiO或SiGe或Si選擇性地蝕刻SiN的方法,其中,該氟化聚合物沉積氣體更包含CH4或H2或Ar的其中至少一者。
  8. 如申請專利範圍第7項之在電漿處理腔室中相對於一結構的SiO或SiGe或Si選擇性地蝕刻SiN的方法,該活化氣體實質上由H2所組成。
  9. 如申請專利範圍第8項之在電漿處理腔室中相對於一結構的SiO或SiGe或Si選擇性地蝕刻SiN的方法,其中,由於來自該氟化聚合物的氟在該活化階段期間係用於蝕刻,使得該活化階段相依於所沉積之氟化聚合物的厚度而為自限制性的。
  10. 如申請專利範圍第1項之在電漿處理腔室中相對於一結構的SiO或SiGe或Si選擇性地蝕刻SiN的方法,其中,該活化氣體無氟且無惰性轟擊氣體。
  11. 如申請專利範圍第1項之在電漿處理腔室中相對於一結構的SiO或SiGe或Si選擇性地蝕刻SiN的方法,在該活化階段之後更包含閃蒸階段,該閃蒸階段包含:使閃蒸氣體流入該電漿處理腔室內,該閃蒸氣體包含H2及O2或CO2的其中至少一者;使該閃蒸氣體形成為電漿,其中該電漿剝離聚合物;及停止該閃蒸氣體的流動。
  12. 如申請專利範圍第1項之在電漿處理腔室中相對於一結構的SiO或SiGe或Si選擇性地蝕刻SiN的方法,其中,該氟化聚合物沉積階段提供具有30至300伏特之間之大小的偏壓電壓,且該活化階段提供具有30至300伏特之間之大小的偏壓電壓。
  13. 如申請專利範圍第1項之在電漿處理腔室中相對於一結構的SiO或SiGe或Si選擇性地蝕刻SiN的方法,其中,該氫氟碳化物氣體包含CH3F、CH2F2、或CHF3的其中至少一者。
  14. 如申請專利範圍第1項之在電漿處理腔室中相對於一結構的SiO或SiGe或Si選擇性地蝕刻SiN的方法,其中,該氟化聚合物沉積氣體更包含CH4或H2或Ar的其中至少一者。
  15. 如申請專利範圍第1項之在電漿處理腔室中相對於一結構的SiO或SiGe或Si選擇性地蝕刻SiN的方法,該活化氣體實質上由H2所組成。
  16. 如申請專利範圍第1項之在電漿處理腔室中相對於一結構的SiO或SiGe或Si選擇性地蝕刻SiN的方法,其中,由於來自該氟化聚合物的氟在該活化階段期間係用於蝕刻,使得該活化階段相依於所沉積之氟化聚合物的厚度而為自限制性的。
TW107109188A 2017-03-20 2018-03-19 矽氮化物之原子層蝕刻 TWI774742B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/463,374 2017-03-20
US15/463,374 US10079154B1 (en) 2017-03-20 2017-03-20 Atomic layer etching of silicon nitride

Publications (2)

Publication Number Publication Date
TW201843701A TW201843701A (zh) 2018-12-16
TWI774742B true TWI774742B (zh) 2022-08-21

Family

ID=63491060

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107109188A TWI774742B (zh) 2017-03-20 2018-03-19 矽氮化物之原子層蝕刻

Country Status (3)

Country Link
US (1) US10079154B1 (zh)
TW (1) TWI774742B (zh)
WO (1) WO2018175036A1 (zh)

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3099483B1 (en) 2014-01-27 2022-06-01 Corning Incorporated Articles and methods for controlled bonding of thin sheets with carriers
KR20160145062A (ko) 2014-04-09 2016-12-19 코닝 인코포레이티드 디바이스 변경된 기판 물품 및 제조 방법
WO2016187186A1 (en) 2015-05-19 2016-11-24 Corning Incorporated Articles and methods for bonding sheets with carriers
CN117534339A (zh) 2015-06-26 2024-02-09 康宁股份有限公司 包含板材和载体的方法和制品
TW202216444A (zh) 2016-08-30 2022-05-01 美商康寧公司 用於片材接合的矽氧烷電漿聚合物
TWI821867B (zh) 2016-08-31 2023-11-11 美商康寧公司 具以可控制式黏結的薄片之製品及製作其之方法
US11289355B2 (en) 2017-06-02 2022-03-29 Lam Research Corporation Electrostatic chuck for use in semiconductor processing
CN111372772A (zh) 2017-08-18 2020-07-03 康宁股份有限公司 使用聚阳离子聚合物的临时结合
US11331692B2 (en) * 2017-12-15 2022-05-17 Corning Incorporated Methods for treating a substrate and method for making articles comprising bonded sheets
KR20240050466A (ko) 2018-01-31 2024-04-18 램 리써치 코포레이션 정전 척 (electrostatic chuck, ESC) 페데스탈 전압 분리
US11086233B2 (en) * 2018-03-20 2021-08-10 Lam Research Corporation Protective coating for electrostatic chucks
TW202038445A (zh) * 2018-12-19 2020-10-16 美商應用材料股份有限公司 具有縮小間距的三維反及結構
US10629451B1 (en) * 2019-02-01 2020-04-21 American Air Liquide, Inc. Method to improve profile control during selective etching of silicon nitride spacers
CN113795908A (zh) * 2019-04-08 2021-12-14 应用材料公司 用于修改光刻胶轮廓和调整临界尺寸的方法
CN110379712A (zh) * 2019-08-05 2019-10-25 德淮半导体有限公司 一种刻蚀方法

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090176375A1 (en) * 2008-01-04 2009-07-09 Benson Russell A Method of Etching a High Aspect Ratio Contact
US20130214391A1 (en) * 2012-02-17 2013-08-22 International Business Machines Corporation Lateral-Dimension-Reducing Metallic Hard Mask Etch
TW201411720A (zh) * 2012-08-15 2014-03-16 Lam Res Corp 具有混合模式脈動之蝕刻
TW201539655A (zh) * 2013-12-12 2015-10-16 Lam Res Corp 具有高角落選擇性的自我對準接觸窗/導通孔之形成方法
TW201614769A (en) * 2014-06-30 2016-04-16 Lam Res Corp Selective formation of dielectric barriers for metal interconnects in semiconductor devices

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9773683B2 (en) * 2014-06-09 2017-09-26 American Air Liquide, Inc. Atomic layer or cyclic plasma etching chemistries and processes
US9318343B2 (en) * 2014-06-11 2016-04-19 Tokyo Electron Limited Method to improve etch selectivity during silicon nitride spacer etch
US9431268B2 (en) 2015-01-05 2016-08-30 Lam Research Corporation Isotropic atomic layer etch for silicon and germanium oxides
US9911620B2 (en) * 2015-02-23 2018-03-06 Lam Research Corporation Method for achieving ultra-high selectivity while etching silicon nitride
US9779956B1 (en) * 2017-02-06 2017-10-03 Lam Research Corporation Hydrogen activated atomic layer etching

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090176375A1 (en) * 2008-01-04 2009-07-09 Benson Russell A Method of Etching a High Aspect Ratio Contact
US20130214391A1 (en) * 2012-02-17 2013-08-22 International Business Machines Corporation Lateral-Dimension-Reducing Metallic Hard Mask Etch
TW201411720A (zh) * 2012-08-15 2014-03-16 Lam Res Corp 具有混合模式脈動之蝕刻
TW201539655A (zh) * 2013-12-12 2015-10-16 Lam Res Corp 具有高角落選擇性的自我對準接觸窗/導通孔之形成方法
TW201614769A (en) * 2014-06-30 2016-04-16 Lam Res Corp Selective formation of dielectric barriers for metal interconnects in semiconductor devices

Also Published As

Publication number Publication date
US10079154B1 (en) 2018-09-18
TW201843701A (zh) 2018-12-16
US20180269071A1 (en) 2018-09-20
WO2018175036A1 (en) 2018-09-27

Similar Documents

Publication Publication Date Title
TWI774742B (zh) 矽氮化物之原子層蝕刻
TWI758404B (zh) 氫活化原子層蝕刻
US9673058B1 (en) Method for etching features in dielectric layers
US9257300B2 (en) Fluorocarbon based aspect-ratio independent etching
KR102547487B1 (ko) 유전체 컨택트 에칭
US12020944B2 (en) Method for etching an etch layer
CN107919264B (zh) 有关有机掩模的用于选择性地蚀刻氧化硅的方法
US10658194B2 (en) Silicon-based deposition for semiconductor processing
KR102264411B1 (ko) 저-k 필름에 대해 감소된 손상으로 유기 마스크를 박리하는 방법
KR102615854B1 (ko) 다공성 로우-k (low-k) 유전체 에칭
US8906248B2 (en) Silicon on insulator etch
US10546756B2 (en) Method for generating vertical profiles in organic layer etches
US10600648B2 (en) Silicon-based deposition for semiconductor processing
KR102626483B1 (ko) 반도체 프로세싱을 위한 실리콘-기반 증착

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent