TWI766544B - 光罩裝置及其形成方法 - Google Patents

光罩裝置及其形成方法 Download PDF

Info

Publication number
TWI766544B
TWI766544B TW110101748A TW110101748A TWI766544B TW I766544 B TWI766544 B TW I766544B TW 110101748 A TW110101748 A TW 110101748A TW 110101748 A TW110101748 A TW 110101748A TW I766544 B TWI766544 B TW I766544B
Authority
TW
Taiwan
Prior art keywords
layer
amorphous
reflective structure
multilayer reflective
euv
Prior art date
Application number
TW110101748A
Other languages
English (en)
Other versions
TW202142950A (zh
Inventor
李信昌
許倍誠
錢志道
陳明威
連大成
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202142950A publication Critical patent/TW202142950A/zh
Application granted granted Critical
Publication of TWI766544B publication Critical patent/TWI766544B/zh

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • G03F1/24Reflection masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • G03F1/48Protective coatings
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/52Reflectors

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

一多層反射性結構安置於基板上。一非晶形態覆蓋層安置於該多層反射性結構上。該非晶形態覆蓋層可含有釕、氧、鈮、氮、鉭或鋯。一非晶形態層亦可安置於該多層反射性結構與該非晶形態覆蓋層之間。該非晶形態層包括非晶矽、非晶形態二氧化矽或非晶形態氮化矽。

Description

光罩裝置及其形成方法
本揭露內容有關於光罩裝置以及微影的方法。
半導體積體電路(integrated circuit;IC)行業已經歷了快速增長。IC材料及設計的技術進展已產生了多代IC,其中每一代具有比前一代小且複雜的電路。然而,此等進展已增加了處理及製造IC的複雜性,且針對此等待實現的進展,需要IC處理及製造的類似發展。在積體電路演進的過程中,功能密度(亦即,每晶片面積互連的元件的數目)已大體增大,同時幾何大小(亦即,可使用製造製程創造的最小元件(或線))已減小。
隨著半導體元件大小繼續縮小,例如,低於20奈米(nm)節點,習知微影技術具有光學限制,此導致解析度問題且可能不達成所要的微影效能。相比較而言,極紫外線(extreme ultraviolet;EUV)微影可達成更小得多的元件大小。然而,現有EUV微影可仍然面臨某些難題。舉例而言,現有EUV光罩的覆蓋層可變得易於損壞, 此可使微影效能降級及/或縮短EUV光罩的使用期限。
因此,雖然現有EUV微影系統及方法已通常足夠用於其意欲的用途,但其尚未在每一態樣皆完全令人滿意。
本揭露內容的一個態樣係關於一種光罩裝置。該裝置包括基板。裝置包括安置於基板上的多層反射性結構。裝置包括安置於多層反射性結構上的非晶形態覆蓋層。
本揭露內容的另一態樣係關於一種形成光罩的方法。該方法包括在一基板上形成一多層反射性結構。該方法包括在該多層反射性結構上沈積或生長一非晶形態層。該方法包括在該非晶形態層上沈積或生長一覆蓋層。
本揭露內容的又一態樣係關於一種形成光罩的方法。該方法包括在一基板上形成一多層反射性結構。該方法包括藉由電漿處理該多層反射性結構。該方法包括:在該處理後,在該多層反射性結構上形成一非晶形態覆蓋層。
10:微影系統
12:輻射源
14:照明器
16:光罩台
18:光罩
20:投影光學模組/盒
22:光瞳相位調變器
24:投影光瞳平面
26:目標
28:基板台
30:基板
32:傳導性層
34:多層反射性結構
42,44:側
50:非晶形態層
60:非晶形態層形成製程
70,120:厚度
100:覆蓋層
110:覆蓋層形成製程
200:處理製程
240:吸收體層
260:光阻層
270,350:光阻形成製程
280,281,282,380,381:開口
300,400:蝕刻製程
320,420:光阻移除製程
360:光阻層
470:晶圓印刷製程
600,700:執行半導體製造製程的方法
610~640:步驟
710~740:步驟
當藉由附圖閱讀時,自以下詳細描述,最佳地理解本揭露內容的態樣。強調,根據該行業中的標準實務,各種特徵未按比例繪製。事實上,為了論述的清晰起見,可任意地增大或減小各種特徵的尺寸。
第1圖為根據本揭露內容的一些實施例建構的一微影系統的示意圖。
第2圖至第13圖圖示根據本揭露內容的實施例的在各種製造階段的微影光罩的橫截面圖。
第14圖為圖示根據本揭露內容的一些實施例的製造及使用微影光罩的方法的流程圖。
第15圖為圖示根據本揭露內容的一些實施例的製造及使用微影光罩的方法的流程圖。
以下揭露內容提供許多不同實施例或實例,用於實施提供的標的的不同特徵。以下描述元件及配置的具體實例以簡化本揭露內容。當然,此等僅為實例,且並不意欲為限制性。舉例而言,在接下來的描述中,第一特徵在第二特徵上方或上的形成可包括第一與第二特徵直接接觸地形成的實施例,且亦可包括額外特徵可形成於第一與第二特徵之間使得第一與第二特徵可不直接接觸的實施例。此外,在各種實例中,本揭露內容可重複參考數字及/或字母。此重複係為了簡單且清晰的目的,且自身並不規定論述的各種實施例及/或組態之間的關係。
另外,為了易於描述,諸如「在......之下(beneath)」、「在......下方(below)」、「下部(lower)」、「在......上方(above)」及「上部(upper)」及類似者的空間相對術語可在本文中用以描述如在圖中圖示的一 個元件或特徵與另一元件或特徵的關係。除了圖中描繪的定向之外,該等空間相對術語意欲亦涵蓋在使用或操作中的元件的不同定向。可將裝置以其他方式定向(旋轉90度或以其他定向),且同樣地可將本文中使用的空間相對描述詞相應地作出解釋。
更另外,當用「約」、「大致」及類似者來描述數或數範圍時,該術語意欲涵蓋在包括描述的數的合理範圍內的數,諸如,在描述的數的+/-10%內或如由熟習此項技術者理解的其他值。舉例而言,術語「約5nm」涵蓋自4.5nm至5.5nm之尺寸範圍。
極紫外線(extreme ultraviolet;EUV)微影歸因於其達成小半導體元件大小的能力而變得被廣泛使用。然而,執行EUV微影的習知系統及方法可仍然面臨各種難題。舉例而言,習知EUV系統使用用以執行EUV微影的微影光罩。在各元件當中,EUV微影光罩包括一覆蓋層,其用以保護EUV微影光罩的其他元件(例如,多層反射性結構)中的一些。習知EUV微影光罩可使用多晶材料來實施覆蓋層。遺憾地,在各種EUV微影製程期間,此多晶材料可變得易於損壞。
舉例而言,具有一多晶矽結構的材料具有變化大小及定向的多個微晶(亦被稱作「晶粒」)。晶界可指此等晶粒或微晶中的兩者之間的界面。在微影製程期間,例如,在乾式蝕刻及清潔(或作為其結果)期間,多晶覆蓋層的晶界可變得破裂或另外粗糙化(如與具有平滑平表面相反)。 對覆蓋層的此等損壞可不利地影響微影效能,例如,在晶圓印刷期間關於臨界尺寸(critical dimension;CD)。對覆蓋層的此等損壞亦可縮短EUV微影光罩的使用期限,此係由於具有覆蓋層損壞的EUV微影光罩不太能夠承受因清潔或電子束修復的粒子移除。若歸因於過多損壞,EUV微影光罩必須頻率地替換,則此可增加半導體製造的成本。
為了緩解以上論述的此等問題,本揭露內容形成具有一覆蓋層的EUV微影光罩,該覆蓋層具有一非晶形態結構,而非一多晶結構。該非晶形態結構允許覆蓋層更好地承受各種EUV微影製程,而不變得被損壞,由此改良EUV微影效能且延長EUV微影光罩的使用期限。以下將參看第1圖至第15圖更詳細地論述本揭露內容的各種態樣。首先,以下參看第1圖論述根據本揭露內容的實施例的EUV微影系統。接下來,參看第2圖至第15圖論述為根據本揭露內容的實施例的EUV微影系統的一部分的EUV光罩的細節。
第1圖為根據本揭露內容的一些實施例建構的一EUV微影系統10的示意圖。EUV微影系統10亦可一般被稱作掃描器,其用以藉由各別輻射源及曝露模式執行微影曝露製程。EUV微影系統10經設計成藉由EUV光或EUV輻射來曝露光阻層。光阻層為對EUV光敏感的材料。EUV微影系統10使用輻射源12來產生EUV光,諸如,具有範圍在約1nm與約100nm之間的波長的EUV光。 在一個特定實例中,輻射源12產生具有在約13.5nm處居中的波長的EUV光。因此,輻射源12亦被稱作EUV輻射源12。
微影系統10亦使用一照明器14。在各種實施例中,照明器14包括各種折射性光學元件,諸如,單一透鏡或具有多個透鏡(波帶片)的透鏡系統,或替代地反射性光學器件(用於EUV微影系統),諸如,單一鏡或具有多個鏡的鏡系統,以便將來自輻射源12的光引導至光罩台16上,特定言之,至緊固於光罩台16上的一EUV微影光罩18。在輻射源12產生在EUV波長範圍中的光的本實施例中,照明器14使用反射性光學器件。在一些實施例中,照明器14包括偶極照明組件。
在一些實施例中,照明器14可操作以配置鏡子以對EUV微影光罩18提供恰當照明。在一個實例中,照明器14的鏡子可切換以將EUV光反射至不同照明位置。在一些實施例中,在照明器14前的工作級(stage)可另外地包括其他可切換鏡,該等可切換鏡可控制以藉由照明器14的鏡將EUV光引導至不同照明位置。在一些實施例中,照明器14用以對EUV微影光罩18提供軸上照明(on-axis illumination;ONI)。在一實例中,使用具有為至多0.3的部分相干性σ的一桌式照明器14。在一些其他實施例中,照明器14用以對EUV微影光罩18提供離軸照明(off-axis illumination;OAI)。在一實例中,照明器14為一偶極照明器。在一些實施例中,偶極照明器具有 至多0.3的部分相干性σ。
微影系統10亦包括一光罩台16,其用以緊固EUV微影光罩18。在一些實施例中,光罩台16包括一靜電夾盤(e夾盤)以緊固EUV微影光罩18。此係因為氣體分子吸收EUV光,且將用於EUV微影圖案化的微影系統維持於真空環境中以避免EUV強度損失。在本揭露內容中,可互換地使用術語光罩(mask、photomask與reticle)來指同一物。
在本實施例中,微影系統10為EUV微影系統,且EUV微影光罩18為反射性光罩。提供EUV微影光罩18的一個例示性結構供說明。EUV微影光罩18包括具有合適材料的基板,諸如,低熱膨脹係數(low thermal expansion material;LTEM)或熔融石英。在各種實施例中,LTEM包括TiO2摻雜的SiO2,或具有低熱膨脹的其他合適材料。在一些實施例中,LTEM包括按重量計5%至20%的TiO2,且具有低於約1.0×10-6/℃的熱膨脹係數。舉例而言,在一些實施例中,LTEM的TiO2摻雜的SiO2材料具有一熱膨脹係數,使得其針對每攝氏1度的溫度改變變化少於十億分之60。當然,亦可使用具有等於或小於TiO2摻雜的SiO2的熱膨脹係數的其他合適材料。
EUV微影光罩18亦包括沈積於基板上的一多層反射性結構。該多層反射性結構包括複數個薄膜對,諸如,鉬矽(Mo/Si)薄膜對(例如,在每一薄膜對中,鉬層在 矽層上方或下方)。替代地,多層反射性結構可包括鉬鈹(Mo/Be)薄膜對,或可用以高度反射EUV光的其他合適材料。
EUV微影光罩18可進一步包括一覆蓋層,其沈積於多層反射性結構上用於保護該多層反射性結構及/或其下方的層。習知EUV光罩可實施具有多晶結構的一覆蓋層。如上所論述,用於覆蓋層的多晶結構可易於損壞,例如,作為諸如蝕刻及清潔的微影製程的結果,多晶覆蓋層的晶界可變得粗糙化或破裂。對多晶覆蓋層的損壞可造成製造中的問題,諸如,差臨界尺寸(critical dimension;CD)。由於對多晶覆蓋層的損壞,EUV微影光罩自身亦可能遭受到使用期限減少的困擾。
根據本揭露內容的實施例,EUV微影光罩18的覆蓋層具有一非晶形態結構,而非一多晶結構。在此方面,非晶形態結構係非晶的,且可缺乏明確的幾何形狀,此係由於其成分未按排序方式配置。因而,非晶形態結構可不具有對於多晶結構存在的晶界。由於製造誘發的損壞典型地出現於晶界處,因此非晶形態覆蓋層的晶界的缺乏實質上防止或至少減少可在其上出現的損壞。因此,可改良微影效能,且可延長EUV微影光罩18的使用期限,此減少半導體製造的成本。
在一些實施例中,非晶形態覆蓋層包括一非晶形態釕(Ru)材料。在一些實施例中,非晶形態覆蓋層可進一步下列元素中的一或多者:氧、鈮、氮、鉭或鋯。在一些 實施例中,可藉由首先在多層反射性結構上形成一非晶形態層,且因此在非晶形態層上形成非晶形態覆蓋層後來形成非晶形態覆蓋層。在其他實施例中,可藉由首先處理多層反射性結構的上表面(例如,藉由用電漿將其處理),且因此在多層反射性結構的經處理表面上形成非晶形態覆蓋層來形成非晶形態覆蓋層。以下將參看第2圖至第5圖更詳細地論述非晶形態覆蓋層的形成。
EUV微影光罩18進一步包括沈積於非晶形態覆蓋層上的一吸收層(亦被稱作吸收體層)。吸收層經圖案化以界定積體電路(integrated circuit;IC)的層。替代地,另一反射性層可沈積於多層反射性結構上且經圖案化以界定積體電路的層,由此形成EUV相移光罩。
微影系統10亦包括一投影光學模組(或投影光學盒(projection optics box;POB))20,用於將EUV微影光罩18的圖案成像至固定於微影系統10的基板台28上的目標26(例如,半導體基板)上。在各種實施例中,POB 20具有折射性光學器件(諸如,用於UV微影系統),或替代地,反射性光學器件(諸如,用於EUV微影系統)。自EUV微影光罩18引導、繞射至各種繞射級內且攜載界定於該光罩上的圖案的影像的光由POB 20收集。POB 20可包括小於一之放大率(由此在一目標(諸如,以下論述的目標26)上的「影像」的大小小於在光罩上的對應的「物件」的大小)。照明器14與POB 20共同地被稱作微影系統10的光學模組。
微影系統10亦包括一光瞳相位調變器(pupil phase modulator)22以調變自EUV微影光罩18引導的光的光相位,使得光具有在投影光瞳平面(projection pupil plane)24上的相位分佈。在光學模組中,存在一平面,該平面具有對應於物件(在本情況中,EUV微影光罩18)的傅立葉變換的場分佈。此平面被稱作投影光瞳平面。光瞳相位調變器22提供一機構來調變在投影光瞳平面24上的光的光相位。在一些實施例中,光瞳相位調變器22包括一機構來針對相位調變調諧POB 20的反射性鏡。舉例而言,POB 20的鏡可切換且經控制以反射EUV光,由此調變經由POB 20的光的相位。
在一些實施例中,光瞳相位調變器22利用置放於投影光瞳平面24上的光瞳濾光器。光瞳濾光器濾出來自EUV微影光罩18的EUV光的具體空間頻率分量。特定言之,光瞳濾光器為用以調變引導穿過POB 20的光的相位分佈的相位光瞳濾光器。然而,利用相位光瞳濾光器在一些微影系統(諸如,EUV微影系統)中受到限制,此係由於所有材料皆吸收EUV光。
如上所論述,微影系統10亦包括基板台28以緊固待圖案化的目標26,諸如,半導體基板。在本實施例中,半導體基板為半導體晶圓,諸如,矽晶圓或其他類型的晶圓。目標26塗佈有對輻射束(諸如,在本實施例中,EUV光)敏感的抗蝕劑層。包括以上描述的元件的各種元件整合在一起且可操作以執行微影曝露製程。微影系統10可進 一步包括其他模組或可與其他模組整合(或耦接)。
根據一些實施例,進一步描述EUV微影光罩18及其製造方法。在一些實施例中,光罩製造製程包括兩個操作:空光罩製造製程及光罩圖案化製程。在空光罩製造製程期間,空光罩係藉由在一合適基板上沈積合適層(例如,反射性多個層)來形成。接著光罩圖案化製程期間圖案化空光罩,以達成積體電路(integrated circuit;IC)的層的所要的設計。經圖案化光罩接著用以將電路圖案(例如,IC的層的設計)轉印至半導體晶圓上。可經由各種微影製程再三地將圖案轉印至多個晶圓上。使用一組光罩來建構一完整IC。
在各種實施例中,EUV微影光罩18包括一合適結構,諸如,二進位強度光罩(binary intensity mask;BIM)及相移光罩(phase-shifting mask;PSM)。一實例BIM包括吸收性區域(亦被稱作不透明區域)及反射性區域,其經圖案化以界定待轉印至目標的IC圖案。在不透明區域中,存在吸收體,且入射光幾乎完全由吸收體吸收。在反射性區域中,移除吸收體,且入射光由多層(多層反射性結構)繞射。PSM可為衰減的PSM(attenuated PSM,AttPSM)或交變PSM(alternating PSM;AltPSM)。一例示性PSM包括根據一IC圖案圖案化的一第一反射性層(諸如,反射性多層反射性結構)及一第二反射性層。在一些實例中,AttPSM通常具有自其吸收體2%至15%的反射率,而AltPSM通常具有自其吸收體 大於50%的反射率。
第2圖至第13圖為根據本揭露內容的一個實施例的在各種製造階段的微影光罩的圖解片段橫截面側視圖。參看第2圖,更詳細地圖示第1圖中的EUV微影光罩18。該EUV微影光罩18包括由一LTEM製成的一基板30。LTEM可包括TiO2摻雜的SiO2,及/或此項技術中已知的其他低熱膨脹材料。在一些實施例中,為了靜電夾持目的,一傳導性層32另外安置於LTEM基板30的一側42(亦被稱作背側)上。在一個實例中,傳導性層32包括氮化鉻(CrN)。在其他實施例中,其他合適組成係可能的,諸如,含鉭材料。
EUV微影光罩18包括安置於LTEM基板30的一側44(亦被稱作前側)上的多層反射性結構34。多層反射性結構34可經選擇,使得其對選定輻射類型/波長提供高反射性。該多層反射性結構34包括複數個薄膜對,諸如,Mo/Si薄膜對(例如,在每一薄膜對中,鉬層在矽層上方或下方)。替代地,多層反射性結構34可包括Mo/Be薄膜對,或具有折射率差的任何材料在EUV波長下高度反射性。
仍然參看第2圖,一非晶形態層50形成於多層反射性結構34的上部層的上表面上。非晶形態層50幫助形成於其上的層(亦即,非晶形態覆蓋層)達成一非晶形態結構。此係因為薄層(覆蓋層將為該薄層)的晶格排列(例如,不管其是單晶結構、多晶結構還是非晶形態結構)顯 著受到下面的層的晶格排列影響。換言之,若層50經形成以具有一非晶形態結構,則待形成於其上的層(亦即,覆蓋層)亦有可能具有一非晶形態結構。此可被稱作「基板調諧」,因為非晶形態層50可被視為用於待形成於其上的非晶形態覆蓋層的「非晶形態基板」。
可使用非晶形態層形成製程60來形成非晶形態層50。在一些實施例中,非晶形態層形成製程60可包括一磊晶生長製程。在一些其他實施例中,非晶形態層形成製程60可包括一沈積製程。沈積製程可包括一原子層沈積(atomic layer deposition;ALD)製程,例如,在約攝氏26度與約攝氏60度之間的範圍中的低溫下執行的ALD製程。該沈積製程亦可包括化學氣相沈積(chemical vapor deposition;CVD)製程,例如,大氣壓力CVD(atmospheric pressure CVD;APCVD)製程、低壓CVD(low pressure CVD;LPCVD)製程、雷射增強型CVD(laser-enhanced CVD;LECVD)製程及/或電漿增強型CVD(plasma-enhanced CVD;PECVD)製程。該沈積製程亦可包括物理氣相沈積(physical vapor deposition;PVD)製程,例如,電加熱蒸鍍(熱蒸鍍)製程、脈衝式雷射沈積製程、電子束蒸鍍製程、分子束磊晶製程、離子束輔助式蒸鍍製程及/或基於放電的沈積製程(諸如,濺鍍或電弧蒸鍍)。
非晶形態層50經形成以具有一厚度70。厚度70的值可藉由調整非晶形態層形成製程60的各種參數來調 諧,例如,沈積的持續時間等。在一些實施例中,厚度70經調諧以處於約0.1奈米(nm)與約4nm之間的一範圍中,例如,在約2nm與約4nm之間。非晶形態層50的此厚度範圍幫助確保非晶形態層50足夠厚以幫助覆蓋層形成於其上,以達成非晶形態結構,但並不過厚而顯著影響多層反射性結構34的反射率。
在一些實施例中,多層反射性結構34的最上部層為矽層,且非晶形態層50直接形成於多層反射性結構34的此最上部矽層的最上部表面上。在一些實施例中,非晶形態層50可包括但不限於:非晶矽、非晶形態二氧化矽(SiO2)或非晶形態氮化矽(SiN)。
現參看第3圖,一覆蓋層100形成於非晶形態層50上,例如,直接形成於非晶形態層50的上表面上。可藉由覆蓋層形成製程110來形成覆蓋層100。在一些實施例中,覆蓋層形成製程110可包括磊晶生長製程、CVD製程(諸如,APCVD、LPCVD、LECVD或PECVD)或PVD製程(諸如,電加熱蒸鍍、脈衝式雷射沈積、電子束蒸鍍、分子束磊晶、離子束輔助式蒸鍍、濺鍍或電弧蒸鍍)。
如上所論述,非晶形態層50在覆蓋層100下方的存在使覆蓋層100更易於達成非晶形態結構。在一些實施例中,覆蓋層100包括含有非晶形態釕的非晶形態材料。在其他實施例中,覆蓋層100包括含有為非晶形態的基於釕的化合物的非晶形態材料。舉例而言,基於釕的化合物 可含有諸如氧(O)、鈮(Nb)、氮(N)、鉭(Ta)或鋯(Zr)的元素。在一些實施例中,基於釕的化合物可包括:RuO2、RuNb、RuNbO、RuON、RuN、RuNbON、RuTaON、RuZr或RuZrO。在基於釕的化合物為RuNb的實施例中,RuNb中的Nb的原子量小於或等於約50%,例如,為約10%、為約20%、為約30%、為約40%或為約50%。在基於釕的化合物為RuNbO的實施例中,RuNbO中的Nb的原子量小於或等於約50%,例如,為約10%、為約20%、為約30%、為約40%或為約50%。
以上論述的原子量的此等範圍經具體地調諧以使覆蓋層的效能最佳化。在此方面,釕為用於覆蓋層的良好候選者,因為其不與氫(氫存在於EUV掃描器中)反應。然而,釕自身可能不如覆蓋層100抗蝕刻,以便不在用來有助於EUV微影光罩18的各種蝕刻製程期間變得損壞。鈮比釕抗蝕刻。因而,在基於釕的化合物中添加鈮將幫助增大覆蓋層100的抗蝕刻性。但若存在過多鈮,則此化合物可變得與氫更有反應性,此係不合需要的。因此,鈮在基於釕的化合物中小於50%的原子量將幫助覆蓋層100同時達成不與EUV掃描器中存在的氫反應的目標,以及具有充分抗蝕刻性以承受在其中執行的各種蝕刻製程。
覆蓋層100經形成以具有一厚度120。厚度120的值可藉由調整覆蓋層形成製程110的各種參數來調諧,例如,沈積的持續時間等。在一些實施例中,厚度120經調諧以處於約2.5nm與約6nm之間的一範圍中,例如, 在約2nm與約4nm之間。覆蓋層100的此厚度範圍幫助確保覆蓋層100足夠厚以充分保護在下面的多層反射性結構34,但並不過厚而顯著影響多層反射性結構34的反射率。
應理解,第2圖至第3圖僅圖示形成一非晶形態覆蓋層100的一個實施例。形成非晶形態覆蓋層100的另一實施例展示於第4圖至第5圖中。參看第4圖,多層反射性結構34形成於LTEM基板30上。執行一處理製程200以處理多層反射性結構34的最上部層(例如,最上部Si/Mo薄膜對的矽層)的上表面。多層反射性結構34的最上部層的處理有助於非晶形態覆蓋層的形成。換言之,若多層反射性結構34的最上部層尚未藉由處理製程200處理,則待形成於其上的覆蓋層更有可能具有多晶結構,如上所論述,此係不合需要的。此處,多層反射性結構34的最上部層的處理意謂,待形成於其上的覆蓋層可易於達成非晶形態結構。如上所論述,非晶形態覆蓋層係合乎需要的,因為其減少了EUV光罩18中的缺陷,改良了微影效能,且延長了EUV光罩18的壽命。
多層反射性結構34的處理有助於一非晶形態結構在其上的形成的一個原因包含自由能。當薄膜形成於基板上時,存在基板的表面自由能,及薄膜的自由能,及在基板與薄膜之間的界面的自由能。差量自由能可定義為:薄膜的自由能+界面的自由能-基板的表面自由能。小於0的差量自由能與薄膜形成的活謨-韋伯(Volmer-Weber) 模式相關聯,其中「島」首先出現,且接著此等島聚結成連續薄膜。此係不合需要的,因為在此模式中形成的薄膜更有可能達成多晶矽結構,且因此可傾向於損壞。另一方面,大於0之差量自由能與薄膜形成的弗蘭克-范德莫維(Frank-Van der Merwe)模式相關聯,其中薄膜逐層生長,其中每一層模仿下方的層的結構。此更合乎需要,因為以此方式形成的該(等)層可易於達成非晶形態結構。此處,處理製程200對多層反射性結構34的最上部層去濕,且使差量自由能大於0,此有助於覆蓋層100的逐層非晶形態薄膜形成。
在一些實施例中,處理製程200將電漿施加至多層反射性結構34的最上部層(例如,Si/Mo薄膜對的矽層)。舉例而言,電漿可包括氬(Ar)電漿、氧(O2)電漿或氮(N2)電漿。多層反射性結構34的最上部層的電漿處理自上表面移除汙跡或油脂或其他污染物,且因此改良上表面的均勻性。在一些實施例中,藉由在約攝氏28度與約攝氏35度之間的溫度範圍且藉由在約5秒與約60秒之間的持續時間來執行電漿製程。此溫度範圍及持續時間範圍並非隨機選擇,而是實際上經具體地組態以便使多層反射性結構34的最上部層的電漿處理的效應最佳化。舉例而言,若溫度範圍及/或持續時間過長或過短,則此可破壞非晶形態覆蓋層在多層反射性結構34的經處理表面上的形成。
現參看第5圖,覆蓋層100形成於多層反射性結 構34的最上部層上。再次,可使用以上論述的覆蓋層形成製程110來形成覆蓋層100,該製程可包括磊晶生長製程、CVD製程或PVD製程。如上所論述,覆蓋層100具有非晶形態結構,且可包括Ru、RuO2、RuNb、RuNbO、RuON、RuN、RuNbON、RuTaON、RuZr或RuZrO。亦如上所論述,覆蓋層形成製程110的製程參數可經組態使得覆蓋層100具有厚度120,厚度120可在約2.5nm與約6nm之間的範圍中。
應理解,以上參看第2圖至第3圖論述的實施例與以上參看第4圖至第5圖論述的實施例可組合以有助於覆蓋層100的形成。舉例而言,在一些實施例中,可執行處理製程200以處理多層反射性結構34。其後,非晶形態層50(例如,非晶形態Si層、非晶形態SiN層或非晶形態SiO2層)可形成於多層反射性結構34的經處理表面上。多層反射性結構34的經處理表面亦可有助於用於非晶形態層50的非晶形態結構的形成。其後,覆蓋層100可形成於非晶形態層50上。
與形成覆蓋層100以達成非晶形態結構的方式無關,可執行另外製造製程以完成EUV光罩18的形成。舉例而言,現參看第6圖,一吸收體層240(亦被稱作吸收層)形成於覆蓋層100上。在一些實施例中,吸收體層240吸收引導至EUV微影光罩18上的EUV輻射。在各種實施例中,吸收體層可由氮化鉭硼(TaBN)、氧化鉭硼(TaBO)或鉻(Cr)、鐳(Ra)或下列材料中之一或多者的合適氧 化物或氮化物(或合金)製成:錒、鐳、碲、鋅、銅、鋁、鎳及鎳合金。
應理解,在一些實施例中,一緩衝層可視情況形成於覆蓋層100與吸收體層240之間。在吸收體層240的圖案化或修復製程中,緩衝層可充當一蝕刻終止層。緩衝層可具有與安置於其上方的吸收體層不同的蝕刻特性。在一些實施例中,緩衝層可包括釕Ru化合物(諸如,RuB或RuSi)、鉻、氧化鉻或氮化鉻。
現參看第7圖,使用光阻形成製程270使一光阻層260自側44形成於吸收體層240上。在一些實施例中,光阻形成製程270可包括一旋塗製程。光阻層260可為EUV光阻(例如,對EUV範圍中的輻射敏感)。光阻層260經圖案化至由複數個開口(例如,開口280、281及282)分開的複數個部分。在一些實施例中,光阻層260的圖案化可包括一電子束(E束)曝露製程、後曝露烘烤製程及光阻顯影製程。
現參看第8圖,使用一蝕刻製程300蝕刻吸收體層240,其中經圖案化光阻層260充當蝕刻光罩。換言之,使開口280至282垂直地延伸穿過吸收體層240,直至覆蓋層100的部分由開口280至282曝露。在一些實施例中,蝕刻製程300可包括一干式蝕刻製程。覆蓋層100有效地充當用於蝕刻製程300的一蝕刻終止層。對於覆蓋層具有多晶矽結構的習知EUV微影光罩,歸因於多晶矽具有晶界,蝕刻製程300可損壞覆蓋層。舉例而言,微小裂縫 可出現於多晶覆蓋層的暴露的上表面,或多晶覆蓋層的暴露的部分可具有過度粗糙化的上表面。此等缺陷可不利地影響微影效能,及/或縮短EUV微影光罩的壽命。比較而言,本文中之覆蓋層100經形成以具有一非晶形態結構,此允許其承受蝕刻製程300,而不招致顯著損壞。舉例而言,甚至在蝕刻製程300的執行後,與用於習知EUV微影光罩的多晶覆蓋層相比,覆蓋層100的暴露的部分的上表面可實質上更平且更平滑。
現參看第9圖,執行光阻移除製程320以移除經圖案化光阻層260。在一些實施例中,光阻移除製程320包括光阻剝離或灰化製程。光阻移除製程320亦可損壞在習知EUV微影光罩中實施的多晶覆蓋層。然而,由於本文中之EUV微影光罩18替代地實施非晶形態覆蓋層,因此可在實質上不損壞覆蓋層100的情況下執行光阻移除製程320。
現參看第10圖,執行光阻形成製程350以在EUV微影光罩18上形成另一經圖案化光阻層360。在一些實施例中,光阻形成製程350可包括一旋塗製程。光阻層360可為EUV光阻(例如,對EUV範圍中的輻射敏感)。光阻層360經圖案化至由複數個開口(例如,開口380及381)分開的複數個部分。開口380至381暴露吸收體層240的部分。經圖案化光阻層360亦填充開口280至282。在一些實施例中,光阻層260的圖案化可包括一電子束(E束)曝露製程或雷射束曝露、後曝露烘烤製程及光阻顯影 製程。
現參看第11圖,自側44對EUV微影光罩18執行蝕刻製程400。在蝕刻製程400期間,經圖案化光阻層360充當保護層,以便保護位於其下方的層不被蝕刻。同時,蝕刻製程400蝕刻掉吸收體層240、覆蓋層100、非晶形態層50(在形成非晶形態層50的實施例中)及多層反射性結構34的部分。結果,使開口380至381向下延伸(自側44朝向側42)且穿過吸收體層240、覆蓋層100、非晶形態層50及多層反射性結構34。當到達LTEM基板30時,蝕刻製程400停止,且因此LTEM基板30的部分由開口380至381暴露。
現參看第12圖,執行光阻移除製程420以移除經圖案化光阻層360。在一些實施例中,光阻移除製程420包括光阻剝離或灰化製程。光阻移除製程420可進一步損壞在習知EUV微影光罩中實施的多晶覆蓋層。然而,由於本文中之EUV微影光罩18替代地實施非晶形態覆蓋層,因此可在實質上不損壞覆蓋層100的情況下執行光阻移除製程420。
在已執行光阻移除製程420後,亦可執行一或多個清潔製程以清潔EUV微影光罩18,例如,以移除安置於EUV微影光罩18上的污染粒子。由於覆蓋層100的部分仍由開口280至282暴露,因此在一或多個清潔製程中使用的化學物(例如,含有氧及/或氫的溶液)將滲入至覆蓋層的裂開或變粗糙的表面內,且因此若已使用多晶材料 實施覆蓋層,則進一步損壞覆蓋層。然而,由於本文中之覆蓋層100係使用非晶形態材料實施,因此清潔製程將不會對根據本揭露內容的實施例的覆蓋層100造成損壞。
現參看第13圖,可使用EUV微影光罩18執行一或多個晶圓印刷製程470。換言之,EUV微影光罩18可用作一或多個EUV微影製程中的微影光罩以界定或圖案化半導體晶圓上的各種特徵。晶圓印刷製程470可產生排氣產物,例如,含有碳、氫、氧等的排氣產物。此等排氣產物可與EUV微影光罩18的各種組分(包括覆蓋層100的暴露的表面)接觸。若已使用多晶材料實施覆蓋層100(例如,在習知EUV微影光罩中),則至執行晶圓印刷製程470之時,此等暴露的表面可已遭受廣泛損壞(例如,過大粗糙度或裂縫)。由於此覆蓋層的變粗糙的表面可捕獲排氣產物,因此排氣產物將更易於黏至覆蓋層的暴露的(且損壞的)表面上。排氣產物在損壞的覆蓋層表面上的存在污染了EUV微影光罩且將進一步使微影製程的效能惡化。舉例而言,歸因於黏於覆蓋層表面上的排氣產物,多層反射性結構34的反射率將已不合需要地更改。再次,本揭露內容的EUV微影光罩18不用遭受此問題,此係由於非晶形態覆蓋層100實質上無損壞,且因此由晶圓印刷製程470產生的排氣產物將不變得黏於覆蓋層100的暴露的表面上。因此,本揭露內容避免了EUV微影光罩18的不合需要的污染。
第14圖為根據本揭露內容的各種態樣的執行半導 體製造製程的方法600的流程圖。
方法600包括在基板上形成多層反射性結構的步驟610。在一些實施例中,反射性結構包括多層結構,其用以提供針對預定義的輻射波長的高反射率,例如,高於預定臨限值的反射率。
方法600包括在多層反射性結構上沈積或生長非晶形態層的步驟620。在一些實施例中,沈積或生長非晶形態層包含沈積或生長非晶矽層、非晶形態二氧化矽層或非晶形態氮化矽層。
方法600包括在非晶形態層上沈積或生長覆蓋層的步驟630。在一些實施例中,沈積或生長覆蓋層包含沈積或生長含有釕的非晶形態材料,作為覆蓋層。非晶形態材料可進一步含有:氧、鈮、氮、鉭或鋯。
方法600包括執行EUV微影製程的步驟640。舉例而言,基板、多層反射性結構、非晶形態層及覆蓋層可為極紫外線(extreme ultraviolet;EUV)微影光罩的部分。可使用EUV微影光罩執行EUV微影製程。
應理解,可在方法600的步驟610至640前、期間或後執行額外製程,以完成微影光罩的製造。舉例而言,在沈積或生長非晶形態層前,方法600可包括對多層反射性結構執行電漿處理製程的步驟。在一些實施例中,電漿處理製程包含將Ar電漿、O2電漿或N2電漿施加至多層反射性結構。為了簡單起見,本文中不詳細論述額外步驟。
第15圖為根據本揭露內容的各種態樣的執行半導 體製造製程的方法700的流程圖。
方法700包括在基板上形成多層反射性結構的步驟710。在一些實施例中,反射性結構包括多層結構,其用以提供針對預定義的輻射波長的高反射率,例如,高於預定臨限值的反射率。
方法700包括藉由電漿處理多層反射性結構的步驟720。在一些實施例中,步驟720包含藉由Ar電漿、O2電漿或N2電漿處理多層反射性結構。
方法700包括在多層反射性結構上形成非晶形態覆蓋層的步驟730。可在已處理多層反射性結構後執行步驟730。
方法700包括執行EUV微影製程的步驟740。舉例而言,基板、多層反射性結構及覆蓋層可為極紫外線(extreme ultraviolet;EUV)微影光罩的部分。可使用EUV微影光罩執行EUV微影製程。
應理解,可在方法700的步驟710至740前、期間或後執行額外製程,以完成微影光罩的製造。舉例而言,在步驟720的處理後,但在步驟730的形成非晶形態覆蓋層前,方法700可包括在多層反射性結構上形成第一層的步驟。非晶形態覆蓋層形成於第一層上。在一些實施例中,第一層經形成以具有與非晶形態覆蓋層不同類型的非晶形態材料。為了簡單起見,本文中不詳細論述額外步驟。
綜上所述,本揭露內容形成用於EUV微影光罩的非晶形態覆蓋層。可藉由基板調諧來形成非晶形態覆蓋層, 基板調諧可包含首先在多層反射性結構上形成薄非晶形態層,且接著使用磊晶製程或CVD或PVD製程在彼薄非晶形態層上形成非晶形態覆蓋層。亦可藉由處理多層反射性結構,且接著使用磊晶製程或CVD或PVD製程在多層反射性結構的經處理表面上形成非晶形態覆蓋層來形成非晶形態覆蓋層。
基於以上論述,可看出,本揭露內容的EUV光罩提供較之習知EUV光罩的優勢。然而,應理解,其他實施例可提供額外優勢,且並非所有優勢皆有必要在本文中揭露,且無特定優勢對於所有實施例皆係必需的。一個優勢為,與習知EUV微影光罩相比,本揭露內容的EUV微影光罩可達成覆蓋層的較好薄膜品質。如上論述,習知EUV微影光罩形成多晶覆蓋層,作為執行各種蝕刻及/或清潔製程的結果,其晶界傾向於損壞。損壞的多晶覆蓋層可導致降級的微影效能(例如,差臨界尺寸)。比較而言,本文中的EUV微影光罩形成一非晶形態覆蓋層,其可比多晶覆蓋層更好得多地承受各種蝕刻及/或清潔製程的損壞效應。因此,非晶形態覆蓋層具有改良的薄膜品質,且因此,與習知EUV微影光罩相比,本文中的EUV微影光罩具有改良的微影效能。
此外,因為在晶圓印刷中使用了EUV微影光罩,所以可產生排氣產物。此等排氣產物更易於黏著至習知EUV微影光罩的損壞的多晶覆蓋層的曝露的表面上,此可使微影效能降級。此處,由於非晶形態覆蓋層實質上不會 具有損壞的表面,因此排氣產物不太可能黏於本揭露內容的EUV微影光罩上。因此,微影效能得以改良。
此外,習知EUV微影光罩的損壞的多晶覆蓋層可使習知EUV光罩更快速地出現故障,或至少導致EUV微影光罩惡化至其不再具有令人滿意的效能且應被替換的點。換言之,多晶覆蓋層受損壞的傾向性可縮短習知EUV微影光罩的壽命。比較而言,本文中的非晶形態覆蓋層可實質上延長了EUV微影光罩的壽命。由於替換或修復EUV微影光罩可能成本高,因此本揭露內容可實質上減少了製造成本。
本揭露內容的一個態樣係關於一種光罩裝置。該裝置包括基板。裝置包括安置於基板上的多層反射性結構。裝置包括安置於多層反射性結構上的非晶形態覆蓋層。在本揭露的一些實施方式中,非晶形態覆蓋層含有釕。在本揭露的一些實施方式中,非晶形態覆蓋層進一步含有:氧、鈮、氮、鉭或鋯。在本揭露的一些實施方式中,非晶形態覆蓋層包括Ru、RuO2、RuNb、RuNbO、RuON、RuN、RuNbON、RuTaON、RuZr或RuZrO。在本揭露的一些實施方式中,非晶形態覆蓋層包括:Nb之原子量少於50%的RuNb;或Nb之原子量少於50%的RuNbO。在本揭露的一些實施方式中,裝置進一步包含安置於多層反射性結構與非晶形態覆蓋層之間的非晶形態層。在本揭露的一些實施方式中,非晶形態層包括非晶矽、非晶形態二氧化矽或非晶形態氮化矽。在本揭露的一些實施方式中, 多層反射性結構包括複數對矽與鉬薄膜。在本揭露的一些實施方式中,光罩裝置為極紫外線(EUV)微影光罩。
本揭露內容的另一態樣係關於一種形成光罩的方法。該方法包括在一基板上形成一多層反射性結構。該方法包括在該多層反射性結構上沈積或生長一非晶形態層。該方法包括在該非晶形態層上沈積或生長一覆蓋層。在本揭露的一些實施方式中,基板、多層反射性結構、非晶形態層及該覆蓋層為極紫外線(EUV)微影光罩的部分,且方法進一步包含以下步驟:將EUV微影光罩提供至執行EUV微影製程的實體。在本揭露的一些實施方式中,沈積或生長非晶形態層包含沈積或生長非晶矽層、非晶形態二氧化矽層或非晶形態氮化矽層。在本揭露的一些實施方式中,沈積或生長覆蓋層包含沈積或生長含有釕的非晶形態材料作為覆蓋層。在本揭露的一些實施方式中,非晶形態材料進一步含有:氧、鈮、氮、鉭或鋯。在本揭露的一些實施方式中,方法進一步包含以下步驟:在沈積或生長非晶形態層前,對多層反射性結構執行電漿處理製程。在本揭露的一些實施方式中,電漿處理製程包含施加Ar電漿、O2電漿或N2電漿。
本揭露內容的又一態樣係關於一種形成光罩的方法。該方法包括在一基板上形成一多層反射性結構。該方法包括藉由電漿處理該多層反射性結構。該方法包括:在該處理後,在該多層反射性結構上形成一非晶形態覆蓋層。在本揭露的一些實施方式中,方法進一步包含以下步驟: 在處理後,但在形成非晶形態覆蓋層前,在多層反射性結構上形成第一層,其中非晶形態覆蓋層形成於第一層上。在本揭露的一些實施方式中,第一層經形成以具有與非晶形態覆蓋層不同的類型的非晶形態層。在本揭露的一些實施方式中,處理包含藉由Ar電漿、O2電漿或N2電漿處理多層反射性結構。
前文已概括了若干實施例的特徵,使得熟習此項技術者可更好地理解接著的詳細描述。熟習此項技術者應瞭解,其可易於將本揭露內容用作用於設計或修改其他處理程序及結構以用於實行相同目的及/或達成本文中介紹的實施例的相同優勢的基礎。熟習此項技術者亦應認識到,此等等效構造不脫離本揭露內容的精神及範疇,且在不脫離本揭露內容的精神及範疇的情況下,其可進行各種改變、取代及更改。
18:光罩
30:基板
32:傳導性層
34:多層反射性結構
42,44:側
50:非晶形態層
100:覆蓋層
240:吸收體層
280,281,282,380,381:開口
470:晶圓印刷製程

Claims (9)

  1. 一種光罩裝置,包含:一基板;一多層反射性結構,其安置於該基板上,其中該多層反射性結構藉由一電漿處理;及一非晶形態覆蓋層,其安置於該電漿處理後的該多層反射性結構上。
  2. 如請求項1所述之光罩裝置,進一步包含:安置於該多層反射性結構與該非晶形態覆蓋層之間的一非晶形態層。
  3. 如請求項1所述之光罩裝置,其中該光罩裝置為一極紫外線(EUV)微影光罩。
  4. 一種形成光罩的方法,包含以下步驟:在一基板上形成一多層反射性結構;在該多層反射性結構上沈積或生長一非晶形態層,其中在該沈積或生長該非晶形態層前,對該多層反射性結構執行一電漿處理製程;及在該非晶形態層上沈積或生長一覆蓋層。
  5. 如請求項4所述之方法,其中該沈積或生長該非晶形態層包含沈積或生長一非晶矽層、一非晶形態二 氧化矽層或一非晶形態氮化矽層。
  6. 如請求項4所述之方法,其中該沈積或生長該覆蓋層包含沈積或生長含有釕的一非晶形態材料作為該覆蓋層。
  7. 一種形成光罩的方法,包含以下步驟:在一基板上形成一多層反射性結構;藉由電漿處理該多層反射性結構;及在該處理後,在該多層反射性結構上形成一非晶形態覆蓋層。
  8. 如請求項7所述之方法,進一步包含以下步驟:在該處理後,但在該形成該非晶形態覆蓋層前,在該多層反射性結構上形成一第一層,其中該非晶形態覆蓋層形成於該第一層上。
  9. 如請求項8所述之方法,其中該第一層經形成以具有與該非晶形態覆蓋層不同的一類型的非晶形態層。
TW110101748A 2020-05-11 2021-01-15 光罩裝置及其形成方法 TWI766544B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/872,212 US11442356B2 (en) 2020-05-11 2020-05-11 Lithography mask with an amorphous capping layer
US16/872,212 2020-05-11

Publications (2)

Publication Number Publication Date
TW202142950A TW202142950A (zh) 2021-11-16
TWI766544B true TWI766544B (zh) 2022-06-01

Family

ID=77220121

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110101748A TWI766544B (zh) 2020-05-11 2021-01-15 光罩裝置及其形成方法

Country Status (5)

Country Link
US (1) US11442356B2 (zh)
KR (1) KR102464044B1 (zh)
CN (1) CN113253562A (zh)
DE (1) DE102020114852A1 (zh)
TW (1) TWI766544B (zh)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI730139B (zh) 2016-07-27 2021-06-11 美商應用材料股份有限公司 具多層吸收劑的極紫外遮罩坯料及製造方法
TW202141165A (zh) 2020-03-27 2021-11-01 美商應用材料股份有限公司 極紫外光遮罩吸收材料
TW202202641A (zh) 2020-07-13 2022-01-16 美商應用材料股份有限公司 極紫外線遮罩吸收劑材料
US20220350233A1 (en) * 2021-05-03 2022-11-03 Applied Materials, Inc. Extreme ultraviolet mask absorber materials

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080318140A1 (en) * 2006-06-08 2008-12-25 Asahi Glass Company, Limited Reflective mask blank for euv lithography and substrate with functional film for the same
US20180373138A1 (en) * 2016-06-01 2018-12-27 Taiwan Semiconductor Manufacturing Co., Ltd. High Durability Extreme Ultraviolet Photomask
TW202028495A (zh) * 2018-12-21 2020-08-01 美商應用材料股份有限公司 極紫外線遮罩吸收器及用於製造的方法

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6228512B1 (en) * 1999-05-26 2001-05-08 The Regents Of The University Of California MoRu/Be multilayers for extreme ultraviolet applications
KR20090001664A (ko) 2007-05-09 2009-01-09 박경열 유/무선 통신망을 이용한 스티커 사진 무선 인화서비스시스템
US8841047B2 (en) 2012-04-02 2014-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography process and mask
US8877409B2 (en) 2012-04-20 2014-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Reflective mask and method of making same
US9093530B2 (en) 2012-12-28 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of FinFET
US9612521B2 (en) 2013-03-12 2017-04-04 Applied Materials, Inc. Amorphous layer extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor
US9052595B2 (en) 2013-03-15 2015-06-09 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography process
US8796666B1 (en) 2013-04-26 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with strain buffer layer and methods of forming the same
KR102109129B1 (ko) 2013-07-02 2020-05-08 삼성전자주식회사 반사형 포토마스크 블랭크 및 반사형 포토마스크
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
US9377693B2 (en) 2014-03-13 2016-06-28 Taiwan Semiconductor Manufacturing Company, Ltd. Collector in an extreme ultraviolet lithography system with optimal air curtain protection
US9529268B2 (en) 2014-04-03 2016-12-27 Taiwan Semiconductor Manufacturing Company, Ltd. Systems and methods for improving pattern transfer
US9256123B2 (en) 2014-04-23 2016-02-09 Taiwan Semiconductor Manufacturing Co., Ltd. Method of making an extreme ultraviolet pellicle
US9184054B1 (en) 2014-04-25 2015-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
TWI650607B (zh) 2014-10-21 2019-02-11 日商Agc股份有限公司 Euvl用附反射層之基板及其製造方法、以及euvl用反射型光罩基底及euvl用反射型光罩
US9529250B2 (en) * 2014-10-31 2016-12-27 Taiwan Semiconductor Manufacturing Company, Ltd. EUV mask with ITO absorber to suppress out of band radiation
US10866504B2 (en) * 2017-12-22 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography mask with a black border region and method of fabricating the same
US11360376B2 (en) * 2019-08-29 2022-06-14 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet mask and method for forming the same

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080318140A1 (en) * 2006-06-08 2008-12-25 Asahi Glass Company, Limited Reflective mask blank for euv lithography and substrate with functional film for the same
US20180373138A1 (en) * 2016-06-01 2018-12-27 Taiwan Semiconductor Manufacturing Co., Ltd. High Durability Extreme Ultraviolet Photomask
TW202028495A (zh) * 2018-12-21 2020-08-01 美商應用材料股份有限公司 極紫外線遮罩吸收器及用於製造的方法

Also Published As

Publication number Publication date
DE102020114852A1 (de) 2021-11-11
KR102464044B1 (ko) 2022-11-04
CN113253562A (zh) 2021-08-13
US20210349386A1 (en) 2021-11-11
US11442356B2 (en) 2022-09-13
KR20210138460A (ko) 2021-11-19
TW202142950A (zh) 2021-11-16

Similar Documents

Publication Publication Date Title
TWI766544B (zh) 光罩裝置及其形成方法
CN109960104B (zh) 具有黑色边界区域的光刻掩模及其制造方法
US8785084B2 (en) Method for mask fabrication and repair
TWI720357B (zh) 半導體裝置的製造方法
US9529250B2 (en) EUV mask with ITO absorber to suppress out of band radiation
TW201823849A (zh) 半導體元件的製造方法
CN108227414B (zh) 掩模结构与掩模制程方法
KR101722855B1 (ko) Euv 마스크용 펠리클 및 그 제조
KR20040044508A (ko) 감쇠된 위상 시프팅 반사 마스크를 사용하여 반도체웨이퍼상에 패턴을 형성하기 위한 방법
US11809075B2 (en) EUV lithography mask with a porous reflective multilayer structure
US11960201B2 (en) Method of critical dimension control by oxygen and nitrogen plasma treatment in EUV mask
US11988953B2 (en) EUV masks to prevent carbon contamination
US10126644B2 (en) Pellicle for advanced lithography
US11846881B2 (en) EUV photomask
US20220197131A1 (en) Mask and Reticle Protection with Atomic Layer Deposition (ALD)
US11579539B2 (en) Method and apparatus for improving critical dimension variation
CN110824853B (zh) 遮罩及其制造方法和使用方法
US9152035B2 (en) Lithographic photomask with inclined sides
CN110874008A (zh) 掩模及其制造方法及图案化膜层的方法