TWI759123B - 鐵電記憶體裝置及其形成方法 - Google Patents

鐵電記憶體裝置及其形成方法 Download PDF

Info

Publication number
TWI759123B
TWI759123B TW110107941A TW110107941A TWI759123B TW I759123 B TWI759123 B TW I759123B TW 110107941 A TW110107941 A TW 110107941A TW 110107941 A TW110107941 A TW 110107941A TW I759123 B TWI759123 B TW I759123B
Authority
TW
Taiwan
Prior art keywords
layer
layers
ferroelectric
dielectric
conductive
Prior art date
Application number
TW110107941A
Other languages
English (en)
Other versions
TW202145542A (zh
Inventor
呂俊頡
張志宇
楊柏峰
世海 楊
林佑明
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US17/117,570 external-priority patent/US11729986B2/en
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202145542A publication Critical patent/TW202145542A/zh
Application granted granted Critical
Publication of TWI759123B publication Critical patent/TWI759123B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40111Multistep manufacturing processes for data storage electrodes the electrodes comprising a layer which is used for its ferroelectric properties
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B51/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors
    • H10B51/20Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors characterised by the three-dimensional arrangements, e.g. with cells on different height levels
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/21Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements
    • G11C11/22Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using ferroelectric elements
    • G11C11/223Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using ferroelectric elements using MOS with ferroelectric gate insulating film
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02565Oxide semiconducting materials not being Group 12/16 materials, e.g. ternary compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/24Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only semiconductor materials not provided for in groups H01L29/16, H01L29/18, H01L29/20, H01L29/22
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40117Multistep manufacturing processes for data storage electrodes the electrodes comprising a charge-trapping insulator
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/516Insulating materials associated therewith with at least one ferroelectric layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6684Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a ferroelectric gate insulator
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/78391Field effect transistors with field effect produced by an insulated gate the gate comprising a layer which is used for its ferroelectric properties
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B41/23Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B41/27Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/30Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B51/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors
    • H10B51/30Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors characterised by the memory core region

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Semiconductor Memories (AREA)

Abstract

一種鐵電記憶體裝置包括多層堆疊、通道層、鐵電層以及氧清除層。所述多層堆疊設置於基板上且包括交替堆疊的多個導電層及多個介電層。通道層穿透過所述多個導電層及所述多個介電層。鐵電層設置於所述通道層與所述多個導電層及所述多個介電層兩者之間。氧清除層沿著所述多個導電層的側壁設置。多個氧清除層在側向上將所述鐵電層與所述多個導電層隔開。

Description

鐵電記憶體裝置及其形成方法
本發明實施例是關於鐵電記憶體裝置及其形成方法。
半導體裝置用於各種電子應用中,例如個人電腦、手機、數位相機及其他電子裝備。半導體裝置通常是藉由以下方式製作而成:在半導體基板之上依序沉積絕緣層或介電層、導電層及半導體層,並使用微影及蝕刻技術將各種材料層圖案化以在其上形成電路組件及元件。
半導體行業藉由不斷減小最小特徵尺寸(minimum feature size)來不斷提高各種電子組件(例如電晶體、二極體、電阻器、電容器等)的積體密度,此使得能夠將更多的組件整合至給定區域中。然而,隨著最小特徵尺寸的減小,出現了應解決的附加問題。
根據本揭露的一些實施例,一種鐵電記憶體裝置包括:多層堆疊,設置於基板上且包括交替堆疊的多個導電層及多個介電層;通道層,穿透過所述多個導電層及所述多個介電層;鐵電 層,設置於所述通道層與所述多個導電層及所述多個介電層兩者之間;以及多個氧清除層,沿著所述多個導電層的側壁設置,其中所述多個氧清除層在側向上將所述鐵電層與所述多個導電層隔開。
根據本揭露的替代實施例,一種鐵電記憶體裝置,包括:多層堆疊,設置於基板上且包括交替堆疊的多個閘極電極層及多個介電層;多個介電柱,設置於所述基板上且穿透過所述多層堆疊;氧化物半導體層,包含第一金屬元素且設置於所述多層堆疊與所述多個介電柱中的每一者之間;以及鐵電層,設置於所述氧化物半導體層與所述多層堆疊之間;以及多個氧清除層,包含第二金屬元素,所述多個氧清除層中的一者設置於所述鐵電層與所述多個閘極電極層中的每一者之間。
根據本揭露的又一些替代實施例,形成鐵電記憶體裝置的方法包括以下操作。在基板上形成多層堆疊。所述多層堆疊包括交替堆疊的多個介電層及多個導電層且具有穿透過所述多層堆疊的溝渠。使由所述溝渠的側壁暴露出的導電層凹陷,以在兩個相鄰的介電層之間形成凹槽。在所述多個凹槽內分別形成多個氧清除層。在所述溝渠的所述側壁上形成鐵電層,其中所述鐵電層覆蓋氧清除層的側壁及介電層的側壁。在所述鐵電層上形成通道層。
50:基板
52、52A、52B、52C、52D、52E、324:介電層
53、53A、53B、53C、53D:犧牲層
56、118:光阻
58:多層堆疊
60、62、64、66、R1:區
61、120:開口
70、74:金屬間介電質(IMD)
72:導電線
72A、72B、72C、72D:導電線
80:硬罩幕圖案
82:光阻圖案
86、100、104:溝渠
87:凹槽
88、88a、88b:氧清除層
90、90a、90b:鐵電層
91、93:退火製程
92:通道層
98A、98B:介電材料
102:隔離柱
106、108:導電柱
110、112、114:導電接觸件
116A、116B、116C:導電線
200、200A、200’:鐵電記憶體裝置
202:記憶胞
206:箭頭
302:閘極介電層
304:閘極電極
306:源極/汲極區
308:閘極間隔件
310:第一層間介電質(ILD)
312:第二ILD
314:源極/汲極接觸件
316:閘極接觸件
320:內連結構
322:導電特徵
400、402、404、406、408、410、412:動作
d:非零距離
IL:介面層
M:金屬元素
O:氧元素
TH1、TH2、TH3、TH4:厚度
結合附圖閱讀以下詳細說明,將最佳地理解本揭露的各個態樣。應注意,根據本行業中的標準慣例,各種特徵並非按比 例繪製。事實上,為使論述清晰起見,可任意增大或減小各種特徵的尺寸。
圖1A、圖1B及圖1C示出根據一些實施例的鐵電記憶體裝置的簡化透視圖、電路圖及俯視圖。
圖2、圖3、圖4、圖5、圖6、圖7、圖8、圖9、圖10、圖11、圖12、圖13、圖14、圖15A、圖15B、圖16A、圖16B、圖17A、圖17B、圖18A、圖18B、圖19A、圖19B、圖20A、圖20B、圖21A、圖21B、圖22、圖23、圖24、圖25A、圖25B、圖26A、圖26B、圖27A、圖27B、圖28A、圖28B、圖29A、圖29B、圖30A、圖30B、圖30C、圖30D、圖30E、圖30F、圖30G、圖30H及圖30I示出製造根據一些實施例的鐵電記憶體裝置的不同視圖。
圖31示出形成根據一些實施例的鐵電記憶體裝置的方法。
圖32示出根據一些實施例的鐵電記憶體裝置的簡化透視圖。
圖33示出根據一些實施例的鐵電記憶體裝置的簡化透視圖。
以下揭露內容提供用於實施本發明的不同特徵的許多不同實施例或實例。以下闡述組件及排列的具體實例以簡化本揭露。當然,該些僅為實例且不旨在進行限制。舉例而言,以下說明中將第一特徵形成於第二特徵之上或第二特徵上可包括其中第 一特徵與第二特徵被形成為直接接觸的實施例,且亦可包括其中第一特徵與第二特徵之間可形成有附加特徵進而使得所述第一特徵與所述第二特徵可不直接接觸的實施例。另外,本揭露可能在各種實例中重複使用參考編號及/或字母。此種重複使用是出於簡潔及清晰的目的,而不是自身指示所論述的各種實施例及/或配置之間的關係。
此外,為易於說明,本文中可使用例如「在…之下(beneath)」、「在…下方(below)」、「下部的(lower)」、「在…上方(above)」、「上部的(upper)」等空間相對性用語來闡述圖中所示的一個元件或特徵與另一(其他)元件或特徵的關係。所述空間相對性用語旨在除圖中所繪示的定向外亦囊括裝置在使用或操作中的不同定向。設備可具有其他定向(旋轉90度或處於其他定向),且本文中所使用的空間相對性描述語可同樣相應地進行解釋。
各種實施例提供一種記憶體裝置,例如三維(three dimensional,3D)記憶體裝置。在一些實施例中,3D記憶體陣列是包括多個垂直堆疊的記憶胞的鐵電場效電晶體(ferroelectric field effect transistor,FeFET)記憶體電路。在一些實施例中,每一記憶胞被視為FeFET,所述FeFET包括:字元線區,用作閘極電極;位元線區,用作第一源極/汲極電極;及源極線區,用作第二源極/汲極電極;鐵電材料,作為閘極介電質;及氧化物半導體(oxide semiconductor,OS),作為通道區。在一些實施例中,每一記憶胞被視為電晶體,例如薄膜電晶體(thin film transistor,TFT)。
圖1A、圖1B及圖1C示出根據一些實施例的記憶體陣列的實例。圖1A以部分三維視圖示出簡化的鐵電記憶體裝置200的一部分的實例;圖1B示出鐵電記憶體裝置200的電路圖;且圖1C示出根據一些實施例的鐵電記憶體裝置200的俯視圖。鐵電記憶體裝置200包括多個記憶胞202,所述多個記憶胞202可被排列成列與行的柵格。記憶胞202可進一步垂直堆疊以提供三維記憶體陣列,藉此增大裝置密度。鐵電記憶體裝置200可設置於半導體晶粒的後段製程(back end of line,BEOL)中。舉例而言,記憶體陣列可設置於半導體晶粒的內連層中,例如設置於形成於半導體基板上的一或多個主動裝置(例如電晶體)上方。
在一些實施例中,鐵電記憶體裝置200是快閃記憶體陣列,例如非或(NOR)記憶體陣列或類似記憶體陣列。在一些實施例中,每一記憶胞202的閘極電性耦合至相應的字元線(例如,導電線72),每一記憶胞202的第一源極/汲極區電性耦合至相應的位元線(例如,導電線116B),且每一記憶胞202的第二源極/汲極區電性耦合至相應的源極線(例如,導電線116A),所述源極線將第二源極/汲極區電性耦合至地。鐵電記憶體裝置200的同一水平列中的記憶胞202可共享共用字元線,而鐵電記憶體裝置200的同一垂直行中的記憶胞202可共享共用源極線及共用位元線。
鐵電記憶體裝置200包括多個垂直堆疊的導電線72(例如,字元線)以及設置於導電線72中的相鄰導電線之間的介電層52。導電線72在與下伏基板(圖1A及圖1B中未明確示出)的主表面平行的方向上延伸。導電線72可具有階梯配置,以使得下部 導電線72長於上部導電線72且在側向上延伸超過上部導電線72的端點。舉例而言,在圖1A中,導電線72的多個堆疊層被示出為導電線72中的最頂部導電線是最短的且導電線72中的最底部導電線是最長的。導電線72各自的長度可在朝向下伏基板的方向上增加。以此種方式,可自鐵電記憶體裝置200上方觸及導電線72中的每一者的一部分,且可使多個導電接觸件分別接觸多個導電線72的多個暴露部分。
鐵電記憶體裝置200更包括交替排列的導電柱106(例如,電性連接至位元線)及導電柱108(例如,電性連接至源極線)。導電柱106及108可各自在與導電線72垂直的方向上延伸。介電材料98A/98B設置於相鄰的導電柱106中的一者與導電柱108中的一者之間且將其隔離。
成對的導電柱106及108與交叉的導電線72一起界定每一記憶胞202的邊界,且隔離柱102設置於相鄰的成對的導電柱106與導電柱108之間且將相鄰的成對的導電柱106與導電柱108隔離。在一些實施例中,導電柱108電性耦合至地。儘管圖1A示出導電柱106相對於導電柱108的特定放置,但應理解在其他實施例中可交換導電柱106與導電柱108的放置。
在一些實施例中,鐵電記憶體裝置200亦可包括作為通道層92的氧化物半導體(oxide semiconductor,OS)材料。通道層92可為記憶胞202提供通道區。舉例而言,當藉由對應的導電線72施加適當電壓(例如,高於對應的記憶胞202的相應臨限電壓(Vth))時,通道層92的與導電線72交叉的區可使得電流能夠自導電柱106流動至導電柱108(例如,在由箭頭206指示的方向 上)。
在一些實施例中,在通道層92與導電線72及介電層52中的每一者之間設置有鐵電層90,且鐵電層90可用作每一記憶胞202的閘極介電質。在一些實施例中,鐵電層90包含鐵電材料,例如氧化鉿、氧化鉿鋯、摻雜矽的氧化鉿或類似材料。
可在兩個不同的方向中的一者上將鐵電層90極化,且可藉由在鐵電層90兩端施加適當電壓差並產生適當電場來改變極化方向。極化可相對局部化(例如,一般而言局限在記憶胞202的每一邊界內),且鐵電層90的連續區可跨越多個記憶胞202延伸。依據鐵電層90的特定區的極化方向而定,對應的記憶胞202的臨限電壓發生變化,且可儲存數位值(例如0或1)。舉例而言,當鐵電層90的區具有第一電性極化方向時,對應的記憶胞202可具有相對低的臨限電壓,且當鐵電層90的區具有第二電性極化方向時,對應的記憶胞202可具有相對高的臨限電壓。所述兩個臨限電壓之間的差可被稱為臨限電壓偏移(threshold voltage shift)。較大的臨限電壓偏移使得讀取儲存於對應的記憶胞202中的數位值更容易(例如,不易出錯)。
在一些實施例中,由於在形成記憶體陣列的不同階段中的高溫退火製程或熱製程,通道層(例如,氧化物半導體層)及鐵電層(例如,金屬氧化物層)可具有形成於通道層與鐵電層之間的不期望的介面層(例如,富氧金屬氧化物層)。在一些實施例中,介面層可完全覆蓋鐵電層的面向通道層的表面。此種介面層的品質會具有大量缺陷並影響裝置效能(例如,Vth偏移及較低的耐久性)。介面層中的氧可被設置於鐵電層90(例如,金屬氧化物 層)與每一導電線72(例如,金屬層)之間的氧清除層88吸收。氧清除層88可藉由「直接清除機制(direct scavenging mechanism)」或「遠程清除機制(remote scavenging mechanism)」來吸收介面層中的氧,此將在下面詳細闡述(參見圖30C及圖30D)。因此,可達成無介面層的(interfacial-layer-free)記憶體裝置,且可改善氧化物半導體表面通道品質。
在一些實施例中,氧清除層88可覆蓋導電線72的側壁。在一些此種實施例中,氧清除層88可包括被介電層52彼此垂直隔開的離散段。在一些實施例中,離散段沿著導電線72中的相應導電線72的相對側壁設置。在一些實施例中,鐵電層90可連續且垂直地延伸超過氧清除層88的離散段中的一或多個的上表面及下表面,而氧清除層88的離散段可在側向上延伸超過導電柱106及108中的一或多者的相對側。
在此種實施例中,為對記憶胞202執行寫入操作,在鐵電層90的與記憶胞202對應的一部分的兩端施加寫入電壓。在一些實施例中,例如藉由對對應導電線72(例如,字元線)及對應導電柱106/108(例如,位元線/源極線)施加適當電壓來施加寫入電壓。在此種實施例中,導電線72被配置成用作閘極電極層。藉由在鐵電層90的所述部分的兩端施加寫入電壓,可改變鐵電層90的區的極化方向。因此,對應記憶胞202的對應臨限電壓亦可自低臨限電壓切換至高臨限電壓或者反之,且數位值可被儲存於記憶胞202中。由於導電線72與導電柱106及108交叉,因此可選擇各別記憶胞202來進行寫入操作。
在此種實施例中,為對記憶胞202執行讀取操作,對對 應導電線72(例如,字元線)施加讀取電壓(介於低臨限電壓與高臨限電壓之間的電壓)。依據鐵電層90的對應區的極化方向而定,可接通或可不接通記憶胞202。因此,可藉由或可不藉由導電柱108(例如,耦合至地的源極線)對導電柱106放電,且可確定儲存於記憶胞202中的數位值。由於導電線72與導電柱106及108交叉,因此可選擇各別記憶胞202來進行讀取操作。
圖1A進一步示出在稍後的圖中使用的鐵電記憶體裝置200的參考橫截面。橫截面B-B’是沿著導電線72的縱向軸線且在例如與記憶胞202的電流流動方向平行的方向上。橫截面C-C’垂直於橫截面B-B’且延伸穿過介電材料98A/98B及隔離柱102。橫截面D-D’垂直於橫截面B-B’且延伸穿過介電材料98A/98B及導電柱106。為簡潔起見,後續的圖參考該些參考橫截面。
在圖2中,提供基板50。基板50可為半導體基板(例如塊狀半導體、絕緣體上半導體(semiconductor-on-insulator,SOI)基板或類似基板),所述半導體基板可為經摻雜(例如,摻雜有p型摻雜劑或n型摻雜劑)或未經摻雜的。基板50可以是積體電路晶粒,例如邏輯晶粒、記憶體晶粒、專用積體電路(application specific integrated circuit,ASIC)晶粒或類似晶粒。基板50可以是互補金屬氧化物半導體(complementary metal oxide semiconductor,CMOS)晶粒且可被稱為陣列下CMOS(CMOS under array,CUA)。基板50可以是晶圓,例如矽晶圓。一般而言,5OI基板是形成於絕緣體層上的半導體材料層。舉例而言,絕緣體層可以是隱埋式氧化物(buried oxide,BOX)層、氧化矽層或類似層。絕緣體層設置於基板(通常是矽基板或玻璃基板)上。亦可 使用其他基板,例如多層基板或梯度基板。在一些實施例中,基板50的半導體材料可包括:矽;鍺;化合物半導體,包括碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦及/或銻化銦;合金半導體,包括矽-鍺、磷砷化鎵、砷化鋁銦、砷化鋁鎵、砷化鎵銦、磷化鎵銦及/或磷砷化鎵銦;或其組合。
圖2進一步示出可形成於基板50之上的電路。所述電路包括位於基板50的頂表面處的電晶體。所述電晶體可包括位於基板50的頂表面之上的閘極介電層302及位於閘極介電層302之上的閘極電極304。在閘極介電層302及閘極電極304的相對側上在基板50中設置源極/汲極區306。沿著閘極介電層302的側壁形成閘極間隔件308,且閘極間隔件308將源極/汲極區306與閘極電極304隔開適當的側向距離。所述電晶體可包括鰭場效電晶體(fin field effect transistor,FinFET)、奈米結構(例如,奈米片、奈米導線、全包圍閘極(gate-all-around)或類似結構)FET(nano-FET)、平坦FET、類似電晶體或其組合,且可藉由先閘極製程(gate-first process)或後閘極製程(gate-last process)來形成。
第一層間介電質(inter-layer dielectric,ILD)310環繞源極/汲極區306、閘極介電層302及閘極電極304並將源極/汲極區306、閘極介電層302及閘極電極304隔離,且第二ILD 312位於第一ILD 310之上。源極/汲極接觸件314延伸穿過第二ILD 312及第一ILD 310且電性耦合至源極/汲極區306。閘極接觸件316延伸穿過第二ILD 312且電性耦合至閘極電極304。內連結構320位於第二ILD 312、源極/汲極接觸件314及閘極接觸件316之上。 舉例而言,內連結構320包括堆疊的一或多個介電層324及形成於所述一或多個介電層324中的導電特徵322。內連結構320可電性連接至閘極接觸件316及源極/汲極接觸件314以形成功能電路。在一些實施例中,由內連結構320形成的功能電路可包括邏輯電路、記憶體電路、感測放大器、控制器、輸入/輸出電路、影像感測器電路、類似電路或其組合。儘管圖2論述形成於基板50之上的電晶體,但其他主動裝置(例如,二極體或類似裝置)及/或被動裝置(例如電容器、電阻器或類似裝置)亦可形成為功能電路的一部分。
在圖3中,在圖2的結構之上形成多層堆疊58。出於簡潔及清晰的目的,後續圖式中可省略基板50、電晶體、ILD及內連結構320。儘管多層堆疊58被示出為接觸內連結構320的介電層324,但可在基板50與多層堆疊58之間設置任何數目的中間層。舉例而言,可在基板50與多層堆疊58之間設置包括位於絕緣層(例如,低介電常數介電層)中的導電特徵的一或多個內連層。在一些實施例中,可將導電特徵圖案化以為基板50上的主動裝置及/或為鐵電記憶體裝置200(參見圖1A及圖1B)提供電源線、接地線及/或訊號線。在一些實施例中,包括位於絕緣層(例如,低介電常數介電層)中的導電特徵的一或多個內連層可設置於多層堆疊58之上。
在圖3中,多層堆疊58包括犧牲層53A至犧牲層53D(被統稱為犧牲層53)與介電層52A至介電層52E(被統稱為介電層52)的交替層。可在後續階段中將犧牲層53圖案化並取代以界定導電線72(例如,字元線)。犧牲層53可包含介電材料,例 如氧化矽、氮化矽、氮氧化矽、其組合或類似材料。介電層52可包含絕緣材料,例如氧化矽、氮化矽、氮氧化矽、其組合或類似材料。犧牲層53與介電層52包含具有不同蝕刻選擇性的不同材料。在一些實施例中,犧牲層53包含氮化矽,且介電層52包含氧化矽。可使用例如化學氣相沉積(chemical vapor deposition,CVD)、原子層沉積(atomic layer deposition,ALD)、物理氣相沉積(physical vapor deposition,PVD)、電漿增強型CVD(plasma enhanced CVD,PECVD)或類似製程來形成犧牲層53及介電層52中的每一者。
儘管圖3示出特定數目的犧牲層53及介電層52,但其他實施例可包括不同數目的犧牲層53及介電層52。此外,儘管多層堆疊58被示出為具有作為最頂部層及最底部層的介電層,但本揭露並不限於此。在一些實施例中,多層堆疊58的最頂部層及最底部層中的至少一者是犧牲層。
圖4至圖12是製造根據一些實施例的鐵電記憶體裝置200的階梯結構的中間階段的視圖。沿著圖1A中所示出的參考橫截面B-B’對圖4至圖12加以示出。
在圖4中,在多層堆疊58之上形成光阻56。在一些實施例中,藉由旋轉塗佈技術形成光阻56並藉由可接受的光微影技術將光阻56圖案化。將光阻56圖案化可在區60中暴露出多層堆疊58,同時掩蔽多層堆疊58的其餘部分。舉例而言,可在區60中暴露出多層堆疊58的最頂部層(例如,介電層52E)。
在圖5中,使用光阻56作為罩幕來蝕刻多層堆疊58的在區60中的暴露部分。蝕刻可以是任何可接受的蝕刻製程,例如 乾式蝕刻(例如,反應性離子蝕刻(reactive ion etch,RIE)、中性束蝕刻(neutral beam etch,NBE)、類似蝕刻)、濕式蝕刻、類似蝕刻或其組合。蝕刻可以是非等向性的(anisotropic)。蝕刻可移除介電層52E及犧牲層53D的在區60中的部分並界定開口61。由於介電層52E及犧牲層53D具有不同的材料組成,因此用於移除該些層的暴露部分的蝕刻劑可不同。在一些實施例中,當蝕刻介電層52E時犧牲層53D用作蝕刻停止層,且當蝕刻犧牲層53D時介電層52D用作蝕刻停止層。因此,可選擇性地移除介電層52E的部分及犧牲層53D的部分而不移除多層堆疊58的其餘層,且開口61可延伸至所期望的深度。作為另外一種選擇,在開口61達到所期望的深度之後,可使用時間模式蝕刻製程停止對開口61的蝕刻。在所得結構中,介電層52D在區60中被暴露出。
在圖6中,對光阻56進行修整以暴露出多層堆疊58的附加部分。在一些實施例中,藉由使用可接受的移除技術(例如側向蝕刻)來對光阻56進行修整。由於修整,光阻56的寬度減小且可暴露出多層堆疊58的在區60及區62中的部分。舉例而言,可在區60中暴露出介電層52D的頂表面,且可在區62中暴露出介電層52E的頂表面。
在圖7中,藉由可接受的蝕刻製程使用光阻56作為罩幕來移除介電層52E的、犧牲層53D的、介電層52D的及犧牲層53C的在區60及區62中的部分。蝕刻可以是任何可接受的蝕刻製程,例如乾式蝕刻(例如RIE、NBE、類似製程)、濕式蝕刻、類似製程或其組合。蝕刻可以是非等向性的。蝕刻可使開口61進一步延伸至多層堆疊58中。由於犧牲層53D及53C與介電層521E 及52D具有不同的材料组成,因此用於移除該些層的暴露部分的蝕刻劑可不同。在一些實施例中,藉由使用光阻56作為罩幕及使用下伏的犧牲層53D及53C作為蝕刻停止層來移除介電層52E及52D的在區62及60中的部分。此後,藉由使用光阻56作為罩幕及使用下伏的介電層52D及52C作為蝕刻停止層來移除犧牲層53D及53C的在區62及60中的暴露部分。在所得結構中,介電層52C在區60中被暴露出,且介電層52D在區62中被暴露出。
在圖8中,對光阻56進行修整以暴露出多層堆疊58的附加部分。在一些實施例中,藉由使用可接受的移除技術(例如側向蝕刻)對光阻56進行修整。由於修整,光阻56的寬度減小且可暴露出多層堆疊58的在區60、區62及區64中的部分。舉例而言,可在區60中暴露出介電層52C的頂表面;可在區62中暴露出介電層52D的頂表面;且可在區64中暴露出介電層52E的頂表面。
在圖9中,藉由可接受的蝕刻製程使用光阻56作為罩幕來移除介電層52E、52D及52C以及犧牲層53D、53C及53B的在區60、區62及區64中的部分。蝕刻可以是任何可接受的蝕刻製程,例如乾式蝕刻(例如RIE、NBE、類似蝕刻)、濕式蝕刻、類似蝕刻或其組合。蝕刻可以是非等向性的。蝕刻可使開口61進一步延伸至多層堆疊58中。由於介電層52C至介電層52E及犧牲層53B至犧牲層53D具有不同的材料組成,因此用於移除該些層的暴露部分的蝕刻劑可不同。在一些實施例中,藉由使用光阻56作為罩幕及使用下伏的犧牲層53D、53C及53B作為蝕刻停止層來移除介電層52E、52D及52C的在區64、62及60中的部分。 此後,藉由使用光阻56作為罩幕及使用下伏的介電層52D、52C及52B作為蝕刻停止層來移除犧牲層53D、53C及53B的在區64、62及60中的暴露部分。在所得結構中,介電層52B在區60中被暴露出;介電層52C在區62中被暴露出;且介電層52D在區64中被暴露出。
在圖10中,對光阻56進行修整以暴露出多層堆疊58的附加部分。在一些實施例中,藉由使用可接受的移除技術(例如側向蝕刻)來對光阻56進行修整。由於修整,光阻56的寬度減小且可暴露出多層堆疊58的在區60、區62、區64及區66中的部分。舉例而言,可在區60中暴露出介電層52B的頂表面;可在區62中暴露出介電層52C的頂表面;且可在區64中暴露出介電層52D的頂表面;且可在區66中暴露出介電層52E的頂表面。
在圖11中,藉由可接受的蝕刻製程使用光阻56作為罩幕來移除介電層52E、52D、52C及52B的在區60、區62、區64及區66中的部分。蝕刻可以是任何可接受的蝕刻製程,例如乾式蝕刻(例如RIE、NBE、類似蝕刻)、濕式蝕刻、類似蝕刻或其組合。蝕刻可以是非等向性的。蝕刻可使開口61進一步延伸至多層堆疊58中。在一些實施例中,藉由使用光阻56作為罩幕及使用下伏的犧牲層53D、53C、53B及53A作為蝕刻停止層來移除介電層52E、52D、52C及52B的在區66、64、62及60中的部分。在所得結構中,犧牲層53A在區60中被暴露出;犧牲層53B在區62中被暴露出;犧牲層53C在區64中被暴露出;且犧牲層53D在區66中被暴露出。此後,可藉由可接受的灰化製程或濕式剝離製程移除光阻56。
在圖12中,在多層堆疊58之上沉積金屬間介電質(inter-metal dielectric,IMD)70。IMD 70可由介電材料形成且可藉由任何適合的方法(例如CVD、PECVD、可流動CVD(flowable CVD,FCVD)或類似製程)來沉積。介電材料可包括磷矽酸鹽玻璃(phospho-silicate glass,PSG)、硼矽酸鹽玻璃(boro-silicate glass,BSG)、摻雜硼的磷矽酸鹽玻璃(boron-doped phospho-silicate glass,BPSG)、未經摻雜的矽酸鹽玻璃(undoped silicate glass,USG)或類似材料。在一些實施例中,IMD 70可包含氧化物(例如,氧化矽或類似材料)、氮化物(例如,氮化矽或類似材料)、其組合或類似材料。可使用藉由任何可接受的製程形成的其他介電材料。IMD 70沿著犧牲層53B至犧牲層53D的側壁及介電層52B至介電層52E的側壁延伸。此外,IMD 70可接觸犧牲層53A至犧牲層53D的頂表面及介電層52E的頂表面。
此後,對IMD 70應用移除製程以移除在多層堆疊58之上的多餘介電材料。在一些實施例中,移除製程可以是平坦化製程,例如化學機械拋光(chemical mechanical polish,CMP)、回蝕製程、其組合或類似製程。平坦化製程暴露出多層堆疊58,以使得在平坦化製程完成之後多層堆疊58的頂表面與IMD 70的頂表面處於同一水平高度。
如圖12中所示,因此形成中間且塊狀的階梯結構。中間階梯結構包括犧牲層53與介電層52的交替層。隨後使用導電線72取代犧牲層53,此將在圖16A及圖16B中加以闡述。下部導電線72較長且在側向上延伸超過上部導電線72,且導電線72中的每一者的寬度在朝向基板50的方向上增大(參見圖1A)。
圖13至圖16B是製造根據一些實施例的鐵電記憶體裝置200的記憶體區的中間階段的視圖。在圖13至圖16B中,將塊狀多層堆疊58圖案化以形成穿過塊狀多層堆疊58的溝渠86,且使用導電材料取代犧牲層53以界定導電線72。導電線72可對應於鐵電記憶體裝置200中的字元線,且導電線72可進一步為鐵電記憶體裝置200的所得記憶胞提供閘極電極。沿著圖1A中所示出的參考橫截面C-C’示出圖13、圖14、圖15B及圖16B。以部分三維視圖示出圖15A及圖16A。
在圖13中,在多層堆疊58之上形成光阻圖案82及下伏的硬罩幕圖案80。在一些實施例中,在多層堆疊58之上依序形成硬罩幕層及光阻層。舉例而言,硬罩幕層可包含氮化矽、氮氧化矽或類似材料,所述硬罩幕層可藉由CVD、PVD、ALD、PECVD或類似製程來沉積。舉例而言,藉由旋轉塗佈技術形成光阻層。
此後,將光阻層圖案化以形成光阻圖案82及位於光阻圖案82之間的溝渠86。舉例而言,藉由可接受的光微影技術將光阻圖案化。然後,藉由使用可接受的蝕刻製程(例如,藉由乾式蝕刻(例如RIE、NBE、類似蝕刻)、濕式蝕刻、類似蝕刻或其組合)將光阻圖案82的圖案轉移至硬罩幕層以形成硬罩幕圖案80。蝕刻可以是非等向性的。因此,溝渠86被形成為延伸穿過硬罩幕層。此後,可例如藉由灰化製程可選地移除光阻圖案82。
在圖14至圖15B中,使用一或多種可接受的蝕刻製程(例如藉由乾式蝕刻(例如RIE、NBE、類似蝕刻)、濕式蝕刻、類似蝕刻或其組合)將硬罩幕圖案80的圖案轉移至多層堆疊58。蝕刻製程可以是非等向性的。因此,溝渠86延伸穿過塊狀多層堆 疊58,且因此界定條形的犧牲層53及條形的介電層52。在一些實施例中,溝渠86延伸穿過塊狀階梯結構,且因此界定條形階梯結構。然後,可藉由可接受的製程(例如,濕式蝕刻製程、乾式蝕刻製程、平坦化製程、其組合、或類似製程)移除硬罩幕圖案80。
在圖15至圖16B中,使用導電線72A至導電線72D(被統稱為導電線72)取代犧牲層53A至犧牲層53D(被統稱為犧牲層53)。在一些實施例中,藉由可接受的製程(例如濕式蝕刻製程、乾式蝕刻製程或該兩者)移除犧牲層53。此後,將導電線72填充至相鄰介電層52之間的空間中。在一些實施例中,導電線72中的每一者由單一材料製成。舉例而言,導電線72包含金屬氮化物,例如氮化鈦(TiN)、氮化鉭(TaN)、氮化鉬、氮化鋯、氮化鉿或類似材料。在其他實施例中,導電線72中的每一者包含不同的材料。舉例而言,每一導電線72包括兩個襯層(例如,Ti、TiN、Ta、TaN等)及位於襯層之間的金屬層(例如,W、Ru、Co、Cu、Al、Ni、Au、Ag等)之間,且襯層中的一者位於金屬層與相鄰介電層之間。在一些實施例中,導電材料形成於多層堆疊58的側壁上、位於相鄰介電層52之間的水平開口中,並填充在溝渠86中。導電材料可藉由可接受的沉積製程(例如CVD、PVD、ALD、PECVD或類似製程)形成。此後,藉由回蝕製程移除溝渠86中的導電材料。可執行可接受的回蝕製程,以自介電層52的側壁及溝渠86的底表面移除多餘的材料。可接受的回蝕製程包括乾式蝕刻(例如RIE、NBE、類似蝕刻)、濕式蝕刻、類似蝕刻或其組合。可接受的回蝕製程可為非等向性的。
在一些實施例中,在取代製程之後,隨後使用導電線72(參見圖1A)取代條形階梯結構的犧牲層53。
圖17A至圖19B示出在多層堆疊58的導電線72的側壁上形成氧清除層88。以部分三維視圖示出圖17A、圖18A及圖19A。在圖17B、圖18B及圖19B中,沿著圖1A的線C-C’提供橫截面圖。
在圖17A及圖17B中,使多層堆疊58的導電線72凹陷,以使得在兩個相鄰介電層52之間形成凹槽87。凹槽87連接至對應溝渠86(例如,與對應溝渠86進行空間連通)。具體而言,使導電線72的端部相對於介電層52的由溝渠86暴露出的端部凹陷約1奈米至10奈米。在一些實施例中,藉由使用可接受的移除技術(例如側向蝕刻)來對多層堆疊58的導電線72進行修整。蝕刻可包括乾式蝕刻(例如RIE、NBE、類似蝕刻)、濕式蝕刻、類似蝕刻或其組合。蝕刻可以是非等向性的。在一些實施例中,凹陷製程包括含有CF4、O2、Ar或其組合的蝕刻氣體、約100標準毫升每分鐘(sccm)至1,000標準毫升每分鐘的氣流、50瓦至500瓦的功率以及約0.1托至700托的室壓。在凹陷製程之後,多層堆疊58具有彎曲側壁。具體而言,介電層52的端部自剩餘導電線72的端部突出。
在圖18A及圖19B中,在凹槽87中的每一者內形成氧清除層88。此處,本揭露的氧清除層被配置成防止氧化物半導體通道層與鐵電層之間產生不期望的介面層。在一些實例中,本揭露的氧清除層亦被稱為「吸氧層(oxygen gettering layer)」、「氧捕獲層(oxygen trapping layer)」或「氧吸收層(oxygen absorbing layer)」。氧清除層88可自不期望的介面層吸收氧,並因此藉由「直接清除機制」或「遠程清除機制」減少不期望的介面層中的不需要的氧,此將在下面詳細描述(參見圖30C及圖30D)。在一些實施例中,在直接清除機制中,氧清除層88包含Hf、La、Al或其組合。在其他實施例中,在遠程清除機制中,氧清除層88包含TiSiN(TSN)、TiAl、Ti或其組合。
在一些實施例中,在多層堆疊58的頂部及側壁上共形且連續地形成氧清除層88。具體而言,可沿著導電線72的側壁及介電層52的側壁在溝渠86中共形地沉積氧清除層88,且氧清除層88沿著介電層52E的頂表面及沿著溝渠86的底表面填充在凹槽87中。在一些實施例中,形成氧清除層88的方法包括執行合適的沉積技術,例如CVD、PVD、ALD、PECVD或類似技術。
在一些實施例中,氧清除層88具有約1奈米至10奈米的厚度。可應用其他厚度範圍(例如,大於10奈米)。在一些實施例中,氧清除層88是單層。在替代實施例中,氧清除層88具有多層結構。舉例而言,氧清除層88包括與對應的導電線72接觸的內部氧清除層(例如,Hf、La、Al)及內部氧清除層之外的外部氧清除層(例如,TiSiN、TiAl、Ti)。多層結構可能夠經由不同的方式(例如,藉由將氧直接清除至氧清除層88中及藉由將氧間接清除至相鄰層中)清除氧,且藉此可增加氧清除。
在圖19A及圖19B中,對連續氧清除層88執行回蝕製程。可執行可接受的回蝕製程以自介電層52的側壁及/或溝渠86的底表面移除多餘材料。可接受的回蝕製程包括乾式蝕刻(例如RIE、NBE、類似蝕刻)、濕式蝕刻、類似蝕刻或其組合。蝕刻可 以是非等向性的。在一些實施例中,回蝕製程包括含有CF4、O2、Ar或其組合的蝕刻氣體、約100標準毫升每分鐘至1,000標準毫升每分鐘的氣流、50瓦至500瓦的功率以及約0.1托至700托的室壓。
在回蝕製程之後,圖18B的連續的氧清除層被分割成圖19B中的多個分開的(separate)或離散的(discrete)氧清除層88。分開的氧清除層88分別嵌置在凹槽87中。在一些實施例中,分開的氧清除層88被稱為不連續的氧清除層,且氧清除層的部分分別嵌置在凹槽87中。在一些實施例中,如圖19B的左上方上的局部放大圖中所示,每一氧清除層88a的側壁與相鄰介電層52的側壁實質上處於同一水平高度。在一些實施例中,如圖19B的右上方的局部放大圖中所示,每一氧清除層88b的側壁自相鄰介電層52的側壁輕微凹陷非零距離d。舉例而言,非零距離d介於約1奈米至5奈米的範圍內。在一些附加實施例(未示出)中,氧清除層88沿著分開的氧清除層88中的相應者的頂表面及底表面(例如,沿著分開的氧清除層88的接觸介電層52的表面)的厚度可大於在垂直方向上頂表面與底表面之間的厚度。
圖20A至圖25B示出在溝渠86中形成記憶胞202(參見圖1A)的通道區並將所述通道區圖案化。以部分三維視圖示出圖20A、圖21A及圖25A。在圖20B、圖21B、圖22、圖23、圖24及圖25B中,沿著圖1A的線C-C’提供橫截面圖。
在一些實施例中,進一步在IMD 70上及沿著每一條形階梯結構的側壁形成圖18B的氧清除層,且進一步對階梯區中的氧清除層執行圖19B的回蝕製程。因此,階梯結構的導電台階中 的每一者包括導電線72及位於導電線72旁邊的兩個氧清除層88,如圖1A中所示。
在圖20A至圖23中,在溝渠86中沉積鐵電層90、通道層92及介電材料98A。
在圖20A及圖20B中,可沿著介電層52的側壁及氧清除層88的側壁、沿著介電層52E的頂表面以及沿著溝渠86的底表面在溝渠86中共形地沉積鐵電層90。在一些實施例中,可進一步在IMD 70上及沿著階梯區中的階梯結構的每一台階的側壁沉積鐵電層90。鐵電層90可包含藉由在鐵電層90兩端施加適當電壓差而能夠在兩個不同的極化方向之間進行切換的材料。舉例而言,鐵電層90包含高介電常數介電材料,例如鉿(Hf)系介電材料或類似材料。在一些實施例中,鐵電層90包含氧化鉿、氧化鉿鋯、摻雜矽的氧化鉿或類似材料。
在一些實施例中,鐵電層90可包含:氧化鋇鈦(BaTiO3)、氧化鉛鈦(PbTiO3)、氧化鉛鋯(PbZrO3)、氧化鋰鈮(LiNbO3)、氧化鈉鈮(NaNbO3)、氧化鉀鈮(KNbO3)、氧化鉀鉭(KTaO3)、氧化鉍鈧(BiScO3)、氧化鉍鐵(BiFeO3)、氧化鉿鉺(Hf1-xErxO)、氧化鉿鑭(Hf1-xLaxO)、氧化鉿釔(Hf1-xYxO)、氧化鉿釓(Hf1-xGdxO)、氧化鉿鋁(Hf1-xAlxO)、氧化鉿鋯(Hf1-xZrxO)(HZO)、氧化鉿鈦(Hf1-xTixO)、氧化鉿鉭(Hf1-xTaxO)或類似材料。具體而言,鐵電層90包含HfZrO、HfAlO、HfLaO、HfCeO、HfO、HfGdO、HfSiO或其組合。在一些實施例中,形成鐵電層90的方法包括執行合適的沉積技術,例如CVD、PECVD、金屬氧化物化學氣相沉積(metal oxide chemical vapor deposition, MOCVD)、ALD、遠程電漿ALD(remote plasma ALD,RPALD)、電漿增強型ALD(plasma-enhanced ALD,PEALD)、分子束沉積(molecular beam deposition,MBD)或類似技術。
在一些實施例中,鐵電層90具有約1奈米至20奈米(例如5奈米至10奈米)的厚度。可應用其他厚度範圍(例如,大於20奈米或5奈米至15奈米)。在一些實施例中,鐵電層90對氧清除層88的厚度比率介於約1:1至20:1的範圍內。在一些實施例中,鐵電層90形成為完全非晶狀態。在替代實施例中,鐵電層90形成為部分晶體狀態;即,鐵電層90形成為混合的晶體-非晶狀態且具有某種程度的結構次序。在又一些替代實施例中,鐵電層90形成為完全晶體狀態。在一些實施例中,鐵電層90是單層。在替代實施例中,鐵電層90具有多層結構。
在一些實施例中,如圖20B的左上方上的局部放大圖中所示,鐵電層90a共形地形成於多層堆疊58的側壁上且因此具有實質上平滑的側壁輪廓。在一些實施例中,鐵電層90a的靠近氧清除層88a及遠離氧清除層88a的相對表面是實質上筆直的,如圖20B的左上方上的局部放大圖中所示。
在一些實施例中,如圖20B的右上方上的局部放大圖中所示,鐵電層90b共形地形成於多層堆疊58的側壁上且因此具有不平整且波浪形的側壁輪廓。在一些實施例中,鐵電層90b的靠近氧清除層88b及遠離氧清除層88b的相對表面是不平整且波浪形的,如圖20B的右上方上的局部放大圖中所示。在一些實施例中(未示出),鐵電層90b的靠近氧清除層88b的表面是波浪形的,而鐵電層90b的遠離氧清除層88b的表面是實質上筆直的。
此後,對鐵電層90執行退火製程91。在包含N2、H2、Ar或其組合的環境中,退火製程91的溫度範圍介於約350℃至約450℃(例如,400℃)的範圍內,以達成鐵電層90的所期望晶格結構。在一些實施例中,在退火製程91之後,鐵電層90自非晶狀態轉變為部分晶體狀態或完全晶體狀態。在替代實施例中,在退火之後,鐵電層90自部分晶體狀態轉變為完全晶體狀態。
在圖21A及圖21B中,在溝渠86中在鐵電層90之上共形地沉積通道層92。通道層92包含適合於為記憶胞202(參見圖1A)提供通道區的材料。舉例而言,通道層92包含氧化物半導體(OS),例如氧化鋅(ZnO)、氧化銦鎢(InWO)、氧化銦鎵鋅(InGaZnO,IGZO)、氧化銦鋅(InZnO)、氧化銦錫(ITO)、其組合或類似材料。可藉由CVD、PVD、ALD、PECVD或類似製程沉積通道層92。通道層92可在鐵電層90之上沿著溝渠86的側壁及底表面延伸。在一些實施例中,可進一步在IMD 70上及沿著階梯區中的階梯結構的每一台階的側壁沉積通道層92。
在沉積通道層92之後,對通道層92執行退火製程93。在一些實施例中,在與氧氣相關的周圍環境中在約300℃至約450℃的溫度下執行退火製程93,以激活通道層92的電荷載流子。
在圖22中,在通道層92之上在溝渠86中沉積介電材料98A。在一些實施例中,介電材料98A包括氧化矽、氮化矽、氮氧化矽或類似材料,介電材料98A可藉由CVD、PVD、ALD、PECVD或類似材料來沉積。介電材料98A可沿著溝渠86的側壁及底表面在通道層92之上延伸。在一些實施例中,介電材料98A是可選的且可視需要省略。
在圖23中,在溝渠86中移除介電材料98A的底部部分及通道層92的底部部分。移除製程包括可接受的蝕刻製程,例如乾式蝕刻(例如RIE、NBE、類似蝕刻)、濕式蝕刻、類似蝕刻或其組合。蝕刻可以是非等向性的。在一些實施例中,自多層堆疊58移除介電材料98A的頂部部分及通道層92的頂部部分。在一些實施例中,移除製程包括光微影與蝕刻的組合。
因此,剩餘的介電材料98A及通道層92可暴露出鐵電層90在溝渠86的底表面上的部分。因此,通道層92在溝渠86的相對側壁上的部分可彼此隔開,此會改善鐵電記憶體裝置200的記憶胞202(參見圖1A)之間的隔離。
在圖24中,沉積介電材料98B以完全填充溝渠86。介電材料98B可由一或多種材料且藉由與介電材料98A的製程相同或相似的製程形成。在一些實施例中,介電材料98A與介電材料98B可藉由相同的製程(例如,單一製程)形成。在其他實施例中,介電材料98A及介電材料98B可藉由分開的製程形成。在一些實施例中,介電材料98B及介電材料98A包括不同的材料。在一些實施例中,介電材料98B及介電材料98A包括一或多種相同的材料。
在圖25A及圖25B中,對介電材料98A/98B、通道層92及鐵電層90應用移除製程以移除多層堆疊58之上的多餘材料。在一些實施例中,可利用平坦化製程(例如CMP、回蝕製程、其組合或類似製程)。平坦化製程暴露出多層堆疊58,以使得在平坦化製程完成之後多層堆疊58(例如,介電層52E)的頂表面、鐵電層90的頂表面、通道層92的頂表面、介電材料98A/98B的 頂表面及IMD 70的頂表面處於同一水平高度。
圖26A至圖29B示出製造鐵電記憶體裝置200中的導電柱106及108(例如,源極/汲極柱)的中間階段。導電柱106及108可沿著與導電線72垂直的方向延伸,以使得可選擇鐵電記憶體裝置200的各別胞元來進行讀取及寫入操作。以部分三維視圖示出圖26A、圖27A、圖28A及圖29A。在圖26B及圖27B中,沿著圖1A的線C-C’提供橫截面圖。在圖28B及圖29B中,沿著圖1A的線D-D’提供橫截面圖。
在圖26A及圖26B中,穿過通道層92及介電材料98A/98B圖案化出溝渠100。舉例而言,圖案化溝渠100可藉由光微影與蝕刻的組合來執行。溝渠100可設置於鐵電層90的相對側壁之間,且溝渠100可在實體上將鐵電記憶體裝置200(參見圖1A)中的相鄰記憶胞堆疊隔開。
在圖27A及圖27B中,在溝渠100中形成隔離柱102。在一些實施例中,在多層堆疊58之上沉積隔離層,藉此填充在溝渠100中。舉例而言,隔離層可包含氧化矽、氮化矽、氮氧化矽或類似材料,所述隔離層可藉由CVD、PVD、ALD、PECVD或類似製程來沉積。隔離層可在通道層92之上沿著溝渠100的側壁及底表面延伸。在沉積之後,可執行平坦化製程(例如,CMP、回蝕或類似製程)以移除隔離層的多餘部分。在所得結構中,多層堆疊58的頂表面(例如,介電層52E)、鐵電層90、通道層92及隔離柱102可實質上處於同一水平高度(例如,處於製程變化內)。在一些實施例中,介電材料98A/98B的材料及隔離柱102的材料可被選擇成使得該些材料可相對於彼此被選擇性地蝕刻。舉例而 言,在一些實施例中,介電材料98A/98B包含氧化物而隔離柱102包含氮化物。在一些實施例中,介電材料98A/98B包含氮化物而隔離柱102包含氧化物。其他材料亦是可能的。
在圖28A及圖28B中,為隨後形成的導電柱106及108界定溝渠104。舉例而言,使用光微影與蝕刻的組合將介電材料98A/98B圖案化來形成溝渠104。在一些實施例中,如圖28A中所示,在多層堆疊58、介電材料98A/98B、隔離柱102、通道層92及鐵電層90之上形成光阻118。在一些實施例中,藉由可接受的光微影技術將光阻118圖案化以界定開口120。開口120中的每一者可暴露出對應的隔離柱102及位於隔離柱102旁邊的介電材料98A/98B的兩個分離區。如此一來,開口120中的每一者可界定被隔離柱102隔開的導電柱106與相鄰導電柱108的圖案。
隨後,可藉由可接受的蝕刻製程(例如藉由乾式蝕刻(例如RIE、NBE、類似蝕刻)、濕式蝕刻、類似蝕刻或其組合)移除介電材料98A/98B的被開口120暴露出的部分。蝕刻可以是非等向性的。蝕刻製程可使用能蝕刻介電材料98A/98B但不會明顯地蝕刻隔離柱102的蝕刻劑。因此,即使開口120暴露出隔離柱102,仍可不明顯地移除隔離柱102。溝渠104的圖案可對應於導電柱106及108(參見圖29A及圖29B)。在將溝渠104圖案化之後,例如可藉由灰化移除光阻118。
在圖29A及圖29B中,使用導電材料填充溝渠104以形成導電柱106及108。所述導電材料可包括銅、鈦、氮化鈦、鉭、氮化鉭、鎢、釕、鋁、其組合或類似材料,所述導電材料可例如使用CVD、ALD、PVD、PECVD、或類似製程來形成。在沉積導 電材料之後,可執行平坦化(例如,CMP、回蝕或類似製程)以移除導電材料的多餘部分,藉此形成導電柱106及108。在所得結構中,多層堆疊58的頂表面(例如,介電層52E)、鐵電層90、通道層92、導電柱106及導電柱108可實質上處於同一水平高度(例如,處於製程變化內)。在一些實施例中,導電柱106對應於記憶體陣列中的位元線且電性連接至記憶體陣列中的位元線,且導電柱108對應於鐵電記憶體裝置200中的源極線且電性連接至鐵電記憶體裝置200中的源極線。
因此,堆疊的記憶胞202可形成於鐵電記憶體裝置200中,如圖29A中所示。每一記憶胞202包括閘極電極(例如,對應的導電線72的一部分)、閘極介電質(例如,對應的鐵電層90的一部分)、通道區(例如,對應的通道層92的一部分)及源極/汲極柱(例如,對應的導電柱106及108的部分)。隔離柱102將位於同一行中且位於同一垂直水平高度的相鄰的記憶胞202隔離。記憶胞202可被設置成垂直堆疊的列與行的陣列。
在圖30A、圖30B、圖30G、圖30H及圖30I中,在多層堆疊58的頂表面(例如,介電層52E)、鐵電層90、通道層92、導電柱106及導電柱108以及IMD 70上形成IMD 74。使導電接觸件110、112及114分別位於導電線72、導電柱106及導電柱108上。圖30A示出鐵電記憶體裝置200的透視圖。圖30B示出裝置的沿著圖1A的線D-D’的橫截面圖。圖30C至圖30F示出圖30B的區R1中的不同局部放大圖。圖30G示出鐵電記憶體裝置200的俯視圖。圖30H示出沿著圖30A的線E-E’的橫截面圖。圖30I示出裝置的沿著圖1A的線B-B’的橫截面圖。
IMD 74可由介電材料形成,且可藉由任何適合的方法(例如CVD、PECVD、可流動CVD(FCVD)或類似製程)來沉積。介電材料可包括磷矽酸鹽玻璃(PSG)、硼矽酸鹽玻璃(BSG)、摻雜硼的磷矽酸鹽玻璃(BPSG)、未經摻雜的矽酸鹽玻璃(USG)或類似材料。在一些實施例中,IMD 74可包含氧化物(例如,氧化矽或類似材料)、氮化物(例如,氮化矽或類似材料)、其組合或類似材料。可使用藉由任何可接受的製程形成的其他介電材料。此後,對IMD 74應用移除製程以移除多層堆疊58之上的多餘介電材料。在一些實施例中,移除製程可以是平坦化製程,例如化學機械拋光(CMP)、回蝕製程、其組合或類似製程。
在一些實施例中,導電線72的階梯形狀可在導電線72中的每一者上提供導電接觸件110著落在上面的表面。在一些實施例中,形成導電接觸件110可包括例如使用光微影與蝕刻的組合在IMD 74及IMD 70中圖案化出開口以暴露出導電線72的部分。在開口中形成例如擴散障壁層、黏合層或類似層等襯層(未示出)及導電材料。襯層可包含鈦、氮化鈦、鉭、氮化鉭或類似材料。導電材料可包括銅、銅合金、銀、金、鎢、鈷、鋁、鎳或類似材料。可執行平坦化製程(例如CMP)以自IMD 74的表面移除多餘材料。剩餘的襯層及導電材料在開口中形成導電接觸件110。
亦如圖30A的透視圖所示,亦可使導電接觸件112及114分別位於導電柱106及導電柱108上。導電接觸件112、114及110可分別電性連接至導電線116A、116B及116C,此會將記憶體陣列連接至下伏/上覆的電路系統(例如,控制電路系統)及/ 或半導體晶粒中的訊號線、電源線及接地線。舉例而言,如圖30H中所示,導電接觸件110可延伸穿過IMD 74及IMD 70以將導電線116C電性連接至導電線72及基板上的下伏主動裝置。可穿過IMD 74形成其他導電接觸件或通孔,以將導電線116A及116B電性連接至基板上的下伏主動裝置。其他導電接觸件或通孔可延伸穿過鐵電記憶體裝置200,例如穿過隔離柱102及鐵電層90,且此種導電接觸件或通孔可電性耦合至下伏的導電特徵,例如內連結構320的導電特徵322。在替代實施例中,除了內連結構320之外或代替內連結構320,亦可藉由形成於鐵電記憶體裝置200之上的內連結構提供往來於記憶體陣列的佈線及/或電源線。因此,可完成鐵電記憶體裝置200。
圖30C至圖30D示出圖30B的區R1的局部放大圖,以闡述氧清除層88相對於相鄰元件的關係。
在一些實施例中,如圖30C中所示,當氧清除層88包含Hf、La、Al或其組合時,氧清除層88可藉由「直接清除機制」自不期望的介面層吸收氧。具體而言,氧清除層88中的金屬元素M與鐵電層90和通道層92之間的介面處的氧元素O在高介電常數鐵電層90中進行組合/反應。換言之,鐵電層90與通道層92之間的介面處的氧可被高介電常數層吸收並相應地消除(直接清除)。
在其他實施例中,如圖30D中所示,當氧清除層88包含TiSiN(TSN)、TiAl、Ti或其組合時,氧清除層88可藉由「遠程清除機制」自不期望的介面層吸收氧。具體而言,鐵電層90與通道層92之間的介面處的氧元素O被遮蓋導電線72的氧清除層 88清除。換言之,鐵電層90與通道層92之間的介面處的氧可被經遮蓋的或經摻雜的閘極電極吸收並相應地消除(遠程清除)。在一些實例中,氧清除層88被視為對應的閘極電極(例如,導電線72)的一部分。
在一些實施例中,如圖30C及圖30D中所示,氧清除層88具有介於約1奈米至10奈米的範圍內的厚度TH1,鐵電層90具有介於約1奈米至20奈米的範圍內的厚度TH2,且通道層92具有介於約1奈米至20奈米的範圍內的厚度TH3。在一些實施例中,鐵電層90對多個氧清除層88中的每一者的厚度比率為自約1:1至20:1。在一些實施例中,通道層92對所述多個氧清除層88中的每一者的厚度比為自約1:1至約20:1。
自另一個角度來看,可根據分別來自通道層92及氧清除層88的兩種金屬元素之間的吉布斯自由能(Gibb’s free energy)變化來選擇本揭露的氧清除層88。舉例而言,In2O3(約-117千卡/克分子量(kcal/gfw))、Ga2O3(約-145千卡/克分子量)或ZnO(約-145千卡/克分子量)在400℃下的吉布斯自由能大於Al2O3(約-234千卡/克分子量)或HfO(約-238千卡/克分子量)在400℃下的吉布斯自由能。基於熱力學,吉布斯自由能意味著金屬元素同時氧化的程度。吉布斯自由能越小,金屬元素越容易同時發生氧化。舉例而言,In2O3在400℃下的吉布斯自由能(約-117千卡/克分子量)大於HfO在400℃下的吉布斯自由能(約-238千卡/克分子量),因此HfO與In2O3之間的吉布斯自由能為負,表明Hf是自通道層92移除氧化物元素的合適的清除元素。
在圖30C及圖30D的實施例中,記憶體裝置的記憶胞被 形成為無介面層裝置。然而,本揭露不限於此。在其他實施例中,如圖30E及圖30F中所示,記憶體裝置的記憶胞中的至少一者被形成為在通道層92與鐵電層90之間具有非常薄的介面層IL。具有本揭露的氧清除層的記憶體裝置的介面層IL較不具有氧清除層的傳統裝置的傳統介面層薄得多。在一些實施例中,介面層IL具有介於約1奈米至5奈米的範圍內的厚度TH4。
儘管圖1至圖30I的實施例示出導電柱106及108的特定圖案,但其他配置亦是可能的。舉例而言,在該些實施例中,導電柱106及108具有交錯圖案。然而,在其他實施例中,處於陣列的同一列中的導電柱106及108彼此全部對齊,如圖32的鐵電記憶體裝置200A中所示。
圖31示出形成根據一些實施例的鐵電記憶體裝置的方法。儘管將方法示出及/或闡述為一系列動作或事件,但將理解所述方法並不僅限於所示出的次序或動作。因此,在一些實施例中,動作可按照與所示出的次序不同的次序施行及/或可同時施行。此外,在一些實施例中,所示出的動作或事件可被細分成多個動作或事件,所述多個動作或事件可在分開的時間施行或與其他動作或子動作同時施行。在一些實施例中,可省略一些所示出的動作或事件,且可包括其他未示出的動作或事件。
在動作400處,在基板上形成多層堆疊。所述多層堆疊包括交替堆疊的多個介電層及多個導電層且具有穿透過所述多層堆疊的溝渠。圖13至圖16B示出與動作400的一些實施例對應的不同視圖。
在動作402處,使由溝渠的側壁暴露出的所述多個導電 層凹陷且因此形成多個凹槽,且所述多個凹槽中的一者形成於兩個相鄰介電層之間。在一些實施例中,使介電層凹陷包括執行蝕刻製程,例如側向蝕刻製程。圖17A至圖17B示出與動作402的一些實施例對應的不同視圖。
在動作404處,在所述多個凹槽內分別形成多個氧清除層。在一些實施例中,形成氧清除層的方法包括在多層堆疊的側壁上共形且連續地形成氧清除材料,且所述氧清除材料填充在凹槽中。此後,對氧清除材料執行回蝕製程以移除氧清除材料的位於所述多層堆疊的介電層的側壁上的部分。圖18A至圖19B示出與動作404的一些實施例對應的不同視圖。
在動作406處,在溝渠的側壁上形成鐵電層,其中所述鐵電層覆蓋氧清除層的側壁及介電層的側壁。圖20A至圖20B示出與動作406的一些實施例對應的不同視圖。
在動作408處,對鐵電層執行第一退火製程。在一些實施例中,第二退火製程的溫度範圍介於約350℃至約450℃(例如400℃)的範圍內,以達成氧清除層的所期望的晶格結構。圖20A至圖20B示出與動作408的一些實施例對應的不同視圖。
在動作410處,在鐵電層上形成通道層。圖21A至圖21B示出與動作410的一些實施例對應的不同視圖。
在動作412處,對通道層執行第二退火製程。圖21A至圖21B示出與動作412的一些實施例對應的不同視圖。
圖33示出根據一些實施例的鐵電記憶體裝置的簡化透視圖。鐵電記憶體裝置200’與圖1A的鐵電記憶體裝置200相似,但氧清除層88被自階梯形區移除。具體而言,當在圖17A至圖 29A的製程期間界定記憶胞202時,階梯結構維持塊狀階梯結構而不是上文所述的條形的多個階梯結構。具體而言,兩個塊狀階梯結構設置於記憶胞區的兩側處。在界定記憶胞202之後,在記憶胞區的兩側處將兩個塊狀階梯結構分割成多個條形的階梯結構。
下文參照圖1A至圖33闡述本揭露的鐵電記憶體裝置的結構。
在一些實施例中,鐵電記憶體裝置200/200A/200’包括多層堆疊58、通道層92、鐵電層90及氧清除層88。多層堆疊58設置於基板50之上且包括交替堆疊的多個導電層(例如,導電線72)及多個介電層52。通道層92穿透過所述多個導電層(例如,導電線72)及所述多個介電層52。鐵電層90設置於通道層92與所述多個導電層(例如,導電線72)及所述多個介電層52中的每一者之間。氧清除層88包含Hf、La、Al、TiSiN、TiAl、Ti或其組合。一個氧清除層88設置於鐵電層90與所述多個導電層(例如,導電線72)中的每一者之間。氧清除層88中的每一者可以是單層或具有多層結構。在一些實施例中,所述多個氧清除層88具有約1奈米至10奈米的厚度。
在一些實施例中,如圖20B中所示,導電層(例如,導電線72)的端部相對於介電層52的端部凹陷。在一些實施例中,氧清除層88的側壁與介電層52的側壁實質上齊平。在一些實施例中,氧清除層88的側壁相對於介電層52的側壁而呈凹形或凸形。
在一些實施例中,鐵電記憶體裝置在通道層92與鐵電 層90之間不存在不期望的介面層,如圖30C及圖30D中所示。在一些實施例中,通道層92與鐵電層90之間的介面不含氧原子。
在其他實施例中,鐵電記憶體裝置更包括位於通道層92與鐵電層90之間的非常薄的介面層IL,且介面層IL的氧含量大於通道層92的氧含量。在一些實施例中,通道層92包含ZnO、InWO、InGaZnO、InZnO、ITO或其組合,且介面層IL包含富氧ZnO、InWO、InGaZnO、InZnO、ITO或其組合。在一些實施例中,介面層IL具有約1奈米至5奈米的厚度。介面層IL非常薄而不會影響鐵電記憶體裝置的效能。
在一些實施例中,鐵電記憶體裝置200/200A/200’包括多層堆疊58、多個介電柱(例如介電材料98A/98B)、氧化物半導體層(例如通道層92)、鐵電層90及多個氧清除層88。多層堆疊58設置於基板50上,且包括交替堆疊的多個閘極電極層(例如,導電線72)及多個介電層52。所述多個介電柱(例如,介電材料98A/98B)設置於基板50上且穿透過多層堆疊58。氧化物半導體層(例如,通道層92)包含第一金屬元素且設置於多層堆疊58與介電柱(例如,介電材料98A/98B)中的每一者之間。鐵電層90設置於氧化物半導體層(例如,通道層92)與多層堆疊58之間。所述多個氧清除層88包含第二金屬元素,且所述多個氧清除層88中的一者設置於鐵電層90與所述多個閘極電極層(例如,導電線72)中的每一者之間。在一些實施例中,第二金屬元素的氧化物的吉布斯自由能小於第一金屬元素的氧化物的吉布斯自由能。
在一些實施例中,第二金屬元素包括Hf、La、Al、Ti、 Al或其組合。在一些實施例中,氧清除層88包含Hf、La、Al、TiSiN、TiAl、Ti或其組合。
在一些實施例中,第一金屬元素包含Zn、In、W、Ga、Sn或其組合。在一些實施例中,氧化物半導體層(例如,通道層92)包含ZnO、InWO、InGaZnO、InZnO、ITO或其組合。
在一些實施例中,所述多個氧清除層88的氧密度更包括氧元素。在一些實施例中,所述多個氧清除層88中的氧密度朝向鐵電層90增加。在一些實例中,氧清除層88被稱為氧化氧清除層88。
在一些實施例中,鐵電記憶體裝置200/200A/200’包括多層堆疊58、多個介電柱(例如,介電材料98A/98B)、通道層92及鐵電層90。多層堆疊58設置於基板50上,且包括交替堆疊的多個閘極電極層(例如,導電線72)及多個介電層52。介電柱(例如,介電材料98A/98B)設置於基板50上且穿透過多層堆疊58。通道層92設置於多層堆疊58與介電柱(例如,介電材料98A/98B)中的每一者之間。鐵電層90設置於通道層92與多層堆疊58之間,其中鐵電層90接觸閘極電極層(例如,導電線72)中的每一者但與介電層52中的每一者隔開。
在一些實施例中,鐵電記憶體裝置200/200A/200’更包括設置於基板50上且穿透過多層堆疊58的多個導電柱106及108。在一些實施例中,所述多個介電柱(例如,介電材料98A/98B)中的每一者在各自的兩端處設置有兩個導電柱106及108。
在一些實施例中,介電柱(例如,介電材料98A/98B)呈交錯排列。具體而言,相鄰列的介電柱以交錯方式排列,如圖 29A中所示。然而,本揭露並不限於此。在一些實施例中,相鄰列的介電柱(例如,介電材料98A/98B)被排列成規則的陣列且彼此對齊,如圖32中所示。
在本揭露的一些實施例中,通道層(例如,氧化物半導體層)與鐵電層(例如,金屬氧化物層)之間的介面中的氧可被設置於鐵電層與每一導電閘極之間的氧清除層吸收並相應地消除。因此,可達成無介面層的記憶體裝置,且可改善氧化物半導體表面通道品質。
在以上實施例中,藉由「先階梯製程(staircase first process)」形成鐵電記憶體裝置,在所述「先階梯製程」中,在形成記憶胞之前形成階梯結構。然而,本揭露並不僅限於此。在其他實施例中,可藉由「後階梯製程(staircase last process)」形成鐵電記憶體裝置,在所述「後階梯製程」中,在形成記憶胞之後形成階梯結構。
在以上實施例中,藉由沉積犧牲介電層並接著藉由使用導電層取代犧牲介電層來形成閘極電極(例如,字元線)。然而,本揭露並不限於此。在其他實施例中,可在第一階段中形成閘極電極(例如,字元線)而無需取代步驟。
本揭露涵蓋以上實例的許多變化。應理解,不同的實施例可具有不同的優點,且不存在全部實施例皆必須需要的特定優點。
根據本揭露的一些實施例,一種鐵電記憶體裝置包括多層堆疊、通道層、鐵電層及氧清除層。所述多層堆疊設置於基板上且包括交替堆疊的多個導電層及多個介電層。所述通道層穿透 過所述多個導電層及所述多個介電層。所述鐵電層設置於所述通道層與所述多個導電層及所述多個介電層中的每一者之間。所述氧清除層包含Hf、La、Al、TiSiN、TiAl、Ti或其組合,且所述氧清除層中的一者設置於所述鐵電層與所述多個導電層中的每一者之間。
根據本揭露的替代實施例,一種鐵電記憶體裝置包括多層堆疊、多個介電柱、氧化物半導體層、鐵電層及多個氧清除層。所述多層堆疊設置於基板上且包括交替堆疊的多個閘極電極層及多個介電層。所述多個介電柱設置於所述基板上且穿透過所述多層堆疊。所述氧化物半導體層包含第一金屬元素且設置於所述多層堆疊與介電柱中的每一者之間。所述鐵電層設置於所述氧化物半導體層與所述多層堆疊之間。所述多個氧清除層包含第二金屬元素,且所述多個氧清除層中的一者設置於所述鐵電層與所述多個閘極電極層中的每一者之間。在一些實施例中,所述第二金屬元素的氧化物的吉布斯自由能小於所述第一金屬元素的氧化物的吉布斯自由能。
根據本揭露的一些實施例,一種鐵電記憶體裝置包括:多層堆疊,設置於基板上且包括交替堆疊的多個導電層及多個介電層;通道層,穿透過所述多個導電層及所述多個介電層;鐵電層,設置於所述通道層與所述多個導電層及所述多個介電層兩者之間;以及多個氧清除層,沿著所述多個導電層的側壁設置,其中所述多個氧清除層在側向上將所述鐵電層與所述多個導電層隔開。
在一些實施例中,所述多個導電層的端部自所述多個介 電層的端部凹陷。在一些實施例中,所述多個氧清除層的側壁與所述多個介電層的側壁實質上齊平。在一些實施例中,所述多個氧清除層分別設置於所述多個介電層中的相鄰介電層之間。在一些實施例中,所述通道層包含氧化鋅(ZnO)、氧化銦鎢(InWO)、氧化銦鎵鋅(InGaZnO)、氧化銦鋅(InZnO)、氧化銦錫(ITO)或其組合。在一些實施例中,更包括介面層,所述介面層位於所述通道層與所述鐵電層之間,且所述介面層的氧含量大於所述通道層的氧含量。在一些實施例中,所述鐵電層連續地且垂直地延伸超過所述多個氧清除層中的兩者或更多者。
根據本揭露的替代實施例,一種鐵電記憶體裝置,包括:多層堆疊,設置於基板上且包括交替堆疊的多個閘極電極層及多個介電層;多個介電柱,設置於所述基板上且穿透過所述多層堆疊;氧化物半導體層,包含第一金屬元素且設置於所述多層堆疊與所述多個介電柱中的每一者之間;以及鐵電層,設置於所述氧化物半導體層與所述多層堆疊之間;以及多個氧清除層,包含第二金屬元素,所述多個氧清除層中的一者設置於所述鐵電層與所述多個閘極電極層中的每一者之間。
在替代實施例中,所述鐵電層連續地延伸超過所述多個氧清除層中的兩者或多者。在替代實施例中,更包括:第二多個介電柱,設置於所述基板上且穿透過所述多層堆疊;第二氧化物半導體層,設置於所述多層堆疊與所述第二多個介電柱中的每一者之間;第二鐵電層,設置於所述第二氧化物半導體層與所述多層堆疊之間;以及第二多個氧清除層,設置於所述第二鐵電層與所述多個閘極電極層中的每一者之間。在替代實施例中,所述多 個氧清除層更包含氧元素。在替代實施例中,所述多個氧清除層中的氧密度朝向所述鐵電層增加。在替代實施例中,所述第二金屬元素的氧化物的吉布斯自由能小於所述第一金屬元素的氧化物的吉布斯自由能。在替代實施例中,所述多個介電層在垂直方向上將所述多個氧清除層中的相鄰氧清除層隔開。在替代實施例中,所述氧化物半導體層與所述鐵電層之間的介面實質上不含有氧原子。在替代實施例中,更包括:多個導電柱,設置於所述基板上且穿透過所述多層堆疊,其中所述多個介電柱中的每一者具有沿著各自的相對側設置的兩個導電柱。
根據本揭露的又一些替代實施例,形成鐵電記憶體裝置的方法包括以下操作。在基板上形成多層堆疊。所述多層堆疊包括交替堆疊的多個介電層及多個導電層且具有穿透過所述多層堆疊的溝渠。使由所述溝渠的側壁暴露出的導電層凹陷,以在兩個相鄰的介電層之間形成凹槽。在所述多個凹槽內分別形成多個氧清除層。在所述溝渠的所述側壁上形成鐵電層,其中所述鐵電層覆蓋氧清除層的側壁及介電層的側壁。在所述鐵電層上形成通道層。
在一些替代實施例中,使所述多個導電層凹陷包括執行側向蝕刻製程。在一些替代實施例中,形成所述多個氧清除層包括:在所述多層堆疊的側壁上共形且連續地形成氧清除材料,其中所述氧清除材料填充在所述多個凹槽中;以及對所述氧清除材料執行回蝕製程。在一些替代實施例中,所述回蝕製程自所述多個介電層的所述側壁移除所述氧清除材料,而沿著所述多個導電層的側壁保留所述氧清除材料。
以上概述了若干實施例的特徵,以使熟習此項技術者可更佳地理解本揭露的各個態樣。熟習此項技術者應理解,他們可容易地使用本揭露作為設計或修改其他製程及結構的基礎來施行與本文中所介紹的實施例相同的目的及/或達成與本文中所介紹的實施例相同的優點。熟習此項技術者亦應認識到,此種等效構造並不背離本揭露的精神及範圍,而且他們可在不背離本揭露的精神及範圍的條件下在本文中作出各種改變、代替及變更。
52:介電層 72:導電線 88:氧清除層 90:鐵電層 92:通道層 98A、98B:介電材料 102:隔離柱 106、108:導電柱 200:鐵電記憶體裝置 202:記憶胞 206:箭頭

Claims (10)

  1. 一種鐵電記憶體裝置,包括:多層堆疊,設置於基板上且包括交替堆疊的多個導電層及多個介電層;通道層,穿透過所述多個導電層及所述多個介電層;鐵電層,設置於所述通道層與所述多個導電層及所述多個介電層兩者之間;以及多個氧清除層,沿著所述多個導電層的側壁設置,其中所述多個氧清除層在側向上將所述鐵電層與所述多個導電層隔開。
  2. 如請求項1所述的鐵電記憶體裝置,其中所述多個導電層的端部自所述多個介電層的端部凹陷。
  3. 如請求項1所述的鐵電記憶體裝置,其中所述通道層包含氧化鋅(ZnO)、氧化銦鎢(InWO)、氧化銦鎵鋅(InGaZnO)、氧化銦鋅(InZnO)、氧化銦錫(ITO)或其組合。
  4. 如請求項1所述的鐵電記憶體裝置,更包括介面層,所述介面層位於所述通道層與所述鐵電層之間,且所述介面層的氧含量大於所述通道層的氧含量。
  5. 一種鐵電記憶體裝置,包括:多層堆疊,設置於基板上且包括交替堆疊的多個閘極電極層及多個介電層;多個介電柱,設置於所述基板上且穿透過所述多層堆疊;氧化物半導體層,包含第一金屬元素且設置於所述多層堆疊與所述多個介電柱中的每一者之間;以及鐵電層,設置於所述氧化物半導體層與所述多層堆疊之間; 以及多個氧清除層,包含第二金屬元素,所述多個氧清除層中的一者設置於所述鐵電層與所述多個閘極電極層中的每一者之間。
  6. 如請求項5所述的鐵電記憶體裝置,其中所述多個氧清除層更包含氧元素。
  7. 如請求項5所述的鐵電記憶體裝置,其中所述多個氧清除層中的氧密度朝向所述鐵電層增加。
  8. 如請求項5所述的鐵電記憶體裝置,其中所述第二金屬元素的氧化物的吉布斯自由能小於所述第一金屬元素的氧化物的吉布斯自由能。
  9. 如請求項5所述的鐵電記憶體裝置,其中所述氧化物半導體層與所述鐵電層之間的介面實質上不含有氧原子。
  10. 一種形成鐵電記憶體裝置的方法,包括:在基板上形成多層堆疊,其中所述多層堆疊包括交替堆疊的多個介電層及多個導電層且具有穿透過所述多層堆疊的溝渠;使由所述溝渠的側壁暴露出的所述多個導電層凹陷且因此形成多個凹槽,所述多個凹槽中的一者形成於所述多個介電層中的兩個相鄰介電層之間;在所述多個凹槽內分別形成多個氧清除層;在所述溝渠的所述側壁上形成鐵電層,其中所述鐵電層覆蓋所述多個氧清除層的側壁及所述多個介電層的側壁;以及在所述鐵電層上形成通道層。
TW110107941A 2020-05-28 2021-03-05 鐵電記憶體裝置及其形成方法 TWI759123B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202063031045P 2020-05-28 2020-05-28
US63/031,045 2020-05-28
US17/117,570 US11729986B2 (en) 2020-05-28 2020-12-10 Ferroelectric memory device and method of forming the same
US17/117,570 2020-12-10

Publications (2)

Publication Number Publication Date
TW202145542A TW202145542A (zh) 2021-12-01
TWI759123B true TWI759123B (zh) 2022-03-21

Family

ID=77570619

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110107941A TWI759123B (zh) 2020-05-28 2021-03-05 鐵電記憶體裝置及其形成方法

Country Status (5)

Country Link
US (1) US20230328997A1 (zh)
KR (1) KR102660218B1 (zh)
CN (1) CN113380828A (zh)
DE (1) DE102020133683A1 (zh)
TW (1) TWI759123B (zh)

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10367003B2 (en) * 2016-06-20 2019-07-30 Samsung Electronics Co., Ltd. Vertical non-volatile memory device and method for fabricating the same
TWI673831B (zh) * 2018-11-13 2019-10-01 財團法人工業技術研究院 鐵電記憶體及其製造方法

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9620514B2 (en) * 2014-09-05 2017-04-11 Sandisk Technologies Llc 3D semicircular vertical NAND string with self aligned floating gate or charge trap cell memory cells and methods of fabricating and operating the same
US9812505B2 (en) * 2015-11-16 2017-11-07 Sandisk Technologies Llc Non-volatile memory device containing oxygen-scavenging material portions and method of making thereof
US9847342B2 (en) * 2016-03-14 2017-12-19 Toshiba Memory Corporation Semiconductor memory device and method for manufacturing same
US10121794B2 (en) * 2016-06-20 2018-11-06 Sandisk Technologies Llc Three-dimensional memory device having epitaxial germanium-containing vertical channel and method of making thereof
KR102653527B1 (ko) * 2016-11-09 2024-04-01 에스케이하이닉스 주식회사 비휘발성 메모리 장치 및 그 제조 방법
KR101872122B1 (ko) * 2016-11-25 2018-06-27 연세대학교 산학협력단 3 차원 강유전체 메모리 소자 및 이의 제조 방법
KR20190105604A (ko) * 2017-01-20 2019-09-17 웨이민 리 강유전성 산화물 메모리 장치
US20180286920A1 (en) * 2017-03-28 2018-10-04 Sandisk Technologies Llc Methods and apparatus for three-dimensional nonvolatile memory
KR20180131118A (ko) * 2017-05-31 2018-12-10 에스케이하이닉스 주식회사 강유전층을 구비하는 반도체 장치 및 그 제조 방법
KR102005631B1 (ko) * 2017-09-27 2019-07-30 포항공과대학교 산학협력단 수직형 논리곱 가중치 소자 및 그의 동작 방법
CN112768453B (zh) * 2018-04-19 2024-04-26 长江存储科技有限责任公司 存储器设备及其形成方法
US11380709B2 (en) * 2018-09-04 2022-07-05 Sandisk Technologies Llc Three dimensional ferroelectric memory
JP2020047681A (ja) * 2018-09-15 2020-03-26 キオクシア株式会社 半導体記憶装置
EP3811410B1 (en) * 2018-09-26 2024-02-21 Yangtze Memory Technologies Co., Ltd. 3d memory device and method for forming 3d memory device
KR102608912B1 (ko) * 2018-12-27 2023-12-04 에스케이하이닉스 주식회사 수직형 메모리 장치 및 그 제조 방법
US10727243B1 (en) * 2019-05-09 2020-07-28 Macronix International Co., Ltd. Three dimensional memory device fabricating method and applications thereof
US11430813B2 (en) * 2019-08-15 2022-08-30 Sandisk Technologies Llc Antiferroelectric memory devices and methods of making the same
US11502104B2 (en) * 2019-08-15 2022-11-15 Sandisk Technologies Llc Antiferroelectric memory devices and methods of making the same
US11309332B2 (en) * 2019-09-12 2022-04-19 Sandisk Technologies Llc Three-dimensional memory device containing ferroelectric memory elements encapsulated by transition metal-containing conductive elements and method of making thereof
JP2021044510A (ja) * 2019-09-13 2021-03-18 キオクシア株式会社 半導体記憶装置
US11716855B2 (en) * 2020-05-28 2023-08-01 Taiwan Semiconductor Manufacturing Co., Ltd. Three-dimensional memory device and method
US11729986B2 (en) * 2020-05-28 2023-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Ferroelectric memory device and method of forming the same
US11515332B2 (en) * 2020-05-28 2022-11-29 Taiwan Semiconductor Manufacturing Company, Ltd. Ferroelectric memory device and method of forming the same
US11527552B2 (en) * 2020-05-28 2022-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Ferroelectric memory device and method of forming the same
US11710790B2 (en) * 2020-05-29 2023-07-25 Taiwan Semiconductor Manufacturing Company, Ltd. Memory array channel regions
US11647635B2 (en) * 2020-05-29 2023-05-09 Taiwan Semiconductor Manufacturing Company, Ltd. Ferroelectric memory device and method of forming the same
TW202213746A (zh) * 2020-05-29 2022-04-01 台灣積體電路製造股份有限公司 鐵電記憶體裝置及其形成方法
US11653501B2 (en) * 2021-03-05 2023-05-16 Taiwan Semiconductor Manufacturing Company, Ltd. Ferroelectric memory device, manufacturing method of the ferroelectric memory device and semiconductor chip

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10367003B2 (en) * 2016-06-20 2019-07-30 Samsung Electronics Co., Ltd. Vertical non-volatile memory device and method for fabricating the same
TWI673831B (zh) * 2018-11-13 2019-10-01 財團法人工業技術研究院 鐵電記憶體及其製造方法

Also Published As

Publication number Publication date
DE102020133683A1 (de) 2021-12-02
KR102660218B1 (ko) 2024-04-23
CN113380828A (zh) 2021-09-10
US20230328997A1 (en) 2023-10-12
KR20210148860A (ko) 2021-12-08
TW202145542A (zh) 2021-12-01

Similar Documents

Publication Publication Date Title
US11729986B2 (en) Ferroelectric memory device and method of forming the same
TWI769757B (zh) 鐵電記憶體元件及其形成方法
TWI776411B (zh) 記憶胞、半導體裝置及其製造方法
US11729988B2 (en) Memory device comprising conductive pillars and method of forming the same
TWI780773B (zh) 記憶體裝置及其形成方法
US11647635B2 (en) Ferroelectric memory device and method of forming the same
US11515332B2 (en) Ferroelectric memory device and method of forming the same
US20220367515A1 (en) Ferroelectric memory device and method of forming the same
US11647636B2 (en) Memory devices
TWI807270B (zh) 記憶胞、半導體元件及形成半導體元件的方法
US20220359570A1 (en) Ferroelectric memory device and method of forming the same
US11844224B2 (en) Memory structure and method of forming the same
TWI758123B (zh) 鐵電記憶體裝置及其形成方法
TWI759123B (zh) 鐵電記憶體裝置及其形成方法
US20240081078A1 (en) Memory device and method of forming the same
TWI796617B (zh) 記憶胞、記憶裝置與其形成方法
US20240064994A1 (en) Memory device and method of forming the same